TWI780185B - 處理被處理體之方法 - Google Patents

處理被處理體之方法 Download PDF

Info

Publication number
TWI780185B
TWI780185B TW107125297A TW107125297A TWI780185B TW I780185 B TWI780185 B TW I780185B TW 107125297 A TW107125297 A TW 107125297A TW 107125297 A TW107125297 A TW 107125297A TW I780185 B TWI780185 B TW I780185B
Authority
TW
Taiwan
Prior art keywords
film
gas
insulating film
sacrificial film
trench
Prior art date
Application number
TW107125297A
Other languages
English (en)
Other versions
TW201911415A (zh
Inventor
橫山政司
濱康孝
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201911415A publication Critical patent/TW201911415A/zh
Application granted granted Critical
Publication of TWI780185B publication Critical patent/TWI780185B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Heating, Cooling, Or Curing Plastics Or The Like In General (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Separation By Low-Temperature Treatments (AREA)

Abstract

本發明提供一種抑制絕緣膜之介電常數與尺寸偏差並且使配線及通孔微細化之技術。 一實施形態之處理被處理體之方法中,被處理體具備具有配線之配線層、設置於配線層上之防擴散膜、設置於防擴散膜上之絕緣膜、及設置於絕緣膜上且提供開口之金屬遮罩;絕緣膜具備設置於自開口露出之部位之一部分中之通孔。該方法具備:第1步驟,其係於被處理體之通孔之側面形成犧牲膜;以及第2步驟,其係對犧牲膜及絕緣膜進行蝕刻,於通孔之底面進而形成通孔,並自該通孔去除犧牲膜。

Description

處理被處理體之方法
本發明之實施形態係關於一種處理被處理體之方法。
電子零件中存在使用具備多層配線構造之半導體裝置者,該多層配線構造包含層間絕緣膜、與設置於層間絕緣膜之配線及通孔,於此種半導體裝置中,為提高切換速度,正在推進微細化。隨著半導體裝置之微細化,設置於層間絕緣膜之配線用溝槽及通孔之微細化亦得到推進,正在開發藉由蝕刻形成微細之溝槽等各種技術(專利文獻1~3)。專利文獻1中揭示有對形成於半導體晶圓等被處理體之表面之絕緣膜等被加工層進行蝕刻之蝕刻方法等。專利文獻2中揭示有具有使用包含有機絕緣膜之低介電常數絕緣層間膜之雙道金屬鑲嵌配線之半導體裝置之製造方法等。專利文獻3中揭示有一面抑制彎曲形狀,一面進行良好之蝕刻處理之基板處理方法。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2007-123766號公報 [專利文獻2]日本專利特開2007-5379號公報 [專利文獻3]日本專利特開2016-21546號公報
[發明所欲解決之問題]
推進半導體裝置之微細化之情形時,由於溝槽、通孔之形成使用微影技術,故為了抑制尺寸偏差等並且將溝槽、通孔以成為更微細之尺寸之方式微細化,可能存在伴隨微影技術之極限。進而,隨著半導體裝置之微細化,亦要求層間絕緣膜之低介電常數化,但一面抑制絕緣膜之介電常數之上升,一面實現溝槽及通孔之微細化亦不容易。因此,期望一面抑制絕緣膜之介電常數與尺寸偏差,一面形成微細之配線及通孔之技術。 [解決問題之技術手段]
於一態樣中,提供一種處理被處理體之方法。被處理體具備具有配線之配線層、設置於配線層上之防擴散膜、設置於防擴散膜上之絕緣膜、及設置於絕緣膜上且提供開口之金屬遮罩。絕緣膜具備設置於自開口露出之部位之一部分之溝槽、以及設置於溝槽之一部分之第1通孔。該方法具備:第1步驟,其係於被處理體之溝槽與第1通孔之側面形成犧牲膜;以及第2步驟,其係對犧牲膜及絕緣膜進行蝕刻,於第1通孔之底面之更深位置形成第2通孔,並自該溝槽及第1通孔去除犧牲膜。
根據上述方法,於設置於絕緣膜之溝槽及第1通孔之側面設置犧牲膜後,蝕刻絕緣膜,於第1通孔之底面之更深位置形成第2通孔。因此,藉由犧牲膜而於第1通孔之孔徑縮小之狀態下形成第2通孔,因此可形成微細之通孔。進而,由於在絕緣膜之蝕刻時亦將溝槽及第1通孔之側面之犧牲膜去除,故可抑制因犧牲膜引起之絕緣膜之介電常數增加。
於一實施形態中,當第2步驟完成時,溝槽之深度變得更深,且第1通孔因蝕刻而消失。
於一實施形態中,於第1步驟中,共形地形成犧牲膜。於並非共形之情形時,預測容易形成溝槽上部或通孔上部之膜厚與溝槽之側面、底部及通孔之側面、底部相比變厚的所謂懸突形狀,溝槽及通孔之正面寬度尺寸變得極小,而蝕刻受到阻礙,但由於如此使用共形地形成之犧牲膜,故可使用該犧牲膜實現雖微細但精密之蝕刻加工。
於一實施形態中,第1步驟具備:第3步驟,其係使用ALD(Atomic Layer Deposition,原子層沈積)方式於包含溝槽之側面之被處理體之表面形成犧牲膜;以及第4步驟,其係對形成於被處理體之表面之犧牲膜進行蝕刻,使溝槽之底面露出。由於如此使用ALD方式共形地形成犧牲膜,故可使用該犧牲膜實現更精密之蝕刻加工。
於一實施形態中,絕緣膜係氧化矽膜、具有低介電常數特性之含矽膜、或氧化矽膜與具有低介電常數特性之含矽膜積層而成之膜。
於一實施形態中,犧牲膜具有低介電常數特性。如此,即便使用具有低介電常數特性之犧牲膜,於第2步驟中,亦可蝕刻絕緣膜。
犧牲膜係氧化矽膜。
於一實施形態中,自第1步驟至第2步驟係於連續真空之環境下執行。如此,可避免自第1步驟至第2步驟以低介電常數之絕緣膜露出之狀態曝露於大氣中之事態。
於一實施形態中,自第1步驟至第2步驟係於單一之處理容器內執行。如此,由於自第1步驟至第2步驟係於單一之處理容器內執行,故能夠確實地避免以低介電常數之絕緣膜露出之狀態曝露於大氣中之事態。
於一實施形態中,於絕緣膜之材料為具有細孔之多孔質材料之情形時,第1步驟於形成犧牲膜之前進行將位於露出於溝槽之表面之多孔質材料之表層之細孔封孔之處理。如此,於絕緣膜之材料為具有細孔之多孔質材料之情形時,於形成犧牲膜之前將該細孔封孔,因此能夠避免因用於形成犧牲膜之材料於形成犧牲膜時被吸收至細孔之內部而導致絕緣膜之特性(尤其是介電常數)變化之事態而使該事態為最小限度。
於一實施形態中,反覆執行包含第1步驟與第2步驟之順序直至通孔到達防擴散膜為止。如此,藉由以蝕刻不停止之方式抑制於第1步驟之一次執行中形成之犧牲膜之厚度並且複數次執行順序,能夠使通孔朝向防擴散膜延伸並且階段性地縮小通孔之寬度,因此,蝕刻不會停止,且可確實地推進最終寬度(到達防擴散膜之狀態之通孔之寬度)之通孔之形成。
於一實施形態中,第2步驟中進行之對犧牲膜及絕緣膜之蝕刻之執行時間為溝槽之深度除以犧牲膜之蝕刻速率所得之商之值以上,且犧牲膜之蝕刻速率與絕緣膜之蝕刻速率為相同程度。若如此調整第2步驟中所進行之蝕刻之執行時間,則於第2步驟中之蝕刻時,能夠將位於溝槽之側面且具有與溝槽之深度相對應之長度之犧牲膜較佳地去除。 [發明之效果]
如以上所說明般,提供一種抑制絕緣膜之介電常數與尺寸偏差並且使配線及通孔微細化之技術。
以下,參照圖式對各種實施形態進行詳細說明。再者,於各圖式中對相同或相當之部分附加相同符號。圖1係表示一實施形態之處理被處理體之方法之流程圖。圖1所示之方法MT係尤其包含於多層配線構造之製作中於被處理體被搬送至大氣環境之前所進行之被處理體之處理之方法。
圖2係表示作為圖1所示之方法之適用對象之被處理體之一例之剖視圖。圖2所示之被處理體(以下稱作「晶圓W」)係於使用雙道金屬鑲嵌法製作多層配線構造之中途所獲得者。晶圓W係具有配線層WL之基板。配線層WL包含第1絕緣膜IS1、障壁金屬膜BL以及配線CW。晶圓W進而具有防擴散膜DL、第2絕緣膜IS2、氧化膜OX、金屬遮罩MK、有機層OL、抗反射膜AL以及抗蝕劑遮罩RM。
第1絕緣膜IS1由絕緣材料及/或低介電常數材料構成。第1絕緣膜IS1例如可為由作為絕緣材料之一例之SiO2 或作為低介電常數材料之一例之SiOCH所形成之單層膜、包含絕緣材料膜與低介電常數膜之多層膜、或包含複數個低介電常數膜之多層膜。於第1絕緣膜IS1形成有溝槽。配線CW嵌埋於第1絕緣膜IS1之溝槽中。配線CW之材料例如係銅等金屬。障壁金屬膜BL設置於第1絕緣膜IS1中劃分形成溝槽之面與配線CW之間。障壁金屬膜BL之材料例如為Ta、TaN等金屬。又,配線CW之材料不限定於銅等,可使用Ti或TiN等。於該情形時,障壁金屬膜BL之材料例如可使用鎢或鈷等金屬。
防擴散膜DL設置於配線層WL上。防擴散膜DL例如可由SiC、SiCN或SiN等構成。又,防擴散膜DL可為包含各者由SiC、SiCN或SiN所形成之複數個膜之多層膜。
第2絕緣膜IS2設置於防擴散膜DL上。於一實施形態中,第2絕緣膜IS2具有低介電常數之特性。第2絕緣膜IS2可為氧化矽膜、具有低介電常數之特性之含矽膜、或氧化矽膜與具有低介電常數之特性之含矽膜積層而成之膜等。例如,第2絕緣膜IS2可為SiOCH之單層膜、包含氧化矽膜(SiO2 )與低介電常數膜之多層膜、或包含複數個低介電常數膜之多層膜。又,第2絕緣膜IS2不限定於具有低介電常數之特性,亦可為氧化矽膜(SiO2 )之單層膜。
氧化膜OX設置於第2絕緣膜IS2上。氧化膜OX亦係絕緣膜之一種。氧化膜OX例如可為藉由使用TEOS(Tetraethoxysilan,四乙氧基矽烷)氣體之CVD(Chemical Vapor Deposition,化學氣相沈積)法所形成之氧化矽膜(SiO2 )。金屬遮罩MK設置於氧化膜OX上(第2絕緣膜IS2上)。金屬遮罩MK提供開口OP,具有由開口OP提供之圖案、即轉印至第2絕緣膜IS2之圖案。如此,於金屬遮罩MK形成有與形成於第2絕緣膜IS2之溝槽(圖15及圖16所示之溝槽TR)相對應之開口OP。金屬遮罩MK例如可由鈦(Ti)或氮化鈦(TiN)構成。
有機層OL以覆蓋金屬遮罩MK且掩埋金屬遮罩MK之開口之方式設置。抗反射膜AL設置於有機層OL上。抗蝕劑遮罩RM設置於抗反射膜AL上。抗蝕劑遮罩RM具有由開口MO提供之圖案、即轉印至第2絕緣膜IS2之圖案。如此,於抗蝕劑遮罩RM形成有與形成於第2絕緣膜IS2之通孔(圖15及圖16所示之通孔VH)相對應之開口MO。
圖3係概略性地表示能夠用於實施圖1所示之方法之基板處理系統之一例之圖。圖3所示之基板處理系統110具備裝載模組112、加載互鎖模組141、加載互鎖模組142、傳送模組116及複數個製程模組(製程模組181、製程模組182、製程模組183及製程模組184等)。
裝載模組112係於大氣壓環境下搬送晶圓W之裝置。於裝載模組112安裝有複數個台120。於複數個台120之各者之上搭載有能夠收容複數個晶圓之晶圓搬送盒122。於該等晶圓搬送盒122內,晶圓於大氣環境下被保管。
裝載模組112具有搬送機器臂112r。搬送機器臂112r設置於裝載模組112之搬送腔室112c。搬送腔室112c設置於裝載模組112之內部。於裝載模組112連接有加載互鎖模組141及加載互鎖模組142。搬送機器臂112r能夠於晶圓搬送盒122與加載互鎖模組141之間、或晶圓搬送盒122與加載互鎖模組142之間搬送晶圓W。
加載互鎖模組141及加載互鎖模組142分別具有用以預減壓之腔室141c及腔室142c。於加載互鎖模組141及加載互鎖模組142連接有傳送模組116。傳送模組116提供能夠減壓之搬送腔室116c,且於搬送腔室116c內具有搬送機器臂116r。於傳送模組116連接有複數個製程模組181~184。傳送模組之搬送機器臂116r能夠於加載互鎖模組141及加載互鎖模組142之任一個與複數個製程模組181~184之任一個之間、以及複數個製程模組181~184中之任意兩個製程模組之間搬送晶圓W。
複數個製程模組181~184之各者係用以進行對晶圓W之專用處理之基板處理裝置。製程模組181~184中之一個使用圖4所示之電漿處理裝置10。
於一實施形態中,基板處理系統110進而具備控制部Cnt。控制部Cnt係具備處理器、記憶部、輸入裝置、顯示裝置等之電腦,總括地控制搬送機器臂112r及搬送機器臂116r之動作、各製程模組(製程模組181~184)之各部之動作等基板處理系統110之各部之動作。控制部Cnt尤其控制方法MT之執行相關之基板處理系統110之各部之動作。於控制部Cnt,操作員可使用輸入裝置進行用以管理基板處理系統110之指令之輸入操作等,且藉由顯示裝置,能夠可視化地顯示基板處理系統110之運轉狀況。於控制部Cnt之記憶部儲存用以藉由處理器控制基板處理系統110中執行之各種處理之控制程式、以及用以根據處理條件使基板處理系統110之各部執行處理之程式即處理製程配方。於控制部Cnt之記憶部儲存方法MT之執行相關之控制程式及處理製程配方。
圖4係概略性地表示能夠用於實施圖1所示之方法之電漿處理裝置之一例之圖。圖4所示之電漿處理裝置10係電容耦合型電漿處理裝置,具備大致圓筒狀之處理容器12。處理容器12之材料例如為鋁。處理容器12之內壁面之材料係經實施陽極氧化處理之鋁。處理容器12安全接地。
於處理容器12之底部上設置有大致圓筒狀之支持部14。支持部14例如由絕緣材料構成。支持部14係於以處理容器12之底部沿水平面延伸之方式設置電漿處理裝置10之情形時,於處理容器12內自處理容器12之底部向鉛直方向延伸。於處理容器12內設置有載置台PD。載置台PD由支持部14支持。
載置台PD構成為於載置台PD之上表面保持晶圓W。載置台PD具有下部電極LE及靜電吸盤ESC。下部電極LE包含第1板18a及第2板18b。第1板18a及第2板18b例如由鋁等金屬構成,且呈大致圓盤形狀。第2板18b設置於第1板18a上,且電性連接於第1板18a。
於第2板18b上設置有靜電吸盤ESC。靜電吸盤ESC具有將作為導電膜之電極配置於一對絕緣層或絕緣片間而成之構造。於靜電吸盤ESC之電極,經由開關23電性連接有直流電源22。靜電吸盤ESC藉由利用來自直流電源22之直流電壓所產生之庫侖力等靜電力而吸附晶圓W。藉此,靜電吸盤ESC可保持晶圓W。
於第2板18b之周緣部上,以包圍晶圓W之邊緣及靜電吸盤ESC之方式配置有聚焦環FR。聚焦環FR係為了使對晶圓之電漿處理之均勻性提高而設置。聚焦環FR由根據電漿處理適當選擇之材料構成,例如可由矽(S)、SiC、石英構成。
於第2板18b之內部設置有冷媒流路24。冷媒流路24構成調溫機構。對於冷媒流路24,自設置於處理容器12之外部之冷卻器單元經由配管26a供給冷媒。供給至冷媒流路24之冷媒經由配管26b返回至冷卻器單元。如此,於冷媒流路24與冷卻器單元之間冷媒循環。藉由控制該冷媒之溫度,而控制由靜電吸盤ESC支持之晶圓W之溫度。
加熱器HT係加熱元件,例如嵌埋於第2板18b內。加熱器電源HP連接於加熱器HT。藉由自加熱器電源HP向加熱器HT供給電力,而調整載置台PD之溫度,然後,調整載置於載置台PD上之晶圓W之溫度。再者,加熱器HT可內置於靜電吸盤ESC。
於電漿處理裝置10設置有氣體供給管線28。氣體供給管線28將來自傳熱氣體供給機構之傳熱氣體、例如氦氣(He)供給至靜電吸盤ESC之上表面與晶圓W之背面之間。
電漿處理裝置10具備上部電極30。上部電極30設置於載置台PD之上方。於上部電極30與載置台PD之間提供有用以對晶圓W進行電漿處理之處理空間S。
上部電極30介隔絕緣性遮蔽構件32支持於處理容器12之上部。 上部電極30可包含頂板34及支持體36。頂板34面向處理空間S,且於頂板34設置有複數個氣體噴出孔34a。於一實施形態中,頂板34由矽構成。
支持體36係將頂板34裝卸自如地支持者,例如可由鋁等導電性材料構成。支持體36可具有水冷構造。於支持體36之內部設置有氣體擴散室36a。自氣體擴散室36a向下方延伸有與氣體噴出孔34a連通之複數個氣體通流孔36b。於支持體36形成有向氣體擴散室36a導入處理氣體之氣體導入口36c,且於氣體導入口36c連接有氣體供給管38。
於氣體供給管38經由閥群42及流量控制器群44連接有氣體源群40。氣體源群40包含複數個氣體源。一例中,氣體源群40包含一個以上之氟碳氣體源、一個以上之氫氟碳氣體源、烴氣體源、稀有氣體源、氮氣(N2 氣體)源、氫氣(H2 氣體)源、一個以上之含氧氣體源、以及含矽氣體源。一例中,一個以上之氟碳氣體源可包含C4 F8 氣體源、CF4 氣體源、C4 F6 氣體、以及C5 F8 氣體之源。一例中,一個以上之氫氟碳氣體源可包含CHF3 氣體源、CH2 F2 氣體源以及CH3 F氣體源。一例中,烴氣體源可包含CH4 氣體、C2 H2 氣體、C2 H4 氣體、C2 H6 氣體、C3 H4 氣體、C3 H6 氣體、C3 H8 氣體、C4 H4 氣體、C4 H6 氣體、C4 H8 氣體或C4 H10 氣體之源。稀有氣體源可為氦氣(He)、氖氣(Ne)、氬氣(Ar)、氪氣(Kr)、氙氣(Xe)等任意稀有氣體之源,一例中為氬氣(Ar)源。一例中,一個以上之含氧氣體源包含氧氣(O2 氣體)源。一個以上之含氧氣體源亦可進而包含CO氣體源及/或CO2 氣體源。一例中,含矽氣體源可包含胺基矽烷氣體、矽烷氧化物系氣體、鹵化矽。
閥群42包含複數個閥,流量控制器群44包含質量流量控制器等複數個流量控制器。氣體源群40之複數個氣體源分別經由閥群42之對應之閥及流量控制器群44之對應之流量控制器連接於氣體供給管38。
電漿處理裝置10中,沿處理容器12之內壁裝卸自如地設置有積存物遮罩46。積存物遮罩46亦設置於支持部14之外周。積存物遮罩46係防止蝕刻副產物附著於處理容器12之內壁面等壁面者,可藉由將Y2 O3 等陶瓷被覆於鋁材而構成。
於處理容器12之底部側且支持部14與處理容器12之側壁之間設置有具有複數個貫通孔之排氣板48。排氣板48例如可藉由將Y2 O3 等陶瓷被覆於鋁材而構成。於排氣板48之下方且處理容器12設置有排氣口12e。於排氣口12e經由排氣管52連接有排氣裝置50。排氣裝置50具有渦輪分子泵等真空泵,可將處理容器12內之空間減壓至所需之真空度。於處理容器12之側壁設置有晶圓W之搬入搬出口12g,搬入搬出口12g可藉由閘閥54開啟及關閉。
電漿處理裝置10進而具備第1高頻電源62及第2高頻電源64。第1高頻電源62係產生用以生成電漿之第1高頻之電源,例如產生27~100[MHz]之頻率之高頻。第1高頻電源62經由匹配器66連接於下部電極LE。匹配器66具有用以使第1高頻電源62之輸出阻抗與負載側之阻抗匹配之電路。第1高頻電源62亦可經由匹配器66連接於上部電極30。
第2高頻電源64係產生用以將離子引入至晶圓W、即偏壓用之第2高頻之電源,例如產生400[kHz]~13.56[MHz]之範圍內之頻率之第2高頻。第2高頻電源64經由匹配器68連接於下部電極LE。匹配器68具有用以使第2高頻電源64之輸出阻抗與負載側之阻抗匹配之電路。
電漿處理裝置10進而具備電源70。電源70連接於上部電極30。電源70對上部電極30施加用以將存在於處理空間S內之正離子引入至頂板34之電壓。於一例中,電源70係產生負直流電壓之直流電源。於另一例中,電源70亦可為產生相對低頻之交流電壓之交流電源。
以下,再次參照圖1,對方法MT進行詳細說明。再者,以下之說明中,對使用具備圖4所示之電漿處理裝置10作為一個製程模組之基板處理系統110處理圖2所示之晶圓W之例進行說明。以下之說明中,參照圖8~圖16。圖8~圖16係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。
首先,於方法MT中,將圖2所示之晶圓W自晶圓搬送盒122經由裝載模組112、加載互鎖模組141或加載互鎖模組142之任一個、以及傳送模組116搬入至製程模組即電漿處理裝置10之處理容器12內。搬入至處理容器12內之晶圓W載置於載置台PD上,由載置台PD保持。方法MT(尤其是自下述步驟ST1c至步驟ST1e)於連續真空之環境下執行。方法MT於單一之處理容器12內(相同之製程模組)執行。於一實施形態中,方法MT亦可分別使用進行蝕刻之處理之處理容器(製程模組)與進行成膜之處理之處理容器(製程模組),於該情形時,方法MT(尤其是自下述步驟ST1c至步驟ST1e)亦於連續真空之環境下執行。
其次,於方法MT中,執行步驟ST1。於步驟ST1中,處理晶圓W直至適用下述步驟ST2之處理之狀態為止。於步驟ST1中,對抗反射膜AL、有機層OL、氧化膜OX以及第2絕緣膜IS2進行蝕刻。以下,對步驟ST1進行詳細說明。圖5係表示步驟ST1之詳細情況之一例之流程圖。
如圖5所示,步驟ST1包含步驟ST1a、步驟ST1b、步驟ST1c、步驟ST1d、步驟ST1e。於步驟ST1中,首先執行步驟ST1a。於步驟ST1a中,於自抗蝕劑遮罩RM之開口MO露出之部位蝕刻抗反射膜AL。為此,於步驟ST1a中,自氣體源群40之複數個氣體源中所選擇之氣體源向處理容器12內供給處理氣體。該處理氣體例如可包含氟碳氣體、氫氟碳氣體以及氧氣。作為氟碳氣體,例如能夠使用CF4 氣體。作為氫氟碳氣體,例如能夠使用CHF3 氣體。於步驟ST1a中,使排氣裝置50作動,將處理容器12內之壓力設定為特定壓力。於步驟ST1a中,將來自第1高頻電源62之第1高頻及來自第2高頻電源64之第2高頻供給至下部電極LE。
於步驟ST1a中,生成處理氣體之電漿,於自抗蝕劑遮罩RM之開口MO露出之部位蝕刻抗反射膜AL。其結果,如圖8所示,將抗反射膜AL之整個區域中自抗蝕劑遮罩RM之開口MO露出之部分去除,於抗反射膜AL形成開口MO1。
繼步驟ST1a之後,執行步驟ST1b。於步驟ST1b中,蝕刻有機層OL。為此,於步驟ST1b中,自氣體源群40之複數個氣體源中所選擇之氣體源向處理容器12內供給處理氣體。於一例之步驟ST1b中,將包含氧氣及一氧化碳氣體之處理氣體供給至處理容器12內,繼而,將包含氫氣及氮氣之處理氣體供給至處理容器12內。於步驟ST1b中,使排氣裝置50作動,將處理容器12內之壓力設定為特定壓力。於步驟ST1b中,將第1高頻自第1高頻電源62供給至下部電極LE。
於步驟ST1b中,生成處理氣體之電漿,於自開口MO1露出之部位蝕刻有機層OL,抗蝕劑遮罩RM亦被蝕刻。其結果,如圖9所示,將有機層OL之整個區域中自開口MO1露出之部分去除,於有機層OL形成開口MO2。
再者,於本實施形態中,使用具有由開口MO所提供之圖案之抗蝕劑遮罩RM,但若能於有機層OL形成開口MO2,則不限定於此。例如,亦可為如下態樣,即,藉由設置於有機層OL上之具有圖案之鎢等金屬遮罩,蝕刻有機層OL,其後,將鎢等該金屬遮罩去除。
繼步驟ST1b之後,執行步驟ST1c。於步驟ST1c中,蝕刻氧化膜OX及第2絕緣膜IS2。為此,自氣體源群40之複數個氣體源中所選擇之氣體源向處理容器12內供給處理氣體。於一例之步驟ST1c中,將包含氟碳氣體之處理氣體供給至處理容器12內,繼而,將包含氫氟碳氣體、氮氣及氧氣之處理氣體供給至處理容器12內。作為氟碳氣體,例如能夠使用CF4 氣體及C4 F8 氣體。作為氫氟碳氣體,例如能夠使用CH2 F2 氣體。於步驟ST1c中,使排氣裝置50作動,將處理容器12內之壓力設定為特定壓力。於步驟ST1c中,將來自第1高頻電源62之第1高頻及來自第2高頻電源64之第2高頻供給至下部電極LE。
於步驟ST1c中,生成處理氣體之電漿,蝕刻氧化膜OX及第2絕緣膜IS2。第2絕緣膜IS2被蝕刻至第2絕緣膜IS2之膜厚方向之中途。於步驟ST1c中,抗反射膜AL亦被蝕刻。其結果,如圖10所示,將氧化膜OX之整個區域及第2絕緣膜IS2之整個區域中自開口MO2露出之部分去除,於氧化膜OX形成開口,於第2絕緣膜IS2形成通孔VH1(第1通孔)。通孔VH1設置於第2絕緣膜IS2中自金屬遮罩MK之開口OP露出之部位之一部分(自開口OP露出之部位中包含該部位之中央之部分)。於步驟ST1c中,抗反射膜AL被去除,而有機層OL之膜厚略微減少。
繼步驟ST1c之後,執行步驟ST1d。於步驟ST1d中,將有機層OL去除。為此,自氣體源群40之複數個氣體源中所選擇之氣體源向處理容器12內供給處理氣體。該處理氣體可包含二氧化碳氣體。於步驟ST1d中,使排氣裝置50作動,將處理容器12內之壓力設定為特定壓力。於步驟ST1d中,將第1高頻自第1高頻電源62供給至下部電極LE。
於步驟ST1d中,生成處理氣體之電漿,進行有機層OL之灰化。其結果,如圖11所示,將有機層OL去除,使金屬遮罩MK露出。步驟ST1d之結果,露出之晶圓W之表面FC包含露出之金屬遮罩MK之表面、氧化膜OX之表面、通孔VH1之側面SF、底面BF。具有開口OP之金屬遮罩MK向氧化膜OX之表面提供開口TO。藉此,成為如下形狀,即,形成由金屬遮罩MK之開口OP與氧化膜OX之表面之開口TO構成之溝槽TR1,進而於溝槽TR1之一部分設置有通孔VH1。再者,於圖11所示之構成中,金屬遮罩MK之開口OP具有較通孔VH1之寬度寬之寬度,但不限於此種情形。開口OP之寬度亦可存在與通孔VH1之寬度相同之情形,還可存在較通孔VH1之寬度小之情形。於通孔VH1較通孔VH1之寬度小之情形時,執行步驟ST1c時,蝕刻氧化膜OX及第2絕緣膜IS2,與此同時,蝕刻金屬遮罩MK之一部分,金屬遮罩MK中被蝕刻之部分之寬度與通孔VH1之寬度相同。
繼步驟ST1d之後,執行步驟ST1e。於步驟ST1e中,蝕刻第2絕緣膜IS2。以下,對步驟ST1e進行詳細說明。圖6係表示圖5所示之步驟ST1e之詳細情況之一例之流程圖。如圖6所示,步驟ST1e包含順序SQ1、步驟ST1ec。順序SQ1包含步驟ST1ea(第1步驟)、步驟ST1eb(第2步驟)。
於步驟ST1e中,首先執行步驟ST1ea。於步驟ST1ea中,如圖12所示,於配置於電漿處理裝置10之處理容器12內之晶圓W之溝槽TR1與通孔VH1之側面SF形成犧牲膜EX。於一實施形態中,犧牲膜EX係氧化矽膜。又,例如可具有低介電常數之特性。於下述ST1eb步驟中,犧牲膜EX與氧化膜OX以及第2絕緣膜IS2同時被蝕刻,但較理想為各者具有相同程度之蝕刻速率,因此較理想為犧牲膜EX係氧化膜OX或第2絕緣膜IS2所包含之材質。又,犧牲膜EX雖然於ST1eb中被蝕刻去除,但根據情形有可能以殘渣之形式殘留,於該情形時,認為於後續步驟中會成為第2絕緣膜IS2之一部分,而成為絕緣膜之介電常數增加之原因。即便自該側面進行考察,犧牲膜EX亦較理想為氧化膜OX或第2絕緣膜IS2所包含之材質。再者,於步驟ST1ea中,共形地形成犧牲膜EX。
對步驟ST1ea之詳細情況進行說明。圖7係表示圖6所示之步驟ST1ea之詳細情況之一例之流程圖。圖7所示之步驟ST1ea具備如下步驟作為主要步驟:使用ALD(Atomic Layer Deposition)方式於包含通孔VH1的側面SF之晶圓W之表面FC形成犧牲膜EX之步驟(順序SQ2);以及對形成於晶圓W之表面FC之犧牲膜EX進行蝕刻(回蝕)而使通孔VH1之底面BF露出之步驟(步驟ST1ea6)。
更詳細而言,如圖7所示,步驟ST1ea具備順序SQ2(第3步驟)、步驟ST1ea5、步驟ST1ea6(第4步驟)。順序SQ2具備步驟ST1ea1、步驟ST1ea2、步驟ST1ea3、步驟ST1ea4。於步驟ST1ea中,將順序SQ2執行一次以上。於步驟ST1ea1中,將含有矽之第1處理氣體G1作為前驅物氣體導入至處理容器12內。於步驟ST1ea1中,不生成第1處理氣體G1之電漿。第1處理氣體G1係胺基矽烷系氣體。於步驟ST1ea1中,自氣體源群40之複數個氣體源中選擇為前驅物氣體之氣體源將胺基矽烷系氣體之第1處理氣體G1供給至處理容器12內。於一實施形態中,第1處理氣體G1可使用單胺基矽烷(H3 -Si-R(R為胺基))作為胺基矽烷系氣體。
又,胺基矽烷系氣體可包含能夠具有1~3個矽原子之胺基矽烷,可包含具有1~3個胺基之胺基矽烷。具有1~3個矽原子之胺基矽烷可為具有1~3個胺基之甲矽烷、具有1~3個胺基之乙矽烷、或具有1~3個胺基之丙矽烷。進而,上述胺基矽烷能夠具有可經取代之胺基。胺基矽烷系氣體可為BTBAS(Bistertiarybutylaminosilane,雙第三丁基胺基矽烷)、BDMAS(Bisdimethylaminosilane,雙二甲胺基矽烷)、BDEAS(Bisdiethylaminosilane,雙二乙胺基矽烷)、DMAS(dimethylaminosilane,二甲胺基矽烷)、DEAS(diethylaminosilane,二乙胺基矽烷)、DPAS(Dipropylaminosilane,二丙基胺基矽烷)、BAS(Butylaminosilane,丁基胺基矽烷)、BEMAS(Bisethylmethylaminosilane,雙乙基甲基胺基矽烷)、或TDMAS(Tridimethylaminosilane,三(二甲胺基)矽烷)。又,胺基矽烷系氣體係具有烷基矽烷基之胺基矽烷系氣體,可為HDMS(hexamethyldisilazane,六甲基二矽氮烷)、DMSDMA(Dimethylsilyldimethylamine,二甲基矽烷基二甲胺)、TMSDMA(Dimethilaminotrimethylsilane,二甲胺基三甲基矽烷)、TMMAS(Trimethylmethylaminosilane,三甲基(甲基胺基)矽烷)、TMICS(Trimethyl(isocyanato)silane,三甲基(異氰酸酯基)矽烷)、TMSA(Trimethylsilylacetylene,三甲基矽烷基乙炔)、或TMSC(Trimethylsilylcyanide,三甲基氰矽烷)。作為前驅物氣體,不限定於胺基矽烷系氣體,亦可為以TEOS(Tetraethoxysilan,四乙氧基矽烷)為代表之矽烷氧化物系氣體。又,可包含SiCl4 、SiF4 等鹵化矽。於步驟ST1ea1中,不生成第1處理氣體G1之電漿,但不限定於此。
如圖17之(a)部分所示,第1處理氣體G1之分子作為反應前驅物附著於晶圓W之表面FC。第1處理氣體G1之分子係藉由基於化學鍵之化學吸附而附著於晶圓W之表面FC,不使用電漿。藉由第1處理氣體G1之分子附著於晶圓W之表面FC,如圖17之(b)部分所示,於表面FC形成反應前驅物之層Ly1。層Ly1之材料包含第1處理氣體G1之分子。
繼步驟ST1ea1之後,執行步驟ST1ea2。於步驟ST1ea2中,沖洗處理容器12內之空間。具體而言,將步驟ST1ea1中所供給之第1處理氣體G1排出。於步驟ST1ea2中,可向處理容器12供給氮氣等惰性氣體作為沖洗氣體。即,步驟ST1ea2之沖洗可為使惰性氣體流入處理容器12內之氣體沖洗、或藉由抽真空進行之沖洗之任一種。於步驟ST1ea2中,亦能夠去除過剩地附著於晶圓W上之分子。藉由以上,反應前驅物之層Ly1成為極薄之單分子層。
繼步驟ST1ea2之後,執行步驟ST1ea3。於步驟ST1ea3中,於處理容器12內生成包含氧氣之第2處理氣體之電漿P1。於步驟ST1ea3中,自氣體源群40之複數個氣體源中選擇之氣體源將包含氧氣之第2處理氣體供給至處理容器12內。自第1高頻電源62供給高頻電力。於該情形時,亦可施加第2高頻電源64之偏壓電力。亦可不使用第1高頻電源62而僅使用第2高頻電源64生成電漿。藉由使排氣裝置50動作,將處理容器12內之空間之壓力設定為特定壓力。
藉由執行上述步驟ST1ea1而附著於晶圓W之表面之分子(構成層Ly1之單分子層之分子)包含矽與氫之鍵。矽與氫之鍵能較矽與氧之鍵能低。因此,於步驟ST1ea3中,如圖17之(b)部分所示,若生成包含氧氣之第2處理氣體之電漿P1,則生成氧之活性種、例如氧自由基,構成層Ly1之單分子層之分子之氫被取代為氧,如圖17之(c)部分所示,以單分子層之形式形成作為氧化矽膜之層Ly2。
繼步驟ST1ea3之後,執行步驟ST1ea4。於步驟ST1ea4中,沖洗處理容器12內之空間。具體而言,將步驟ST1ea3中所供給之第2處理氣體排出。於步驟ST1ea4中,可向處理容器12供給氮氣等惰性氣體作為沖洗氣體。即,步驟ST1ea4之沖洗可為使惰性氣體流入處理容器12內之氣體沖洗、或藉由抽真空進行之沖洗之任一種。
於以上說明之順序SQ2中,於步驟ST1ea2中進行沖洗,於繼步驟ST1ea2之後之步驟ST1ea3中,構成層Ly1之分子之氫被取代為氧。因此,與ALD法同樣地,藉由1次順序SQ2之執行,可於晶圓W之表面FC以均勻之膜厚共形地形成氧化矽膜之層Ly2。
繼順序SQ2之後,執行步驟ST1ea5。於步驟ST1ea5中,判定是否結束順序SQ2之執行。具體而言,於步驟ST1ea5中,判定順序SQ2之執行次數是否已達到特定次數。順序SQ2之執行次數之決定係決定形成於晶圓W之表面FC之犧牲膜EX之膜之厚度。即,由1次順序SQ2之執行所形成之氧化矽膜之膜厚與順序SQ2之執行次數之積實質性地決定最終形成於晶圓W之表面FC之犧牲膜EX之膜之厚度。因此,根據形成於晶圓W之表面FC之犧牲膜EX之所需厚度,設定順序SQ2之執行次數。
於步驟ST1ea5中判定順序SQ2之執行次數未達特定次數之情形時(步驟ST1ea5:否(NO)),再次重複順序SQ2之執行。另一方面,於步驟ST1ea5中判定順序SQ2之執行次數達到特定次數之情形時(步驟ST1ea5:是(YES)),結束順序SQ2之執行,執行步驟ST1ea6。藉此,如圖12所示,於晶圓W之表面FC形成作為氧化矽膜之犧牲膜EX。即,藉由以特定次數重複順序SQ2,而具有特定膜厚之犧牲膜EX以均勻之膜厚共形地形成於晶圓W之表面FC。
繼步驟ST1ea5:是之後,執行步驟ST1ea6。於步驟ST1ea6中,蝕刻犧牲膜EX。藉由步驟ST1ea6,將犧牲膜EX中覆蓋通孔VH1之側面SF之部分除外而去除犧牲膜EX。為了將犧牲膜EX中覆蓋通孔VH1之側面SF之部分除外而選擇性地去除犧牲膜EX,需要各向異性之蝕刻條件。因此,於步驟ST1ea6中,自氣體源群40之複數個氣體源中選擇之氣體源將包含氟碳氣體之處理氣體供給至處理容器12內。自第1高頻電源62供給高頻電力。自第2高頻電源64供給高頻偏壓電力。藉由使排氣裝置50動作,而將處理容器12內之空間之壓力設定為特定壓力。藉此,生成氟碳氣體之電漿。生成之電漿中之包含氟之活性種藉由利用高頻偏壓電力向與晶圓W交叉之方向(更具體而言,係相對於通孔VH1之底面BF大致垂直之方向,且相對於通孔VH1之側面SF大致平行之方向)引入,而將犧牲膜EX中覆蓋溝槽TR之側面SF之部分除外,選擇性地蝕刻犧牲膜EX。其結果,如圖13所示,將犧牲膜EX中覆蓋通孔VH1之側面SF之部分除外,選擇性地去除犧牲膜EX,犧牲膜EX中覆蓋通孔VH1之側面SF之部分殘留。
返回至圖6進行說明。繼步驟ST1ea之後,執行步驟ST1eb。於步驟ST1eb中,如圖14所示,對犧牲膜EX、氧化膜OX以及第2絕緣膜IS2進行蝕刻,自通孔VH1之底面BF至更深之位置形成通孔VH2(第2通孔),自溝槽TR1以及通孔VH1去除犧牲膜EX。為此,自氣體源群40之複數個氣體源中選擇之氣體源向處理容器12內供給處理氣體。於一例之步驟ST1eb中,將包含氟碳氣體之處理氣體供給至處理容器12內。作為氟碳氣體,例如能夠使用CF4 氣體及C4 F8 氣體。於步驟ST1eb中,使排氣裝置50作動,將處理容器12內之壓力設定為特定壓力。於步驟ST1eb中,將來自第1高頻電源62之第1高頻及來自第2高頻電源64之第2高頻供給至下部電極LE。
於步驟ST1eb中,生成處理氣體之電漿,對犧牲膜EX、氧化膜OX及第2絕緣膜IS2進行蝕刻。於步驟ST1eb中,對由金屬遮罩MK之開口OP與氧化膜OX之表面之開口TO構成之溝槽TR1以成為更深之溝槽形狀之方式進行蝕刻,又,將犧牲膜EX全部去除,將第2絕緣膜IS2蝕刻至第2絕緣膜IS2之膜厚方向之中途且較通孔VH1之深度更深之位置。當步驟ST1eb完成時,溝槽TR1之深度變得更深,且通孔VH1因蝕刻而消失。其結果,如圖14所示,成為如下構成,即,自溝槽TR1形成具有開口OP之寬度之溝槽TR2,又,犧牲膜EX被全部去除(至少自通孔VH1去除犧牲膜EX),又,通孔VH1消失,新形成通孔VH2,且於溝槽TR2之一部分設置有通孔VH2。
對步驟ST1eb中用以自通孔VH1去除犧牲膜EX之條件更具體地進行說明。如圖13所示,步驟ST1eb之執行開始時之犧牲膜EX至少於通孔VH1內僅附著於通孔VH1之側面SF。該條件係下述(i)且(ii)。 條件(i):步驟ST1eb中之對犧牲膜EX及第2絕緣膜IS2之蝕刻之執行時間係通孔VH1之深度(自通孔VH1之底面BF至通孔VH1之開口的通孔VH1之側面SF之長度)除以犧牲膜EX之蝕刻速率所得之商之值以上。 條件(ii):犧牲膜EX之蝕刻速率與第2絕緣膜IS2之蝕刻速率為相同程度。
更具體地說明上述條件(i)及條件(ii)。若將步驟ST1eb中之對犧牲膜EX及第2絕緣膜IS2之蝕刻之執行時間表示為ET[s],將通孔VH1之深度(自通孔VH1之底面BF至通孔VH1之開口的通孔VH1之側面SF之長度)之值表示為D[nm],將犧牲膜EX之蝕刻速率之值表示為ER1[nm/s],將第2絕緣膜IS2之蝕刻速率之值表示為ER2[nm/s],則條件(i)與ET≧(D/ER1)[s]等價,條件(ii)與ER1/ER2=1±Δ(Δ為微小值)等價。
步驟ST1eb中之對犧牲膜EX及第2絕緣膜IS2之蝕刻之執行時間未達通孔VH1之深度(自通孔VH1之底面BF至通孔VH1之開口的通孔VH1之側面SF之長度)除以犧牲膜EX之蝕刻速率所得之商之值之情形(ET<(D/ER1)[s]之情形)時,藉由步驟ST1eb中之蝕刻而通孔VH1內之犧牲膜EX(附著於通孔VH1之側面SF之犧牲膜EX)未被完全去除,於通孔VH1之側面SF殘留有犧牲膜EX。於該情形時,因殘留於通孔VH1之側面SF之犧牲膜EX而第2絕緣膜IS2之介電常數上升,因此,配線間之寄生電容亦可能上升。
即便於步驟ST1eb中之對犧牲膜EX及第2絕緣膜IS2之蝕刻之執行時間為通孔VH1之深度(自通孔VH1之底面BF至通孔VH1之開口的溝槽TR之側面SF之長度)除以犧牲膜EX之蝕刻速率所得之商之值以上之情形(ET≧(D/ER1)[s]之情形)時,當犧牲膜EX之蝕刻速率與第2絕緣膜IS2之蝕刻速率相比相對較大時(ER1/ER2>>1時),位於通孔VH1之側面SF之犧牲膜EX於步驟ST1eb之相對較早之時間點被去除,因此通孔VH之剖面形狀並非所需之形狀,例如可能成為階梯狀等複雜之(變形之)形狀。
即便於步驟ST1eb中之對犧牲膜EX及第2絕緣膜IS2之蝕刻之執行時間為通孔VH1之深度(自通孔VH1之底面BF至溝槽TR之開口的通孔VH1之側面SF之長度)除以犧牲膜EX之蝕刻速率所得之商之值以上之情形(ET≧(D/ER1)[s]之情形)時,當第2絕緣膜IS2之蝕刻速率與犧牲膜EX之蝕刻速率相比相對較大時(ER1/ER2<<1時),藉由步驟ST1eb之蝕刻之執行,亦難以將位於通孔VH1之側面SF之犧牲膜EX去除,於步驟ST1eb結束時,於通孔VH1之側面SF亦殘留有犧牲膜EX,因此,通孔VH1之剖面形狀並非所需之形狀,例如可能成為形成突起部等複雜之(變形之)形狀。
繼步驟ST1eb之後,執行步驟ST1ec。於步驟ST1ec中,判定是否結束包含步驟ST1ea及步驟ST1eb之順序SQ1,於再次進行順序SQ1之情形(步驟ST1ec;否)時,再次執行步驟ST1ea及步驟ST1eb,於結束順序SQ1之情形(步驟ST1ec;是)時,結束步驟ST1e。即,於步驟ST1e中,如圖15所示,反覆執行順序SQ1直至通孔VH到達防擴散膜DL為止。
於步驟ST1e中僅執行一次順序SQ1之情形時,由於藉由僅執行一次步驟ST1eb而形成通孔VH,故於步驟ST1ea之一次執行中形成之犧牲膜EX必須具有能夠劃定通孔VH之最終寬度(到達防擴散膜DL之狀態之通孔VH之寬度)之厚度。根據抗蝕劑遮罩RM之開口MO之寬度及通孔VH之最終寬度等各種尺寸,可能產生如下情形:步驟ST1ea中形成之犧牲膜EX之厚度變得相對較厚,用於第2絕緣膜IS2之蝕刻之自由基之供給未到達通孔VH之底面而蝕刻停止。與此相對,於能夠反覆執行順序SQ1之方法MT中,即便於寬度相對較寬之開口MO之情形時,藉由以蝕刻不停止之方式抑制於步驟ST1ea之一次執行中形成之犧牲膜EX之厚度並且複數次執行順序SQ1,亦能夠使通孔VH朝向防擴散膜DL延伸並且階段性地縮小通孔VH之寬度,因此,蝕刻不會停止,且可確實地推進最終寬度(到達防擴散膜DL之狀態之通孔VH之寬度)之通孔VH之形成。
再者,於第2絕緣膜IS2之材料為具有細孔之多孔質材料之情形時,步驟ST1ea可於形成犧牲膜EX之前進行如下處理,即,將位於露出於通孔VH1之表面(側面SF及底面BF)之該多孔質材料之表層之該細孔封孔。尤其如圖7所示,於執行步驟ST1ea時使用ALD法之情形時,於通孔VH1之表面形成反應前驅物之層Ly1時,反應前驅物被吸收至第2絕緣膜IS2之細孔之內部,而第2絕緣膜IS2之介電常數可能上升。因此,較佳為於反應前驅物之層Ly1形成前,對第2絕緣膜IS2之表面(通孔VH1之表面)進行堵塞第2絕緣膜IS2之細孔等表面處理,以能夠抑制反應前驅物之吸收。又,於複數次重複順序SQ1之情形時,如圖14所示,於溝槽TR2之側壁部第2絕緣膜IS2之表面露出,於該情形時,亦較佳為於反應前驅物之層Ly1形成前,對第2絕緣膜IS2之表面(通孔VH2及溝槽TR2之表面)進行堵塞第2絕緣膜IS2之細孔等表面處理,以能夠抑制反應前驅物之吸收。因此,如圖7所示,於順序SQ2之前,可具備進行第2絕緣膜IS2之表面處理(封孔處理)之步驟ST1ea7。封孔處理之一例係使用將有機矽化合物或有機溶劑用作製程氣體之CVD法或ALD法。於該情形時,由於矽或有機物所包含之材質填埋於細孔中,故可堵塞細孔。再者,由於經實施封孔處理之第2絕緣膜IS2之表層部分之介電常數略微上升,故較理想為,藉由步驟ST1e中之對絕緣膜之蝕刻,具體而言,步驟ST1eb中之對第2絕緣膜IS2及犧牲膜EX之蝕刻,將犧牲膜EX去除,使經封孔處理之第2絕緣膜IS2之表層部分再次露出後,藉由熱處理或濕式洗淨等去除堵塞細孔之材料,再次形成細孔。
於步驟ST1ea7中,例如可將細密之介電膜覆蓋第2絕緣膜IS2之表面(溝槽TR之表面)。藉由該介電膜,能夠抑制反應前驅物之吸收。又,於步驟ST1ea7中,例如,可於利用He處理第2絕緣膜IS2之表面(溝槽TR之表面)後,使用包含NH3 與Ar之混合氣體進行處理。藉由該處理而於第2絕緣膜IS2之表面生成Si-N鍵、C-N鍵,因此能夠將第2絕緣膜IS2之表面之細孔密封。
當步驟ST1e結束時,步驟ST1亦結束,因此繼步驟ST1之後,執行圖1所示之步驟ST2。返回至圖1進行說明。於步驟ST2中,經由藉由步驟ST1所形成之通孔VH蝕刻防擴散膜DL直至到達配線層WL(尤其是配線CW)為止。
於步驟ST2中,由於蝕刻防擴散膜DL直至配線CW露出為止,故生成包含氟碳氣體及/或氫氟碳氣體之處理氣體之電漿。為此,自氣體源群40之複數個氣體源中所選擇之氣體源向處理容器12內供給處理氣體。該處理氣體可包含CF4 氣體、CHF3 氣體、C4 F8 氣體、C4 F6 氣體、CH2 F2 氣體以及CH3 F氣體中一種以上之氣體。該處理氣體可包含稀有氣體、氮氣以及氧氣。例如該處理氣體包含CF4 氣體及C4 F8 氣體、氬氣(Ar)、氮氣以及氧氣。於步驟ST2中,使排氣裝置50作動,將處理容器12內之壓力設定為特定壓力。於步驟ST2中,將來自第1高頻電源62之第1高頻及來自第2高頻電源64之第2高頻供給至下部電極LE。
於步驟ST2中,生成處理氣體之電漿,蝕刻防擴散膜DL。其結果,如圖16所示,通孔VH延長至配線CW之表面。執行步驟ST2後,存在於配線CW之表面之銅變質為氟化銅。為了將該變質之銅表面再次改質為銅金屬,亦可於執行步驟ST2之後暴露於氫氣等電漿處理。又,亦可藉由濕式洗淨將變質為氟化銅之銅表面去除。繼步驟ST2之後,執行步驟ST3。於步驟ST3中,將金屬遮罩MK去除,並將Cu等金屬填充至溝槽TR及通孔VH。
根據上述方法MT,於設置於第2絕緣膜IS2之溝槽及通孔VH1之側面SF設置犧牲膜EX後(步驟ST1ea之後),於步驟ST1eb中蝕刻第2絕緣膜IS2,於通孔VH1之底面BF之更深之位置形成通孔VH2。因此,藉由犧牲膜EX而於通孔VH1之孔徑縮小之狀態下形成通孔VH2,故可形成微細之通孔VH。進而,於第2絕緣膜IS2之蝕刻時亦將溝槽及通孔VH1之側面SF之犧牲膜EX(更詳細而言,設置於溝槽之犧牲膜EX)去除,因此可抑制因犧牲膜EX引起之第2絕緣膜IS2之介電常數增加。進而,於步驟ST1ea中,共形地形成犧牲膜EX。於犧牲膜EX並非共形之情形時,有形成溝槽TR1之上部及通孔VH1之上部之膜厚與溝槽TR1之側部、底部及通孔VH1之側部、底部相比變厚之所謂懸突形狀的情形,於該情形時,預測溝槽TR1及通孔VH1之正面開口尺寸變得極小,而蝕刻受到阻礙,但於步驟ST1eb中,由於使用於步驟ST1ea中共形地形成之犧牲膜EX,故可使用犧牲膜EX實現雖微細但精密之蝕刻加工。進而,於形成犧牲膜EX之步驟ST1ea中,由於使用ALD方式共形地形成犧牲膜EX,故可使用犧牲膜EX實現更精密之蝕刻加工。進而,亦考慮犧牲膜EX具有低介電常數之特性之情形,但即便使用此種低介電常數之犧牲膜,於步驟ST1eb中,亦可蝕刻第2絕緣膜IS2。進而,可避免自步驟ST1ea至步驟ST1eb以低介電常數之第2絕緣膜IS2露出之狀態曝露於大氣中之事態。進而,由於自步驟ST1ea至步驟ST1eb係於單一之處理容器12內執行,故能夠確實地避免以低介電常數之第2絕緣膜IS2露出之狀態曝露於大氣中之事態。
進而,於第2絕緣膜IS2之材料為具有細孔之多孔質材料之情形時,由於藉由執行圖7所示之步驟ST1ea7而於形成犧牲膜EX之前將該細孔封孔,故能夠避免因用於形成犧牲膜EX之材料(反應前驅物)於形成犧牲膜EX時被吸收至細孔之內部而導致第2絕緣膜IS2之特性(尤其是介電常數)變化的事態而使該事態為最小限度。進而,由於藉由以蝕刻不停止之方式抑制於步驟ST1ea之一次執行中形成之犧牲膜EX之厚度並且複數次執行順序SQ2,能夠使通孔VH朝向防擴散膜DL延伸並且階段性地縮小通孔VH之寬度,故蝕刻不會停止,且可確實地推進最終寬度(到達防擴散膜DL之狀態之通孔VH之寬度)之通孔VH之形成。
步驟ST1eb中進行之對犧牲膜EX及第2絕緣膜IS2之蝕刻之執行時間(ET[s])為溝槽TR之深度(D[nm])除以犧牲膜EX之蝕刻速率(ER1[nm/s])所得之商之值以上(ET≧(D/ER1)[s]),犧牲膜EX之蝕刻速率(ER1[nm/s])與絕緣膜之蝕刻速率(ER2[nm/s])為相同程度(ER1/ER2=1±/Δ(Δ為微小值))。若如此調整步驟ST1eb中進行之蝕刻之執行時間(ET[s]),則於步驟ST1eb中之蝕刻時,能夠將位於溝槽TR之側面SF且具有與溝槽TR之深度(D[nm])對應之長度之犧牲膜EX較佳地去除。
以上,於較佳之實施形態中圖示說明了本發明之原理,但業者應認識到,本發明可於不脫離上述原理之範圍內對配置及詳細內容進行變更。本發明不限定於本實施形態所揭示之特定構成。因此,對源自申請專利範圍及其精神範圍之所有修正及變更申請權利。
10‧‧‧電漿處理裝置12‧‧‧處理容器12e‧‧‧排氣口12g‧‧‧搬入搬出口14‧‧‧支持部18a‧‧‧第1板18b‧‧‧第2板22‧‧‧直流電源23‧‧‧開關24‧‧‧冷媒流路26a‧‧‧配管26b‧‧‧配管28‧‧‧氣體供給管線30‧‧‧上部電極32‧‧‧絕緣性遮蔽構件34‧‧‧頂板34a‧‧‧氣體噴出孔36‧‧‧支持體36a‧‧‧氣體擴散室36b‧‧‧氣體通流孔36c‧‧‧氣體導入口38‧‧‧氣體供給管40‧‧‧氣體源群42‧‧‧閥群44‧‧‧流量控制器群46‧‧‧積存物遮罩48‧‧‧排氣板50‧‧‧排氣裝置52‧‧‧排氣管54‧‧‧閘閥62‧‧‧第1高頻電源64‧‧‧第2高頻電源66‧‧‧匹配器68‧‧‧匹配器70‧‧‧電源110‧‧‧基板處理系統112‧‧‧裝載模組112c‧‧‧搬送腔室112r‧‧‧搬送機器臂116‧‧‧傳送模組116c‧‧‧搬送腔室116r‧‧‧搬送機器臂120‧‧‧台122‧‧‧晶圓搬送盒141‧‧‧加載互鎖模組141c‧‧‧腔室142‧‧‧加載互鎖模組142c‧‧‧腔室181‧‧‧製程模組182‧‧‧製程模組183‧‧‧製程模組184‧‧‧製程模組AL‧‧‧抗反射膜BF‧‧‧底面BL‧‧‧障壁金屬膜Cnt‧‧‧控制部CW‧‧‧配線D‧‧‧溝槽TR之深度DL‧‧‧防擴散膜ESC‧‧‧靜電吸盤EX‧‧‧犧牲膜FC‧‧‧表面FR‧‧‧聚焦環G1‧‧‧第1處理氣體HP‧‧‧加熱器電源HT‧‧‧加熱器IS1‧‧‧第1絕緣膜IS2‧‧‧第2絕緣膜LE‧‧‧下部電極Ly1‧‧‧層Ly2‧‧‧層MK‧‧‧金屬遮罩MO‧‧‧開口MO1‧‧‧開口MO2‧‧‧開口MT‧‧‧方法OL‧‧‧有機層OP‧‧‧開口OX‧‧‧氧化膜P1‧‧‧電漿PD‧‧‧載置台RM‧‧‧抗蝕劑遮罩S‧‧‧處理空間SF‧‧‧側面ST1‧‧‧步驟ST2‧‧‧步驟ST3‧‧‧步驟ST1a‧‧‧步驟ST1b‧‧‧步驟ST1c‧‧‧步驟ST1d‧‧‧步驟ST1e‧‧‧步驟ST1ea‧‧‧步驟ST1eb‧‧‧步驟ST1ec‧‧‧步驟ST1ea1‧‧‧步驟ST1ea2‧‧‧步驟ST1ea3‧‧‧步驟ST1ea4‧‧‧步驟ST1ea5‧‧‧步驟ST1ea6‧‧‧步驟ST1ea7‧‧‧步驟SQ1‧‧‧順序SQ2‧‧‧順序TO‧‧‧開口TR‧‧‧溝槽TR1‧‧‧溝槽TR2‧‧‧溝槽VH‧‧‧通孔VH1‧‧‧通孔VH2‧‧‧通孔W‧‧‧晶圓WL‧‧‧配線層
圖1係表示一實施形態之方法之一例之流程圖。圖2係例示作為圖1所示之方法之適用對象之被處理體之剖視圖。圖3係概略性地表示能夠用於實施圖1所示之方法之基板處理系統及洗淨裝置之一例之圖。 圖4係概略性地表示能夠用於實施圖1所示之方法之電漿處理裝置之一例之圖。 圖5係表示圖1所示之步驟ST1之詳細情況之一例之流程圖。 圖6係表示圖5所示之步驟ST1e之詳細情況之一例之流程圖。 圖7係表示圖6所示之步驟ST1ea之詳細情況之一例之流程圖。 圖8係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖9係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖10係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖11係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖12係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖13係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖14係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖15係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖16係表示實施圖1所示之方法之中途階段之被處理體之一部分之放大剖視圖。 圖17(a)~(c)係模式性地表示圖12所示之犧牲膜之形成情況之圖。
MT‧‧‧方法
ST1‧‧‧步驟
ST2‧‧‧步驟
ST3‧‧‧步驟

Claims (11)

  1. 一種處理被處理體之方法,該被處理體具備具有配線之配線層、設置於該配線層上之防擴散膜、設置於該防擴散膜上之絕緣膜、以及設置於該絕緣膜上且提供開口之金屬遮罩;該絕緣膜具備設置於自該開口露出之部位之一部分中之溝槽、以及設置於該溝槽之一部分之第1通孔;且該方法具備:第1步驟,其係於上述被處理體之上述溝槽與上述第1通孔之側面形成犧牲膜,其中上述犧牲膜係共形地形成;以及第2步驟,其係對上述犧牲膜及上述絕緣膜進行蝕刻,於上述第1通孔之底面之更深位置形成第2通孔,並自該溝槽及該第1通孔去除該犧牲膜。
  2. 如請求項1之方法,其中當第2步驟完成時,上述溝槽之深度變得更深,且上述第1通孔因蝕刻而消失。
  3. 如請求項1或2之方法,其中上述第1步驟具備:第3步驟,其係使用ALD(Atomic Layer Deposition,原子層沉積)方式於包含上述溝槽之側面之上述被處理體之表面形成上述犧牲膜;以及第4步驟,其係對形成於上述被處理體之表面之上述犧牲膜進行蝕刻,使上述溝槽之上述底面露出。
  4. 如請求項1或2之方法,其中上述絕緣膜係氧化矽膜、具有低介電常數之特性之含矽膜、或氧化矽膜與具有低介電常數之特性之含矽膜積層而成之膜。
  5. 如請求項1或2之方法,其中上述犧牲膜具有低介電常數之特性。
  6. 如請求項1或2之方法,其中上述犧牲膜係氧化矽膜。
  7. 如請求項1或2之方法,其中自上述第1步驟至上述第2步驟係於連續真空之環境下執行。
  8. 如請求項1或2之方法,其中自上述第1步驟至上述第2步驟係於單一之處理容器內執行。
  9. 如請求項1或2之方法,其中於上述絕緣膜之材料為具有細孔之多孔質材料之情形時,上述第1步驟於形成上述犧牲膜之前,進行將位於露出於上述溝槽之表面之該多孔質材料之表層之該細孔封孔之處理。
  10. 如請求項1或2之方法,其中反覆執行包含上述第1步驟與上述第2步驟之順序直至上述通孔到達上 述防擴散膜為止。
  11. 如請求項1或2之方法,其中上述第2步驟中進行之對上述犧牲膜及上述絕緣膜之蝕刻之執行時間為上述溝槽之深度除以該犧牲膜之蝕刻速率所得之商之值以上,且該犧牲膜之蝕刻速率與該絕緣膜之蝕刻速率為相同程度。
TW107125297A 2017-08-03 2018-07-23 處理被處理體之方法 TWI780185B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017150927A JP6877290B2 (ja) 2017-08-03 2017-08-03 被処理体を処理する方法
JP2017-150927 2017-08-03

Publications (2)

Publication Number Publication Date
TW201911415A TW201911415A (zh) 2019-03-16
TWI780185B true TWI780185B (zh) 2022-10-11

Family

ID=65229953

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107125297A TWI780185B (zh) 2017-08-03 2018-07-23 處理被處理體之方法

Country Status (4)

Country Link
US (1) US10998223B2 (zh)
JP (1) JP6877290B2 (zh)
CN (1) CN109390274B (zh)
TW (1) TWI780185B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109860432B (zh) * 2018-12-17 2021-01-15 深圳市华星光电技术有限公司 显示器封装结构及其制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060286795A1 (en) * 2005-06-21 2006-12-21 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US20080171433A1 (en) * 2007-01-11 2008-07-17 Huang Chun-Jen Damascene interconnection structure and dual damascene process thereof
CN101667555A (zh) * 2005-12-07 2010-03-10 佳能株式会社 使用双镶嵌工艺制造半导体器件的方法以及制造具有连通孔的制品的方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW411503B (en) * 1999-07-23 2000-11-11 Taiwan Semiconductor Mfg Method of forming bottom anti-reflective coating on substrate
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法
JP4477750B2 (ja) * 2000-06-26 2010-06-09 東京エレクトロン株式会社 エッチング方法
DE10228807B4 (de) * 2002-06-27 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Mikrostrukturelementen
CN100407400C (zh) * 2003-05-29 2008-07-30 日本电气株式会社 布线结构
JP4057972B2 (ja) * 2003-07-25 2008-03-05 富士通株式会社 半導体装置の製造方法
US7309653B2 (en) * 2005-02-24 2007-12-18 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
JP2007123766A (ja) 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
JP4666308B2 (ja) * 2006-02-24 2011-04-06 富士通セミコンダクター株式会社 半導体装置の製造方法
CN100517606C (zh) * 2006-12-22 2009-07-22 中芯国际集成电路制造(上海)有限公司 通孔刻蚀方法
US7741218B2 (en) * 2007-02-27 2010-06-22 Freescale Semiconductor, Inc. Conductive via formation utilizing electroplating
JP5342811B2 (ja) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US9184054B1 (en) * 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
JP6373150B2 (ja) 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP2017059750A (ja) * 2015-09-18 2017-03-23 東京エレクトロン株式会社 被処理体を処理する方法
JP6537473B2 (ja) * 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060286795A1 (en) * 2005-06-21 2006-12-21 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
CN101667555A (zh) * 2005-12-07 2010-03-10 佳能株式会社 使用双镶嵌工艺制造半导体器件的方法以及制造具有连通孔的制品的方法
US20080171433A1 (en) * 2007-01-11 2008-07-17 Huang Chun-Jen Damascene interconnection structure and dual damascene process thereof

Also Published As

Publication number Publication date
CN109390274A (zh) 2019-02-26
TW201911415A (zh) 2019-03-16
US20190043753A1 (en) 2019-02-07
JP6877290B2 (ja) 2021-05-26
KR20190015132A (ko) 2019-02-13
CN109390274B (zh) 2023-09-05
JP2019029619A (ja) 2019-02-21
US10998223B2 (en) 2021-05-04

Similar Documents

Publication Publication Date Title
JP7267926B2 (ja) 半導体デバイス製造における酸化スズ膜
CN107464747B (zh) 使用ale和选择性沉积蚀刻衬底
US11380551B2 (en) Method of processing target object
JP7320646B2 (ja) 被処理体を処理する方法
JP6529357B2 (ja) エッチング方法
TWI775839B (zh) 具有選擇性阻隔層的結構
JP2024045236A (ja) プラズマ処理装置および基板処理装置
JP2021077843A (ja) 基板処理方法および基板処理装置
CN110783188B (zh) 蚀刻方法和蚀刻装置
TW201919127A (zh) 改良之金屬接觸定位結構
CN107731677B (zh) 处理被处理体的方法
WO2018008640A1 (ja) 被処理体を処理する方法
TWI780185B (zh) 處理被處理體之方法
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
JP2018182104A (ja) 成膜方法
JP2007221165A (ja) プラズマcvd成膜方法及びプラズマcvd成膜装置
KR102678853B1 (ko) 피처리체를 처리하는 방법
JP2018182103A (ja) エッチング方法
TWI758464B (zh) 含矽間隔物的選擇性形成
TW202249058A (zh) 選擇性阻障金屬蝕刻

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent