TWI763858B - 碳化硼硬遮罩的乾式剝除 - Google Patents

碳化硼硬遮罩的乾式剝除

Info

Publication number
TWI763858B
TWI763858B TW107118593A TW107118593A TWI763858B TW I763858 B TWI763858 B TW I763858B TW 107118593 A TW107118593 A TW 107118593A TW 107118593 A TW107118593 A TW 107118593A TW I763858 B TWI763858 B TW I763858B
Authority
TW
Taiwan
Prior art keywords
boron carbide
substrates
process gas
substrate
pressure vessel
Prior art date
Application number
TW107118593A
Other languages
English (en)
Other versions
TW201903837A (zh
Inventor
帕拉米特 曼納
江施施
亞伯希吉特巴蘇 馬禮克
寇迪斯 勒施基斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201903837A publication Critical patent/TW201903837A/zh
Application granted granted Critical
Publication of TWI763858B publication Critical patent/TWI763858B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本案的實施例一般涉及用於乾式剝除沉積在半導體基板上的碳化硼層的方法。在一個實施方案中,該方法包括將具有碳化硼層的基板裝載到壓力容器中,將基板暴露於包含氧化劑的處理氣體,該氧化劑的壓力在約500托和60巴之間,將壓力容器加熱到大於處理氣體的冷凝點的溫度,並從壓力容器中除去處理氣體和碳化硼層之間的反應的一種或多種產物。

Description

碳化硼硬遮罩的乾式剝除
本案的實施例一般係關於積體電路的製造,並且特定地係關於乾式剝除在半導體基板上的碳化硼層的方法。
形成諸如記憶體元件,邏輯元件,微處理器等的半導體元件涉及形成硬遮罩。硬遮罩經形成而作為待蝕刻的底層基板上的覆蓋層。在使用光阻層作為圖案來蝕刻硬遮罩之前,在硬遮罩上形成光阻的圖案化層。在圖案化硬遮罩之後,剝除光致抗蝕劑層,使得硬遮罩保持用於蝕刻下面的基板的唯一圖案。雖然硬遮罩是在下面的基板上形成的單獨的層,會經蝕刻,然後被從基板上移除,但是其對蝕刻處理的經改良的抵抗性以及其降低的成本會使得硬遮罩成為理想的。眾所周知,硼摻雜的碳和碳化硼的膜由於優異的圖案化性能而產生高質量的硬遮罩。
然而,在蝕刻之後難以從下面的基板移除或剝除碳化硼層,因為使用常規氧電漿不能將碳化硼層灰化。可以使用氟或氯(與氧氣一起)乾燥剝除碳化硼層; 然而,氟和氯對通常在半導體基板上發現的諸如氧化矽、氮化矽和氮氧化矽的介電材料具有腐蝕性。若使用濕蝕刻溶液,也會損壞通常在半導體基板上發現的暴露的金屬表面或嵌入的金屬。
因此,需要一種從半導體基板乾式剝除碳化硼層的改良的方法。
本案的實施例一般涉及用於乾式剝除沉積在半導體基板上的碳化硼層的方法。在一個實施方案中,該方法包括將具有碳化硼層的基板裝載到壓力容器中,將基板暴露於包含氧化劑的處理氣體,該氧化劑的壓力在約500托和60巴之間,將壓力容器加熱到大於處理氣體的冷凝點的溫度,並從壓力容器中除去處理氣體和碳化硼層之間的反應的一種或多種產物。
在本案的另一實施方案中,該方法包括將具有碳化硼層的一第一基板的一或更多個基板裝載到壓力容器中,將第一基板暴露於包含氧化劑的處理氣體,該氧化劑的壓力在約500托和60巴之間,將壓力容器加熱到大於處理氣體的冷凝點的溫度,並從壓力容器中除去處理氣體和碳化硼層之間的反應的一種或多種產物。
在另一個實施方案中,該方法包括將具有碳化硼層沉積在其上的至少一第一基板的一或更多個基板裝載到壓力容器中,將第一基板暴露於包含蒸汽的處理氣體,該蒸汽的壓力在約500托和60巴之間,將壓力容器加熱到大於處理氣體的冷凝點的溫度,並從壓力容器中除去處理氣體和碳化硼層之間的反應的一種或多種產物。
本案的實施例一般涉及用於乾式剝除沉積在半導體基板上的碳化硼層的方法。使用氧化劑(例如但不限於高壓蒸汽)將碳化硼層氧化成三氧化硼。然後三氧化硼與過量蒸汽反應產生氣態產物,例如硼酸和偏硼酸。將半導體基板上的固體碳化硼層轉化為氣態產物及隨後除去氣態產物的步驟提供了乾式剝除碳化硼層的有效方式。批量處理室(例如但不限於圖1中所示的和本文中所描述的壓力容器100)用於執行在複數個基板上乾式剝除碳化硼層的方法。本文描述的方法可以同樣地應用於設置在單個基板室中的單個基板,例如圖3中所示的示例性單個基板處理室300,或其他合適的單個基板處理室。
圖1是用於乾式剝除碳化硼層的批量處理壓力容器100的簡化前橫截面圖。壓力容器100具有主體110 ,主體110具有外表面112和包圍處理區域115的內表面113。在諸如圖1中的一些實施例中,主體110具有環形橫截面,但是在其他實施例中,主體110的橫截面可以是矩形或任何閉合形狀。主體110的外表面112可以由耐腐蝕鋼(CRS)製成,例如但不限於不銹鋼。主體110的內表面113可以從表現出高的耐腐蝕性的鎳基鋼合金製成,如但不限於HASTELLOY®。
壓力容器100具有門120,門120配置成將處理區域115密封地封閉在主體110內,使得當門120打開時可以進出處理區域115。密封件122用於將門120密封到主體110,以密封處理區域115以進行處理。密封件122可以由聚合物製成,例如但不限於全氟彈性體。冷卻通道124設置在門120上,與密封件122相鄰,以便在處理過程中將密封件122保持在密封件122的最大安全操作溫度以下。冷卻劑(例如但不限於惰性的,介電質的和/或高效能傳熱的流體)可在冷卻通道124內循環,以將密封件122保持在約攝氏250度至約攝氏275度之間的溫度,而處理區域115中的溫度約為800攝氏度。冷卻通道124內的冷卻劑的流動由控制器180藉由從溫度感測器116或流量感測器(未示出)接收的反饋來控制。
壓力容器100具有穿過主體110的端口117。端口117具有穿過其中的管118,管118連接到加熱器119。管118的一端連接到處理區域115。管118的另一端分叉成入口導管157和出口導管161。入口導管157經由隔離閥155流體地連接到氣體面板150。入口導管157連接到加熱器158。出口導管161經由隔離閥165流體連接到冷凝器160。出口導管161耦接到加熱器162。加熱器119、158和162配置成分別在高於處理氣體的冷凝點的溫度下保持流過管118,入口管157和出口管161的處理氣體。加熱器119、158和162由電源145供電。
氣體面板150配置成將包括處於壓力下的氧化劑的處理氣體提供到入口導管157中,以通過管118傳輸到處理區域115中。被引入處理區域115的處理氣體的壓力由連接到主體110的壓力感測器114監測。冷凝器160流體地耦接到冷卻流體並且配置成在通過管118而從處理區域115加以移除之後將流過出口管161的氣態產物加以冷凝。冷凝器 160將氣態產物從氣相轉換到液相。泵170流體地連接到該冷凝器160且自冷凝器160泵出液化產物。氣體面板150、冷凝器160和泵170的操作由控制器180控制。
隔離閥155和165配置成僅允許一種流體一次流過管118而進入處理區域115。當隔離閥155打開時,隔離閥165關閉,使得流過入口導管157的處理氣體進入處理區域115,防止處理氣體流入冷凝器160。在另一方面,當隔離閥165被打開時,隔離閥155被關閉,使得氣態產物從處理區域115移除,並流過出口管161,從而防止氣體產物流動進入氣體面板150。
一個或多個加熱器140設置在主體110上並且配置為加熱壓力容器100內的處理區域115。在一些實施例中,加熱器140設置在主體110的外表面112上,如圖1所示,但在其他實施例中,加熱器140可設置在主體110的內表面113上。加熱器140中的每一個可以是電阻線圈、燈、陶瓷加熱器、石墨基碳纖維複合材料(CFC)加熱器,不銹鋼加熱器或鋁加熱器等。加熱器140由電源145供電。透過從溫度感測器116接收的反饋,控制器180控制加熱器140的供電。溫度感測器116耦合到主體110並監控處理區域115的溫度。
耦合到致動器(未示出)的盒130移入和移出處理區域115。盒130具有頂表面132、底表面134和壁136。盒130的壁136具有複數個基板儲存槽138。每個基板儲存槽138沿著盒130的壁136均勻地間隔開。每個基板儲存槽138配置成在其中保持基板135。盒130可具有多達五十個基板儲存槽138,用於保持基板135。盒130提供有效的載體,用於將複數個基板135轉移到壓力容器100中和從壓力容器100中轉出,以及用於處理處理區域115中的複數個基板135。
控制器180控制壓力容器100的操作。控制器180控制氣體面板150、冷凝器160、泵170、隔離閥155和165以及電源145的操作。控制器180還通信地連接到溫度感測器116、壓力感測器114和冷卻通道124。控制器180包括中央處理單元(CPU)182、記憶體184和支援電路186。接著,CPU182可以是任何形式的、可以在工業環境中使用的通用電腦處理器。記憶體184可以是隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或其他形式的數位儲存器。支援電路186通常耦合到CPU 182,並且可以包括快取、時脈電路、輸入/輸出系統、電源等。
壓力容器100提供方便的腔室以執行從複數個基板135乾式剝除碳化硼層的方法。加熱器140通電以預熱壓力容器100。同時,加熱器119、158和162通電以分別預熱管118、入口導管157和出口導管161。
然後將複數個基板135裝載在盒130上。圖2A展示在半導體基板200上方的蝕刻層210上的圖案化碳化硼層220的簡化橫截面圖。當基板135裝載在盒130上時,每個基板135被觀察為圖2A中的半導體基板200。打開壓力容器100的門120以將盒130移動到處理區域115中。然後將門120密封地封閉以封閉腔室,以從盒130上的基板135的頂部剝除碳化硼層。一旦門120關閉,密封件122確保沒有來自處理區域115的壓力洩漏。
由氣體面板150將處理氣體提供到壓力容器100內的處理區域115中。隔離閥155由控制器180打開,以允許處理氣體流過入口導管157和管118而進入處理區域115。處理氣體以約500 sccm至約2000 sccm的流速引入約1分鐘至約2小時的時間。此時隔離閥165保持關閉。處理氣體是流入處理區域115的氧化劑。在一些實施方案中,處理氣體是蒸汽,其可以是在約500托和約60巴之間的壓力下的乾蒸汽或過熱蒸汽。然而,在其他實施方案中,可以使用其他氧化劑,例如但不限於臭氧、氧氣、過氧化氫或氨。在一個實施方案中,處理氣體是包含約5%蒸汽至100%氧化劑的混合物,例如約10%氧化劑至約80%氧化劑。在一個實例中,處理氣體是約5%蒸汽至100%蒸汽的混合物。當氣體面板150釋放出足夠的處理氣體時,控制器180關閉隔離閥155。由氣體面板150釋放的處理氣體的量是超過與沉積在複數個基板135上的碳化硼完全反應所需的處理氣體的量的量。例如,由氣體面板150釋放的蒸汽量可以是沉積在基板上的碳化硼量的至少十倍。
在處理基板135期間,處理區域115以及入口管157、出口管161和管118保持在一定溫度和壓力下,使得處理氣體保持氣相。基於處理氣體的組成選擇該壓力和溫度。處理區域115以及入口管157、出口管161和管118的溫度保持在大於施加壓力下處理氣體的冷凝點的溫度。例如,當使用10巴和60巴之間的壓力下的蒸汽進行處理時,處理區域115以及入口導管157、出口導管161和管118的溫度升高到介於大約攝氏300~700度之間的溫度。這確保了蒸汽不會冷凝成水(其對於層220下方的蝕刻層210和基板200是有害的)。
處理氣體流過基板135,使得碳化硼層與處理氣體反應以形成氣態產物。例如,碳化硼與蒸汽反應生成三氧化二硼(B2 O3 ),氫氣(H2 ),一氧化碳(CO)和二氧化碳(CO2 ),如反應(i)和(ii)所示: 2BC+5H2 O→B2 O3 +2CO+5H2 ................(i) 2BC+7 H2 O→B2 O3 +2CO2 +7H2 ................(ii) 然後三氧化硼(B2 O3 )與過量蒸汽反應生成硼酸(H3 BO 3)和偏硼酸(HBO2 ),如反應(iii)和(iv)所示: B2 O3 +H2 O→2HBO2 ...........................(ⅲ) B2 O3 +3H2 O→2H3 BO3 ........................(ⅳ) 硼酸和偏硼酸是揮發性產物。硼酸和偏硼酸與氫氣,一氧化碳和二氧化碳混合,形成碳化硼和蒸汽之間反應產物的氣態混合物。
當觀察到碳化硼層已從基板135完全剝除時,處理完成。然後打開隔離閥165以使來自處理區域115的氣態產物混合物通過管118和出口導管161流入冷凝器160。產物的氣態混合物在冷凝器160中冷凝成液相。然後經由泵170除去液化的產物混合物。當完全除去液化的產物混合物時,隔離閥165關閉。然後關閉加熱器140、119、158和162。然後打開壓力容器100的門120以從處理區域115移除盒130。圖2B是在移除碳化硼層之後在半導體基板200上方的蝕刻層210的簡化截面圖。當在移除碳化硼層之後從盒130卸載基板135時,每個基板135被觀察作為圖2B中的半導體基板200。基板135僅具有圖案化的蝕刻層210。
圖3是用於乾式剝除碳化硼層的單個基板處理室300的簡化前橫截面圖。單個基板處理室300具有主體310,主體310具有外表面312和包圍內部容積315的內表面313。在諸如圖3中的一些實施例中,主體310具有環形橫截面,但是在其他實施例中,主體310的橫截面可以是矩形或任何封閉形狀。主體310的外表面312可以由耐腐蝕鋼(CRS)製成,例如但不限於不銹鋼。一個或多個隔熱罩325設置在主體310的內表面313上,以防止熱量從單個基板處理室300損失到外部環境中。主體310以及隔熱罩325 的內表面313可以從表現出高的耐腐蝕性的鎳基鋼合金製成,諸如但不限於HASTELLOY®,INCONEL®和MONEL®。
基板支撐件330設置在內部容積315內。基板支撐件330具有桿334和由桿334保持的基板支撐構件332。桿334通過穿過腔室主體310而形成的通道322。連接到致動器338的桿339通過穿過腔室主體310而形成的第二通道323。桿339耦接到板335,板335具有容納基板支撐件330的桿334的孔336。提升銷337連接到基板支撐構件332。致動器338致動桿339,使得板335向上或向下移動以與提升銷337連接和斷開。隨著升降銷337升高或降低,基板支撐構件332在單個基板處理室300的內部容積315內升高或降低。基板支撐構件332具有嵌入其中心的電阻加熱元件331。電源333被配置為對電阻加熱元件331供電。電源333以及致動器338的操作由控制器380控制。
單個基板處理腔室300在主體310上具有開口311,基板320可以通過該開口311裝載到設置在內部容積315中的基板支撐件330和從基板支撐件330卸載。開口311在主體310上形成通道321。狹縫閥328配置成可密封地封閉通道321,使得僅當狹縫閥328打開時才能進出開口311和內部容積315。密封件327用於將狹縫閥328密封到主體310,以密封內部容積315以進行處理。密封件327可以由聚合物製成,例如含氟聚合物,例如但不限於全氟彈性體和聚四氟乙烯(PTFE)。密封件327還可包括彈簧構件,用於偏置密封件以改善密封效能。冷卻通道324設置在鄰近密封件327的通道321上,以便在處理期間將密封件327保持在密封件327的最大安全操作溫度以下。來自冷卻流體源326的冷卻劑(例如但不限於惰性的,介電質的和高效能傳熱的流體)可在冷卻通道304內循環。來自冷卻流體源326的冷卻劑的流動由控制器380藉由從溫度感測器316或流量感測器(未示出)接收的反饋來控制。圍繞通道321形成環形熱扼流圈329,以在狹縫閥328打開時防止熱量從內部容積315流通過開口311。
單基板處理室300具有穿過主體310的端口317,端口317流體地連接到連接氣體面板350,冷凝器360和端口317的流體迴路390。流體迴路390具有氣體導管392、源導管357、入口隔離閥355、排氣導管363和出口隔離閥365。多個加熱器 396、358、352、354、364、366與流體迴路390的不同部分連接。多個溫度感測器351、353、319、367和369也放置在流體迴路390的不同部分處以進行溫度量測並將資訊發送到控制器380。控制器380使用溫度量測資訊來控制加熱器352、354、358、396、364和366的操作,使得流體迴路390的溫度保持在高於設置在流體迴路390和內部容積315中的處理流體的冷凝點的溫度。
氣體面板350和壓力感測器314在性質與功能上基本上類似於圖1的氣體面板150和壓力感測器114。冷凝器 360在性質與功能上基本上類似於圖1的冷凝器160。泵370在性質與功能上基本上類似於圖1的泵170。一個或多個加熱器340設置在主體310上並且配置成加熱單個基板處理室300內的內部容積315。加熱器340在性質上和功能上基本上類似於批量處理壓力容器100中使用的加熱器140。
控制器380控制單個基板處理室300的操作。控制器 380控制氣體面板350、冷凝器360、泵370、入口隔離閥355、出口隔離閥365和電源333、345的操作。控制器380還通信地連接到溫度感測器316、壓力感測器314、致動器338、冷卻流體源326和溫度讀取裝置356和362。控制器380在性質和功能上與在批處理壓力容器100中使用的控制器180基本相似。
圖4是根據本案的一個實施例的用於乾式剝除沉積在半導體基板上的碳化硼層的方法的框圖。方法400藉由將基板裝載到壓力容器中而在框410處開始。基板上沉積有碳化硼層。在一些實施方案中,可將複數個基板放置在盒上並裝入壓力容器中。在進一步的實施方案中,將單個基板加載到壓力容器中,該壓力容器配置成一次處理一個基板。
在方框420處,將基板或複數個基板暴露於處理氣體,該處理氣體包括在壓力容器內壓力在約500托和約60巴之間的氧化劑。在其他實施方案中,將基板或複數個基板暴露於包含氧化劑的處理氣體,該氧化劑在壓力容器內的壓力大於約0 巴,例如約1巴至約60巴。在一些實施方案中,處理氣體是選自包含臭氧、氧氣、水蒸汽、重水、過氧化物、含氫氧化物的化合物、氧同位素(14、15、16、17、18等)和氫同位素(1、2、3)或其一些組合的群組的氧化劑,其中處理氣體是約10%氧化劑至約80%氧化劑的混合物。過氧化物可以是氣相的過氧化氫。在一些實施方案中,氧化劑包含氫氧根離子,例如但不限於水蒸汽或蒸汽形式的重水。在一些實施方案中,氧化劑的量超過與沉積在(一個或多個)基板上的碳化硼的量完全反應所需的氧化劑的量。在其他實施方案中,處理氣體可以是在約500托和約60巴之間的壓力下的蒸汽,其中蒸汽佔混合物的約5%至混合物的100%。蒸汽可以是乾蒸汽或過熱蒸汽。蒸汽量可以是沉積在基板上的碳化硼量的至少十倍。
在框430處,將壓力容器加熱至大於處理氣體的冷凝點的溫度。提高溫度使得碳化硼層能夠與處理氣體反應。在一些實施方案中,當蒸汽被用作壓力容器中的處理氣體時,壓力容器的溫度保持在約攝氏300度至約攝氏700度之間。在彼等實施方案中,碳化硼層與蒸汽反應以產生氣體產物混合物,包括三氧化二硼、二氧化碳、一氧化碳、氫氣、硼酸和偏硼酸。
在框440處,從處理室移除處理氣體和碳化硼層之間的反應產物。在使用蒸汽的實施方案中,將包括三氧化二硼、二氧化碳、一氧化碳、氫氣、硼酸和偏硼酸的氣態產物混合物泵出壓力容器。因此,基板上的碳化硼層被乾式剝除,在半導體基板上留下理想的蝕刻層。
本文所述的用於乾式剝除碳化硼層的方法有利地使得能夠從半導體基板乾式剝除碳化硼層。不需要濕式蝕刻溶液。此外,當使用壓力下的蒸汽時,該過程的溫度範圍在約攝氏300度和約攝氏700度之間確保碳化硼的氧化速率夠低以首先將碳化硼轉化為三氧化二硼的黏性層而又夠高到足以將三氧化二硼的黏性層轉化為揮發性氣體(如硼酸和偏硼酸),而隨後可以將其除去。若該過程的溫度低於攝氏300度或該過程的壓力小於500托,則碳化硼初始氧化成三氧化硼和隨後氧化三氧化硼到硼酸和偏硼酸之間會失去平衡,使得該層無法完全剝除。
本文所述的方法藉由處理複數個基板同時移除碳化硼層來提高基板的產量。此外,由於碳化硼不能經由能夠除去其它層的常規氧電漿來清洗,因此該方法保留了碳化硼作為硬遮罩材料的可行性。由於具有高蝕刻選擇性、高硬度和高碳化硼透明度,碳化硼是硬遮罩材料的最佳選擇。因此,本文描述的方法有助於進一步開發碳化硼層以圖案化下一代記憶體元件、邏輯元件、微處理器等。另外,儘管本文描述的方法涉及碳化硼層,但是其他類型的碳化硼層可以從揭露中受益。
雖然前述內容針對本案的特定實施例,但是應該理解,該等實施例僅僅是對本發明的原理和應用的說明。因此,應當理解,在不脫離由所附申請專利範圍限定的本發明的精神和範圍的情況下,可以對說明性實施例進行多種修改以得到其他實施例。
100‧‧‧壓力容器110‧‧‧主體112‧‧‧外表面113‧‧‧內表面114‧‧‧壓力感測器115‧‧‧處理區域116‧‧‧溫度感測器117‧‧‧端口118‧‧‧管119‧‧‧加熱器120‧‧‧門122‧‧‧密封件124‧‧‧冷卻通道130‧‧‧盒132‧‧‧頂表面134‧‧‧底表面135‧‧‧基板136‧‧‧壁138‧‧‧基板儲存槽140‧‧‧加熱器145‧‧‧電源150‧‧‧氣體面板155‧‧‧隔離閥157‧‧‧入口導管158‧‧‧加熱器160‧‧‧冷凝器161‧‧‧出口管道162‧‧‧加熱器165‧‧‧隔離閥170‧‧‧泵180‧‧‧控制器182‧‧‧cpu184‧‧‧記憶體186‧‧‧支援電路200‧‧‧半導體基板210‧‧‧蝕刻層220‧‧‧圖案化碳化硼層300‧‧‧單個基板處理室310‧‧‧主體311‧‧‧開口312‧‧‧外表面313‧‧‧內表面314‧‧‧壓力感測器315‧‧‧內部容積316‧‧‧溫度感測器317‧‧‧端口319‧‧‧溫度感測器320‧‧‧基板321‧‧‧通道322‧‧‧通道323‧‧‧第二通道324‧‧‧冷卻通道325‧‧‧隔熱罩326‧‧‧冷卻流體源327‧‧‧密封件328‧‧‧狹縫閥329‧‧‧環形熱扼流圈330‧‧‧基板支撐件331‧‧‧電阻加熱元件332‧‧‧基板支撐構件333‧‧‧電源334‧‧‧桿335‧‧‧板336‧‧‧孔337‧‧‧提升銷338‧‧‧致動器339‧‧‧桿340‧‧‧加熱器345‧‧‧電源350‧‧‧氣體面板351‧‧‧溫度感測器352‧‧‧加熱器353‧‧‧溫度感測器354‧‧‧加熱器355‧‧‧氣體面板356‧‧‧溫度讀取裝置357‧‧‧源導管358‧‧‧加熱器360‧‧‧冷凝器362‧‧‧溫度讀取裝置363‧‧‧排氣導管365‧‧‧出口隔離閥367‧‧‧溫度感測器370‧‧‧泵380‧‧‧控制器390‧‧‧流體迴路392‧‧‧氣體導管396‧‧‧加熱器400‧‧‧方法410‧‧‧框420‧‧‧框430‧‧‧框440‧‧‧框
因此,欲詳細地理解本案的上述特徵,可以透過參考實施例而獲得上文簡要概述的本案的更具體的描述,其中一些實施例在附圖中示出。然而,應注意,附圖僅示出了示例性實施例,因此不應視為限制其範圍,因為本案可允許其他同等有效的實施例。
圖1是壓力容器的簡化前橫截面圖,該壓力容器用於從裝載在盒上的複數個基板上乾式剝除碳化硼層。
圖2A是在半導體基板上方的蝕刻層上的圖案化碳化硼層的簡化橫截面圖。
圖2B是在移除碳化硼層之後在半導體基板上方的蝕刻層的簡化截面圖。
圖3是用於乾式剝除碳化硼層的單個基板處理室的簡化前橫截面圖。
圖4是用於以乾式剝除沉積在半導體基板上的碳化硼層的方法的框圖。
為了便於理解,在可能的情況下,使用相同的附圖標記來表示附圖中共有的相同元件。可以預期,一個實施例的元件和特徵可以有利地併入其他實施例中而無需進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
400‧‧‧方法
410‧‧‧框
420‧‧‧框
430‧‧‧框
440‧‧‧框

Claims (20)

  1. 一種剝除沉積在一基板上之一碳化硼層之方法,該方法包括以下步驟:將該基板裝載到一壓力容器的一處理區域中,該基板上沉積有該碳化硼層;將該基板暴露於一處理氣體,該處理氣體包括處於約500托和約60巴之間的一壓力下之一氧化劑,其中該處理氣體不包括電漿;將該壓力容器的該處理區域加熱到大於該處理氣體的一冷凝點之一溫度;和從該壓力容器除去該處理氣體和該碳化硼層之間的一反應之一種或多種產物。
  2. 如請求項1所述之方法,其中將該基板暴露於該處理氣體的步驟包括以下步驟:在約10巴至約60巴的一壓力下將該基板暴露於蒸汽。
  3. 如請求項2所述之方法,其中該基板暴露於一蒸汽量,該蒸汽量是沉積在該基板上之一碳化硼量之至少十倍。
  4. 如請求項1所述之方法,其中該氧化劑選自由以下各者組成之一群組:臭氧、氧氣、水蒸汽、重水、氨、過氧化物、含氫氧化物的化合物、氧同位素 和氫同位素。
  5. 如請求項4所述之方法,其中該氧化劑是過氧化氫。
  6. 如請求項1所述之方法,其中該基板暴露於一氧化劑量,該氧化劑量超過與沉積在該基板上之一碳化硼量完全反應所需之一氧化劑量。
  7. 如請求項1所述之方法,其中將該壓力容器的該處理區域加熱至約攝氏300度至約攝氏700度之間的一溫度。
  8. 如請求項1所述之方法,其中該處理氣體包括約5%的乾蒸汽至約100%的乾蒸汽。
  9. 如請求項1所述之方法,其中該反應的一種或多種產物包括:三氧化二硼、二氧化碳、一氧化碳、氫、硼酸和偏硼酸。
  10. 一種剝除沉積在複數個基板上之一碳化硼層之方法,該方法包括以下步驟:將該複數個基板同時裝載到一壓力容器的一處理區域中,各該複數個基板上沉積有該碳化硼層;將該複數個基板暴露於一處理氣體,該處理氣體包括處於約500托和約60巴之間的一壓力下之一氧化劑; 將該壓力容器的該處理區域加熱到大於該處理氣體的一冷凝點之一溫度;和從該壓力容器除去該處理氣體和該碳化硼層之間的一反應之一種或多種產物。
  11. 如請求項10所述之方法,其中將該複數個基板暴露於該處理氣體的步驟包括以下步驟:在約10巴至約60巴的一壓力下將該複數個基底暴露於蒸汽。
  12. 如請求項11所述之方法,其中該複數個基板暴露於一蒸汽量,該蒸汽量是沉積在該複數個基板上之一碳化硼量之至少十倍。
  13. 如請求項10所述之方法,其中該氧化劑選自由以下各者組成之一群組:臭氧、氧氣、水蒸汽、重水、氨、過氧化物、含氫氧化物的化合物、氧同位素和氫同位素。
  14. 如請求項13所述之方法,其中該氧化劑是過氧化氫。
  15. 如請求項10所述之方法,其中該複數個基板暴露於一氧化劑量,該氧化劑量超過與沉積在該複數個基板上之一碳化硼量完全反應所需之一氧化劑量。
  16. 如請求項10所述之方法,其中將該壓力容 器的該處理區域加熱至約攝氏300度至約攝氏700度之間的一溫度。
  17. 如請求項10所述之方法,其中該處理氣體包括約5%的乾蒸汽至約100%的乾蒸汽。
  18. 如請求項10所述之方法,其中該反應的一種或多種產物包括:三氧化二硼、二氧化碳、一氧化碳、氫、硼酸和偏硼酸。
  19. 一種剝除沉積在複數個基板上之一碳化硼層之方法,該方法包括以下步驟:將該複數個基板同時裝載到一壓力容器的一處理區域中,各該複數個基板上沉積有該碳化硼層;將該複數個基板暴露於一處理氣體,該處理氣體包括處於約10巴和約60巴之間的一壓力下之蒸汽;將該壓力容器的該處理區域加熱到大於該處理氣體的一冷凝點之一溫度;和從該壓力容器的該處理區域除去該處理氣體和該碳化硼層之間的一反應之一種或多種產物。
  20. 如請求項19所述之方法,其中該處理氣體包括約5%的過熱蒸汽(superheated steam)至約100%的過熱蒸汽。
TW107118593A 2017-06-02 2018-05-31 碳化硼硬遮罩的乾式剝除 TWI763858B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762514554P 2017-06-02 2017-06-02
US62/514,554 2017-06-02
US201862648073P 2018-03-26 2018-03-26
US62/648,073 2018-03-26

Publications (2)

Publication Number Publication Date
TW201903837A TW201903837A (zh) 2019-01-16
TWI763858B true TWI763858B (zh) 2022-05-11

Family

ID=64455587

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107118593A TWI763858B (zh) 2017-06-02 2018-05-31 碳化硼硬遮罩的乾式剝除

Country Status (6)

Country Link
US (1) US10529585B2 (zh)
JP (1) JP7190450B2 (zh)
KR (1) KR102574914B1 (zh)
CN (1) CN110678973B (zh)
TW (1) TWI763858B (zh)
WO (1) WO2018222771A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN112385125A (zh) * 2018-07-09 2021-02-19 西门子能源美国公司 超临界co2冷却的电机
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN113130384A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 半导体结构的形成方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11600507B2 (en) * 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US20220230887A1 (en) * 2021-01-15 2022-07-21 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR20240053429A (ko) * 2022-10-17 2024-04-24 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120285492A1 (en) * 2011-05-12 2012-11-15 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US20160064209A1 (en) * 2013-02-06 2016-03-03 Applied Materials, Inc. Methods of dry stripping boron-carbon films

Family Cites Families (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05139870A (ja) * 1991-11-25 1993-06-08 Hitachi Chem Co Ltd 炭化硼素被覆炭素材料
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
JPH0733565A (ja) * 1993-07-20 1995-02-03 Toyo Tanso Kk 炭化ホウ素被覆炭素材料及びその製造方法
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) * 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
JPH11171669A (ja) * 1997-12-15 1999-06-29 Ngk Insulators Ltd 炭化硼素皮膜の製造方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
WO2004070796A2 (en) 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
CN101198903B (zh) 2005-06-10 2011-09-07 奥贝达克特公司 利用中间印模的图案复制
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP5117856B2 (ja) 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN103151266B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
EP2526339A4 (en) 2010-01-21 2015-03-11 Powerdyne Inc PRODUCTION OF STEAM FROM A CARBON SUBSTANCE
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US9029228B2 (en) * 2011-10-19 2015-05-12 SunEdision Semiconductor Limited (UEN201334164H) Direct and sequential formation of monolayers of boron nitride and graphene on substrates
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) * 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
WO2014130304A1 (en) 2013-02-19 2014-08-28 Applied Materials, Inc. Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9414445B2 (en) 2013-04-26 2016-08-09 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101801113B1 (ko) 2013-05-31 2017-11-24 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 제조 장치의 제조 방법 및 노구 개체
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
WO2015023404A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
CN109390214B (zh) 2013-08-21 2023-03-07 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲***
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用***
KR102287344B1 (ko) * 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US20170160012A1 (en) 2014-09-08 2017-06-08 Mitsubishi Electric Corporation Semiconductor annealing apparatus
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US9852923B2 (en) 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
CN109791913A (zh) 2016-09-30 2019-05-21 应用材料公司 形成自对准通孔的方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120285492A1 (en) * 2011-05-12 2012-11-15 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US20160064209A1 (en) * 2013-02-06 2016-03-03 Applied Materials, Inc. Methods of dry stripping boron-carbon films

Also Published As

Publication number Publication date
CN110678973B (zh) 2023-09-19
KR102574914B1 (ko) 2023-09-04
WO2018222771A1 (en) 2018-12-06
US10529585B2 (en) 2020-01-07
JP2020522882A (ja) 2020-07-30
TW201903837A (zh) 2019-01-16
CN110678973A (zh) 2020-01-10
US20180350621A1 (en) 2018-12-06
JP7190450B2 (ja) 2022-12-15
KR20200004399A (ko) 2020-01-13

Similar Documents

Publication Publication Date Title
TWI763858B (zh) 碳化硼硬遮罩的乾式剝除
JP7184810B2 (ja) 基板に堆積された膜の品質改善
TWI830277B (zh) 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法
US11469113B2 (en) High pressure and high temperature anneal chamber
TWI688008B (zh) 退火系統及方法
US11018032B2 (en) High pressure and high temperature anneal chamber
TWI549169B (zh) 半導體基板用超臨界乾燥方法及裝置
TW511187B (en) Etching method, processing apparatus and etching apparatus
JP2018125466A (ja) オゾンガス加温機構、基板処理装置及び基板処理方法
US20190189435A1 (en) Controlled growth of thin silicon oxide film at low temperature
TW202104662A (zh) 在熱氧化物品質的低溫成長厚氧化物膜的方法
WO2019176031A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
TW411526B (en) Method and device for forming gate oxide layers
JP2006004985A (ja) 基板処理装置