TWI728478B - 含矽及氮膜的製造方法 - Google Patents

含矽及氮膜的製造方法 Download PDF

Info

Publication number
TWI728478B
TWI728478B TW108134375A TW108134375A TWI728478B TW I728478 B TWI728478 B TW I728478B TW 108134375 A TW108134375 A TW 108134375A TW 108134375 A TW108134375 A TW 108134375A TW I728478 B TWI728478 B TW I728478B
Authority
TW
Taiwan
Prior art keywords
plasma
silicon nitride
methyl
reactor
silicon
Prior art date
Application number
TW108134375A
Other languages
English (en)
Other versions
TW202020206A (zh
Inventor
馬乎卡B 雷
新建 雷
馬修R 麥當勞
金武性
李世遠
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW202020206A publication Critical patent/TW202020206A/zh
Application granted granted Critical
Publication of TWI728478B publication Critical patent/TWI728478B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

將一種用以沉積高品質氮化矽的組合物引進一包括基材之反應器中,接著引進一包括氨來源的電漿。該組合物包括一具有如於本文中所定義的式I之矽前驅物化合物。

Description

含矽及氮膜的製造方法
本發明係針對一種用於電子裝置之製造的組合物及方法。更特別的是,本發明係針對一種用以沉積高品質及高抗氧灰化性含矽膜的化合物、組合物及方法,其中該含矽膜有諸如但不限於化學計量的氮化矽、摻雜碳的氮化矽膜及摻雜碳的氧氮化矽膜。
在半導體中,氮化矽膜係使用於多種應用。例如,氮化矽膜通常使用於積體電路作為最後鈍化及機械保護層、使用於矽的選擇性氧化之遮罩層、作為在DRAM電容器中或在3D NAND快閃記憶晶片中之堆疊的氧化物-氮化物-氧化物(O-N-O)層之介電材料之一、或作為在淺溝隔絕應用中的CMP停止層。在一個特別的應用中,於3D NAND快閃記憶體中的O-N-O堆疊需要具有低應力及在磷酸中高溼蝕刻速率之氮化矽。
Olsen的「Analysis of LPCVD Process Conditions for the Deposition of Low Stress Silicon Nitride」,5 Materials Science in Semiconductor Process 51(2002)描述出藉由低壓化學氣相沉積法,使用寬範圍的製程條件來最佳化低應力氮化矽膜之沉積。結果顯示出藉由增加氣體流來讓折射率增加超過2.3並不會可觀地減少殘餘應力,反而會在厚度均勻性及沉積速率上具有明顯有害的效應。
Taylor等人的「Hexachlorodisilane as a Precursor in the LPCVD of Silicon Dioxide and Silicon Oxynitride Films」,136 J. Electrochem. Soc. 2382(1989)描述出藉由LPCVD,使用Si2 Cl6 、N2 及NH3 之氣相混合物來生長二氧化矽及氧氮化矽膜。該二氧化矽及氧氮化矽膜係藉由LPCVD,使用HCDS、N2 O及NH3 之氣相混合物,在600-850℃之溫度範圍下生長。所沉積的二氧化矽及氧氮化矽膜具有低氯含量,其典型為>1%原子百分比。
M. Tanaka等人的「Film Properties of Low-k Silicon Nitride Films Formed by Hexachlorodisilane and Ammonia」,147 J. Electrochem. Soc. 2284(2000)描述出藉由低壓化學氣相沉積法(LPCVD),使用六氯二矽烷(HCD)來形成具有好的步階覆蓋之氮化矽(SiN)的低溫方法。
JP 2000100812描述出一種使用SiCl4 及NH3 作為來源氣體來沉積膜之方法。該基材表面可在沉積前使用NH3 氮化。形成一具有改良的絕緣性質之極薄膜。該氮化矽膜係有用作為半導體積體電路的電容器絕緣膜。
美國專利案號6,355,582描述出一種用以形成氮化矽膜的方法,其中加熱該欲接受該膜形成的基材並將四氯化矽與氨氣供應至該已加熱至預定溫度的基材。
美國專利案號10,049,882描述出一種用以製造半導體裝置的原子層沉積(ALD)方法,其包括在一具有高度差的結構上形成一介電層的步驟。該方法包括在一基材上形成一具有高度差的結構及在該結構上形成一介電層結構。形成該介電層結構包括在該具有高度差的結構上形成一包括氮化矽之第一介電層。形成該第一介電層包括將一包含五氯二矽烷(PCDS)或二異丙基胺五氯二矽烷(DPDC)作為矽前驅物的第一氣體與一包含氮組分之第二氣體進料至包括該基材的艙中,以便在該具有高度差的結構上原處形成該第一介電層。
PCT公告案號WO 2018063907揭示出一種類別的氯二矽氮烷類、由彼合成之矽-雜原子化合物、包括該矽-雜原子化合物的裝置;製造該氯二矽氮烷類、矽-雜原子化合物及裝置的方法;及該氯二矽氮烷類、矽-雜原子化合物及裝置的用途。
PCT公告案號WO 2018057677揭示出一種包括三氯二矽烷的組合物,其係使用在膜形成中作為矽前驅物。該組合物包括該矽前驅物化合物及惰性氣體、分子氫、碳前驅物、氮前驅物與氧前驅物之至少一種。該公告亦揭示出一種使用該矽前驅物化合物在一基材上形成一含矽膜的方法,及因此形成的含矽膜。
美國專利案號9,984,868揭示出一種在基材上沉積氮化矽膜的循環方法。在一個具體實例中,此方法包括將一作為矽前驅物的鹵素矽烷供應進一反應器中;將一吹洗氣體供應至該反應器;及將一離子化的氮前驅物提供進該反應器中以便與該基材反應及形成該氮化矽膜。
最後,美國公告案號2009/0155606揭示出一種在基材上沉積一氮化矽膜的循環方法。在一個具體實例中,該方法包括將氯矽烷供應至一加工基材的反應器、將一吹洗氣體供應至該反應器及將氨電漿提供至該反應器。該方法允許在低製程溫度及高沉積速率下形成一氮化矽膜。所產生的氮化矽膜具有相當少數的雜質及相當高的品質。此外,可在具有高的高寬比之構形上形成一具有好的步階覆蓋及薄且厚度均勻之氮化矽膜。
先前認定的專利、專利申請案及公告之揭示藉此以參考方式併入本文。
在技藝中,對提供一種用於電子設備工業的某些應用之組合物,及使用其來沉積摻雜高碳含量(例如,碳含量約10原子%或較多,如藉由X射線光電子光譜(XPS)測量)的含矽膜之方法有需求。
同樣地,對發展出一種使用化學氣相沉積(CVD)或原子層沉積(ALD)方法或類ALD方法諸如但不限於循環化學氣相沉積方法來形成高品質氮化矽或摻雜碳的氮化矽之方法有需求。一種特別的應用,例如,在3D NAND快閃記憶體中的O-N-O堆疊需要一具有低應力及/或在磷酸中高溼蝕刻速率之氮化矽、氧氮化矽或羧基氮化矽膜。再者,可想要在CVD、ALD或類ALD方法中發展出一種能改良一或多種膜性質諸如但不限於純度及/或密度之低溫沉積法(例如,在約500℃或較低之一或多種溫度下沉積)。
再者,在技藝中,對提供一種組合物及使用其來沉積具有下列特徵的氮化矽或摻雜碳的氮化矽之方法有需求:a)碳含量約5原子%或較少、約3原子%或較少、約2原子%或較少、約1原子%或甚至較少,如藉由X射線光電子光譜(XPS)測量,較佳為化學計量的氮化矽;b)氧含量約5原子%或較少、約3原子%或較少、約2原子%或較少、約1原子%或較少,如藉由X射線光電子光譜(XPS)測量;步階覆蓋90%或較高、95%或較高、99%或較高。
在一個考量下,上述需求係藉由提供一種經由電漿輔助ALD方法形成一氮化矽或摻雜碳的氮化矽之方法而滿足。根據該方法,將一包括表面構形的基材提供至一反應器中。將一具有一個C2-3 伸烷基鏈結且具有下列式I之矽前驅物化合物引進該反應器中,以於該基材上形成一層: R3-n Xn Si-R1 -SiXm R2 3-m I 其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2 各者各自獨立地選自於氫原子及C1 至C3 烷基;R1 係一具有2至10個碳原子且連結至二個矽原子的C2-3 伸烷基。
使用惰性氣體來吹洗掉該反應器之任何未反應的前驅物及/或反應副產物。將一包含氨來源的電漿提供進該反應器中並與該層反應而形成一選擇性摻雜碳的氮化矽膜。再次使用惰性氣體吹洗掉該反應器之任何進一步反應副產物。重覆該加入上述前驅物、吹洗該反應器、提供該電漿及再次吹洗該反應器的步驟,直到沉積出想要的氮化矽膜厚度。該方法係在範圍自約25℃至600℃的一或多種溫度下進行。
然後,選擇性在範圍自約周溫至1000℃,較佳為約100o 至400℃的一或多種溫度下,將所產生的氮化矽膜曝露至氧來源,以將該氮化矽膜轉換成氧氮化矽膜。
上述需求及其它係藉由根據上述方法來形成一具有介電常數k約7或較低及碳含量約5原子%或較少之膜而進一步滿足。
遍及本說明,用語「ALD或類ALD」指為包括但不限於下列製程的方法:a)將包括矽前驅物及反應性氣體的每種反應物相繼地引進一反應器中,諸如單晶圓ALD反應器、半批次ALD反應器或批次爐ALD反應器;b)藉由將該基材移動或轉動至該反應器的不同區段,讓包括矽前驅物及反應性氣體的每種反應物曝露至該基材,其中每個區段係藉由惰性氣體簾幕分隔開,即,空間式ALD反應器或捲繞式(roll to roll)ALD反應器。
遍及本說明,用語「包括/包含氨的電漿」指為一經由電漿產生器原處或遠程產生之反應性氣體或氣體混合物。該氣體或氣體混合物係選自於由下列所組成之群:氨、氨與氦之混合物、氨與氖之混合物、氨與氬之混合物、氨與氮之混合物、氨與氫之混合物及其組合。
遍及本說明,用語「包括/包含氮的電漿」指為一經由電漿產生器原處或遠程產生之反應性氣體或氣體混合物。該氣體或氣體混合物係選自於由下列所組成之群:氮、氮與氦之混合物、氮與氖之混合物、氮與氬之混合物、氨與氮之混合物、氮與氫之混合物及其組合。
遍及本說明,用語「惰性氣體電漿」指為經由電漿產生器原處或遠程產生之反應性惰性氣體或惰性氣體混合物。該惰性氣體或惰性氣體混合物係選自於由氦、氖、氬及其組合所組成之群。
遍及本說明,用語「灰化」指為在半導體製造方法中使用包含氧來源的電漿來移除光阻或碳硬遮罩之方法,其中該電漿有諸如O2 /惰性氣體電漿、O2 電漿、CO2 電漿、CO電漿、H2 /O2 電漿或其組合。
遍及本說明,用語「抗損傷性」指為在氧灰化製程後之膜性質。好或高的抗損傷性係定義為在氧灰化後之下列膜性質:膜介電常數低於4.5;在本體(進入膜中多於50埃深)中的碳含量係於5原子%內,如在灰化前般;藉由觀察在接近表面的膜(少於50埃深)與本體(多於50埃深)間之稀HF蝕刻速率差異,該膜的損傷係少於50埃。
遍及本說明,用語「烷基烴」指為線性或分枝的C1 至C20 烴、環狀C6 至C20 烴。範例性烴包括但不限於庚烷、辛烷、壬烷、癸烷、十二烷、環辛烷、環壬烷及環癸烷。
遍及本說明,用語「C2-3 伸烷基鏈結」指為在二個矽原子間之伸烷基鏈結,較佳為C2 鏈結,諸如伸乙基橋。該C2-3 鏈結係一選自於由下列所組成之群的二基團鏈:烷烴-1,2-二基、烷烴-1,3-二基、環狀烷烴-1,2-二基及環狀烷烴-1,3-二基。該烷烴-1,2-二基及烷烴-1,3-二基的實施例包括但不限於伸乙基(-CH2 CH2 -)、經取代的伸乙基(-CHMeCH2 -、-CH(Me)CH(Me)-)、伸丙基(-CH2 CH2 CH2 -)及經取代的伸丙基。
遍及本說明,用語「芳香烴」指為C6 至C20 芳香烴。範例性芳香烴包括但不限於甲苯、均三甲苯。
遍及本說明,如於本文中所使用之用語「步階覆蓋」係定義為在一具有通道或溝槽任一種或二者的結構化或構形化基材中之二種沉積膜的厚度百分比。底部步階覆蓋係定義為在該構形底部處的厚度除以在該構形頂端處的厚度之比率(以%計)。中間步階覆蓋係定義為在該構形側壁上的厚度除以在該構形頂端處的厚度之比率(以%計)。使用於本文中所描述的方法所沉積之膜具有約80%或較大,或約90%或較大的步階覆蓋,此指示出該膜係保形。
於本文中描述出一種矽前驅物組合物及包含此組合物來沉積具有下列特徵的氮化矽或摻雜碳的氮化矽之方法:a)碳含量約5原子%或較少、約3原子%或較少、約2原子%或較少、約1原子%或甚至較少,如藉由X射線光電子光譜(XPS)測量,較佳為化學計量的氮化矽;b)氧含量約5原子%或較少、約3原子%或較少、約2原子%或較少、約1原子%或較少,如藉由X射線光電子光譜(XPS)測量;步階覆蓋90%或較高、95%或較高、99%或較高。
在一個態樣中,有提供一種用以沉積氮化矽或摻雜碳的氮化矽膜之組合物,其包含至少一種具有一個C2-3 伸烷基鏈結且具有下列式I之矽前驅物化合物: R3-n Xn Si-R1 -SiXm R2 3-m I 其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2 各者各自獨立地選自於氫原子及C1 至C3 烷基;R1 係具有2至10個碳原子且連結至二個矽原子的C2-3 伸烷基,較佳為C2-3 伸烷基鏈結。表1及2列出某些具有一個C2-3 伸烷基鏈結的範例性矽前驅物作為在本發明中的較佳矽前驅物,其中n=2或3;m=2或3;R係氫或甲基;及R2 係氫或甲基。
表1. 具有一個Si-C2 -Si鏈結的矽前驅物
Figure 108134375-A0304-0001
表2. 具有一個Si-C3 -Si鏈結的矽前驅物
Figure 108134375-A0304-0002
在另一個態樣中,該用以沉積含矽膜的組合物包含:(a)至少一種具有一個C2-3 伸烷基鏈結的矽前驅物化合物,其係選自於由下列所組成之群:1,1,1,4,4,4-六氯-1,4-二矽雜丁烷、1,1,1,4,4,4-六氯-2-甲基-1,4-二矽雜丁烷、1,1,1,4,4-五氯-1,4-二矽雜戊烷、1,1,1,4,4-五氯-2-甲基-1,4-二矽雜戊烷、2,2,5,5-四氯-2,5-二矽雜己烷、2,2,5,5-四氯-3-甲基-2,5-二矽雜己烷、1,1,1,5,5,5-六氯-1,5-二矽雜戊烷、2,2,6,6-四氯-3-甲基-2,6-二矽雜庚烷、1,1,4,4-四氯-1,4-二矽雜戊烷、1,1,4,4-四氯-2-甲基-1,4-二矽雜戊烷、1,1,4,4,4-五氯-1,4-二矽雜丁烷、1,1,4,4,4-五氯-2-甲基-1,4-二矽雜丁烷、1,4,4,4-四氯-1,4-二矽雜丁烷、1,4,4,4-四氯-2-甲基-1,4-二矽雜丁烷、1,4,4-三氯-1,4-二矽雜戊烷、1,4,4-三氯-2-甲基-1,4-二矽雜戊烷、1,1,5,5,5-五氯-1,5-二矽雜戊烷、1,1,5,5,5-五氯-2-甲基-1,5-二矽雜戊烷、1,1,5,5-四氯-1,5-二矽雜己烷、1,1,5,5-四氯-2-甲基-1,5-二矽雜己烷、1,5,5,5-四氯-1,5-二矽雜戊烷、1,5,5,5-四氯-2-甲基-1,5-二矽雜戊烷、1,5,5-三氯-1,5-二矽雜己烷、1,5,5-三氯-2-甲基-2,6-二矽雜己烷;及(b)至少一種溶劑,及在本發明的至少一個態樣中,(b)選擇性至少一種溶劑。在本文所描述的組合物之某些具體實例中,範例性溶劑可包括但不限於醚、三級胺、烷基烴、芳香烴、三級胺基醚、矽氧烷及其組合。在某些具體實例中,於具有一個Si-C-Si或二個Si-C-Si鏈結的化合物之沸點與該溶劑的沸點間之差異係40℃或較少。在該溶劑中的矽前驅物化合物之重量%可自1至99重量%、或10至90重量%、或20至80重量%、或30至70重量%、或40至60重量%,變化至50至50重量%。在某些具體實例中,該組合物可使用習知的直接液體注入設備及方法經由直接液體注入來輸送進用於含矽膜的反應器艙中。
在本文所描述的方法之一個具體實例中,該氮化矽或摻雜碳的氮化矽膜具有碳含量少於5原子%或較少且係使用電漿輔助ALD方法進行沉積。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中並將該反應器加熱至範圍自周溫至約600℃的一或多種溫度,及選擇性將該反應器的壓力維持在100托耳或較低; b.將至少一種具有一個C2-3 伸烷基鏈結且具有下列式I之矽前驅物化合物引進該反應器中: R3-n Xn Si-R1 -SiXm R2 3-m I 其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2 各者各自獨立地選自於氫原子及C1 至C3 烷基;R1 係具有2至10個碳原子且連結至二個矽原子的C2-3 伸烷基; c.使用惰性氣體吹洗,因此移除任何未反應的矽前驅物; d.將一包括/包含氨來源的電漿提供進該反應器中並與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜;及 e.使用惰性氣體吹洗,以移除任何反應副產物; 其中重覆該步驟b至e直到沉積出想要的膜厚度。
在某些具體實例中,於本文中所描述的方法進一步包含: f.選擇性在溫度400至1000℃下或以UV光源進行熱退火或尖波退火而對該氮化矽或摻雜碳的氮化矽膜進行沉積後處理,其中該UV曝光步驟可在膜沉積期間或一旦已經完成沉積時進行。 g.選擇性沉積後提供該摻雜碳的氮化矽膜曝露至一包含氫、或惰性氣體、或氮的電漿,以改良該膜之至少一種物理性質。
在本文所描述的方法之另一個具體實例中,該摻雜碳的氧氮化矽膜具有碳含量5原子%或較少且係使用電漿輔助ALD方法進行沉積。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中,及將該反應器加熱至範圍自周溫至約600℃的一或多種溫度並選擇性將該反應器的壓力維持在100托耳或較低; b.將至少一種具有一個C2-3 伸烷基鏈結且具有下列式I之矽前驅物化合物引進該反應器中: R3-n Xn Si-R1 -SiXm R2 3-m I 其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2 各者各自獨立地選自於氫原子及C1 至C3 烷基;R1 係具有2至10個碳原子且連結至二個矽原子的C2-3 伸烷基; c.使用惰性氣體吹洗; d.將一包括/包含氨來源的電漿提供進該反應器中並與該表面反應而形成一氮化矽膜;及 e.使用惰性氣體吹洗,以移除任何反應副產物; 其中重覆該步驟b至e直到沉積出想要的膜厚度。
在某些具體實例中,於本文中所描述的方法進一步包含: 原處或在另一個艙中,於範圍自約周溫至1000℃或較佳為約100℃至400℃的一或多種溫度下,提供該氮化矽或摻雜碳的氮化矽膜與氧來源進行沉積後曝露,來將該氮化矽或摻雜碳的氮化矽膜轉換成氧氮化矽或摻雜碳的氧氮化矽膜。
在本文所描述的方法之更另一個具體實例中,該具有碳含量少於5原子%之氮化矽或摻雜碳的氮化矽膜係使用電漿輔助ALD方法進行沉積。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中並將該反應器加熱至範圍自周溫至約600℃的一或多種溫度,及選擇性將該反應器的壓力維持在100托耳或較低; b.將至少一種具有一個C2-3 伸烷基鏈結且具有下列式I之矽前驅物化合物引進該反應器中: R3-n Xn Si-R1 -SiXm R2 3-m I 其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2 各者各自獨立地選自於氫原子及C1 至C3 烷基;R1 係具有2至10個碳原子且連結至二個矽原子的C2-3 伸烷基; c.使用惰性氣體吹洗,因此移除任何未反應的矽前驅物; d.將一包括/包含氨來源的第一電漿提供進該反應器中並與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; e.使用惰性氣體吹洗,以移除任何反應副產物; f.將一包括/包含氮來源的第二電漿提供進該反應器中並與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; g.使用惰性氣體吹洗,以移除任何反應副產物;及 其中重覆該步驟b至g直到沉積出想要的膜厚度。
在本文所描述的方法之更另一個具體實例中,該氮化矽或摻雜碳的氮化矽膜具有碳含量少於5原子%或較少及係使用電漿輔助ALD方法進行沉積。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中並將該反應器加熱至範圍自周溫至約600℃的一或多種溫度,及選擇性將該反應器的壓力維持在100托耳或較低; b.將至少一種具有一個C2-3 伸烷基鏈結且具有下列式I之矽前驅物化合物引進該反應器中: R3-n Xn Si-R1 -SiXm R2 3-m I 其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2 各者各自獨立地選自於氫原子及C1 至C3 烷基;R1 係具有2至10個碳原子且連結至二個矽原子的C2-3 伸烷基; c.使用惰性氣體吹洗,因此移除任何未反應的矽前驅物; d.將一包括/包含氮來源的第一電漿提供進該反應器中並與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; e.使用惰性氣體吹洗,以移除任何反應副產物; f.將一包括/包含氨來源的第二電漿提供進該反應器中並與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; g.使用惰性氣體吹洗,以移除任何反應副產物;及 其中重覆該步驟b至g直到沉積出想要的膜厚度。在某些具體實例中,於本文中所描述的方法進一步包含
遍及本說明,用語「惰性氣體」指示為一選自於由氦、氬、氖、氮及其組合所組成之群的惰性氣體。在某些具體實例中,於該吹洗步驟中的惰性氣體係相同,在其它具體實例中,於該吹洗步驟中的惰性氣體在每個步驟中係不同。
在一個具體實例中,該基材包括至少一個構形,其中該構形包含一具有高寬比1:9或更大及開口180奈米或較小的圖案溝槽。
在更另一個具體實例中,該用以沉積含矽膜的容器包括一或多種於本文中所描述之矽前驅物化合物。在一個特別的具體實例中,該容器係至少一種可加壓容器(較佳為具有諸如在美國專利案號US 7334595、US 6077356、US 5069244及US 5465766中所揭示出的設計之不銹鋼,此揭示藉此以參考方式併入本文)。該容器可包含安裝有適當閥及配件的玻璃(硼矽酸鹽或石英玻璃)或型式316、316L、304或304L不銹鋼合金(UNS標號S31600、S31603、S30400、S30403),以允許將一或多種前驅物輸送至該用於CVD或ALD方法的反應器。在此或其它具體實例中,將該矽前驅物提供在一包含不銹鋼的可加壓容器中,且該前驅物之純度係合適於半導體應用的98重量%或較大、或99.5%或較大。該矽前驅物化合物較佳為實質上無金屬離子,諸如Al3+ 離子、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 。如於本文中所使用,用語「實質上無」當其係關於Al、Fe、Ni、Cr時,其意謂著少於約5 ppm(以重量計),如藉由ICP-MS測量;較佳為少於約1 ppm,及更佳為少於約0.1 ppm,如藉由ICP-MS測量;及最佳為約0.05 ppm,如藉由ICP-MS測量。在某些具體實例中,若須要時,此容器亦可具有一用以混合該前驅物與一或多種額外的前驅物之工具。在這些或其它具體實例中,該容器的內容物可與額外的前驅物預混合。任擇地,可將該矽前驅物及/或其它前驅物維持在分別的容器中或在具有分隔工具的單一容器中,用以在儲存期間將該矽前驅物與其它前驅物維持成分開。
將該含矽膜沉積在一基材諸如半導體或顯示器基材之至少一表面上。在本文所描述的方法中,該基材可包含及/或塗佈多種在技藝中熟知的材料,包括矽膜,諸如結晶矽或非晶矽、氧化矽、氮化矽、非晶碳、氧碳化矽、氧氮化矽、碳化矽、鍺、摻雜鍺的矽、摻雜硼的矽;金屬,諸如銅、鎢、鋁、鈷、鎳、鉭;金屬氮化物,諸如氮化鈦、氮化鉭;金屬氧化物;第III/V族金屬或類金屬,諸如GaAs、InP、GaP及GaN;AMOLED(主動式矩陣有機發光二極體)可撓基材(例如,塑膠基材);及其組合。這些塗層可完全塗佈該半導體基材、可呈多種材料的多重層及可被部分蝕刻而曝露出下面材料層。該表面亦可在其上面具有一光阻材料,且其已經使用圖案曝光及顯影而部分塗佈該基材。在某些具體實例中,該半導體基材包含至少一種選自於由孔洞、通道、溝槽及其組合所組成之群的表面構形。該含矽膜的潛在應用包括但不限於用於FinFET或奈米片的低k間隔器、用於自我對準圖形化方法(諸如SADP、SAQP或SAOP)之犧牲用硬遮罩。
該使用來形成含矽膜或塗層的沉積方法係沉積製程。合適於本文所揭示的方法之沉積製程的實施例包括但不限於化學氣相沉積或原子層沉積方法。如於本文中所使用,用語「化學氣相沉積方法」指為將一基材曝露至一或多種揮發性前驅物,讓該前驅物在該基材表面上反應及/或分解而產生想要的沉積之任何方法。如於本文中所使用,用語「原子層沉積方法」指為一種自限制性(例如,在每個反應循環中所沉積之膜材料量固定)連續式表面化學,其會將材料膜沉積到不同組合物之基材上。如於本文中所使用,用語「熱原子層沉積方法」指為在範圍自室溫至600℃的基材溫度下而沒有原處或遠程電漿之原子層沉積方法。雖然於本文中所使用之前驅物、試劑及來源有時可描述為「氣體」,要了解的是,該前驅物可係經由直接蒸發、吹泡或昇華,且以或不以惰性氣體運送進該反應器中之液體或固體。在某些情況中,該蒸發的前驅物可通過一電漿產生器。
在一個具體實例中,該含矽膜係使用ALD方法沉積。在另一個具體實例中,該含矽膜係使用循環CVD或CCVD方法沉積。在進一步具體實例中,該含矽膜係使用熱ALD方法沉積。如於本文中所使用,用語「反應器」包括但不限於反應艙或沉積艙。
在某些具體實例中,於本文中所揭示出的方法係藉由使用ALD或CCVD方法,在將該等前驅物引進至該反應器前及/或期間分開其而避免該前驅物預反應。在這方面,使用諸如ALD或CCVD方法之沉積技術來沉積該含矽膜。在一個具體實例中,該膜係經由ALD方法,在典型的單晶圓ALD反應器、半批次ALD反應器或批次爐ALD反應器中,藉由將該基材表面任擇地曝露至該含矽前驅物、氧來源、含氮來源或其它前驅物或試劑之一或多種而沉積。該膜生長係藉由該表面反應之自限制性控制、每種前驅物或試劑的脈衝長度及沉積溫度繼續進行。但是,一旦該基材表面飽和,該膜生長會停止。在另一個具體實例中,藉由將基材移動或轉動至該反應器的不同區段將包括該矽前驅物及反應性氣體的每種反應物曝露至該基材,其中該反應器的每個區段係由惰性氣體簾幕分隔開,即,空間式ALD反應器或捲繞式ALD反應器。
在某些具體實例中,可依沉積方法而將於本文中所描述的矽前驅物及選擇性其它含矽前驅物以預定的莫耳體積或約0.1至約1000微莫耳引進該反應器中。在此或其它具體實例中,可將該前驅物引進該反應器中一段預定時間。在某些具體實例中,該時間週期範圍係約0.001至約500秒。
在某些具體實例中,該使用於本文中所描述的方法所沉積之氮化矽或摻雜碳的矽膜係以氧來源、包含氧的試劑或前驅物,即,水蒸氣處理,以便轉換成摻雜碳的氧氮化物。該氧來源可以至少一種氧來源形式引進該反應器中,及/或其可附隨地存在於在該沉積方法中所使用之其它前驅物中。合適的氧來源氣體可包括例如空氣、水(H2 O)(例如,去離子水、純水、蒸餾水、水蒸氣、水蒸氣電漿、過氧化氫、氧化的水、空氣、包含水及其它有機液體的組合物)、氧(O2 )、氧電漿、臭氧(O3 )、氧化氮(NO)、二氧化氮(NO2 )、一氧化二氮(N2 O)、一氧化碳(CO)、過氧化氫(H2 O2 )、包含水的電漿、包含水及氬的電漿、過氧化氫、包含氫的組合物、包含氫及氧的組合物、二氧化碳(CO2 )、空氣及其組合。在某些具體實例中,該氧來源包含氧來源氣體,其係以約1至約10000標準立方公分(sccm)或約1至約1000 sccm之流速範圍引進該反應器中。該氧來源可引進一段時間,其範圍係約0.1至約100秒。該觸媒係選自於路易士鹼,諸如吡啶、哌口井、三甲胺、三級丁胺、二乙胺、三甲胺、乙二胺、氨或其它有機胺。
在該膜係藉由ALD或循環CVD方法沉積的具體實例中,該前驅物脈衝可具有脈衝週期大於0.01秒,及該氧來源可具有脈衝週期少於0.01秒,同時該水脈衝週期可具有脈衝週期少於0.01秒。
在某些具體實例中,該氧來源係連續流入該反應器中,同時依次引進前驅物脈衝及電漿。該前驅物脈衝可具有脈衝週期大於0.01秒,同時該電漿週期範圍可在0.01秒至100秒間。
在某些具體實例中,該含矽膜包含矽及氮。在這些具體實例中,該使用於本文中所描述的方法所沉積之含矽膜係於含氮來源存在下形成。該含氮來源可以至少一種氮來源形式引進該反應器中,及/或其可附隨地存在於在該沉積方法中所使用之其它前驅物中。
合適的含氨氣體可包括例如氨、氨與惰性氣體之混合物、氨與氮之混合物、氨與氫之混合物及其組合。
在某些具體實例中,該氮來源係以約1至約10000標準立方公分(sccm)或約1至約1000 sccm之流速範圍引進該反應器中。該含氮來源可引進一段時間,其範圍係約0.1至約100秒。在該膜係藉由ALD或循環CVD方法使用氮及氧來源二者沉積的具體實例中,該前驅物脈衝可具有脈衝週期大於0.01秒,及該氮來源可具有脈衝週期少於0.01秒,同時該水脈衝週期可具有脈衝週期少於0.01秒。在更另一個具體實例中,於脈衝間之吹洗週期可低如0秒或其係一連續脈衝而在其間沒有吹洗。
於本文中所揭示出的沉積方法包括一或多個使用吹洗氣體自該反應器吹洗掉不想要或未反應的材料之步驟。該使用來吹洗掉未被消耗的反應物及/或反應副產物之吹洗氣體係不與該前驅物反應的惰性氣體。範例性吹洗氣體包括但不限於氬(Ar)、氮(N2 )、氦(He)、氖(Ne)、氫(H2 )及其組合。在某些具體實例中,以約10至約10000 sccm的流速範圍將諸如Ar之吹洗氣體供應進該反應器中約0.1至1000秒,因此吹洗掉可餘留在該反應器中之未反應的材料及任何副產物。
供應該前驅物、氧來源、含氨來源及/或其它前驅物、來源氣體及/或試劑的各別步驟可藉由改變其供應時間來進行,以改變所產生的膜之化學計量組合物。
對該前驅物、含氨來源、還原劑諸如氫電漿、其它前驅物或其組合之至少一種施加能量以引發反應及在該基材上形成該膜或塗層。此能量可藉由下列提供但不限於:熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X射線、E束、光子、遠程電漿方法及其組合。
在某些具體實例中,可使用二次RF頻率來源來修改在該基材表面處之電漿特徵。在該沉積包括電漿的具體實例中,該電漿產生方法可包含直接電漿產生方法,其中該電漿係在該反應器中直接產生;或任擇地,遠程電漿產生方法,其中該電漿係在該反應器外產生及供應進該反應器中。
可以多種方式將該矽前驅物及/或其它含矽前驅物輸送至該反應艙,諸如CVD或ALD反應器。在一個具體實例中,可使用液體輸送系統。在任擇的具體實例中,可使用結合的液體輸送及閃蒸製程單元,諸如例如,由MSP Corporation of Shoreview,MN所製造之渦輪蒸發器,以便能夠容積地輸送低揮發性材料而導致可再現的運送及沉積而沒有該前驅物之熱分解。在液體輸送調配物中,於本文中所描述之前驅物可以純淨液體形式輸送,或任擇地,可以包含其之溶劑調配物或組合物使用。因此,在某些具體實例中,該前驅物調配物可包括如可在所提供的末端用途應用中想要及優良之合適特徵的溶劑組分,以於基材上形成一膜。
在此或其它具體實例中,要了解的是,於本文中所描述的方法之步驟可以多種順序進行、可相繼或同時(例如,在另一個步驟的至少一部分期間)進行及其任何組合。供應該前驅物及含氮來源氣體的各別步驟可藉由變化其供應時間週期來進行,以改變所產生的含矽膜之化學計量組合物。
在本文所描述的方法之又進一步具體實例中,讓該膜或如所沉積的膜接受一處理步驟。該處理步驟可在該沉積步驟的至少一部分期間、在該沉積步驟後及其組合進行。範例性處理步驟包括但不限於經由高溫熱退火處理、電漿處理、紫外光(UV)處理、雷射、電子束處理及其組合,以影響該膜的一或多種性質。當與使用先前揭示的矽前驅物在相同條件下沉積之膜比較時,使用於本文中所描述的具有一或二個Si-C-Si鏈結之矽前驅物所沉積的膜具有改良的性質,諸如但不限於溼蝕刻速率,其係低於該膜在該處理步驟前之溼蝕刻速率;或密度,其係高於在該處理步驟前之密度。在一個特別的具體實例中,於該沉積製程期間,間歇地處理如所沉積的膜。這些間歇或中間沉積處理可例如在每個ALD循環後、在某些次數的ALD後進行,諸如但不限於一個(1)ALD循環、二個(2)ALD循環、五個(5)ALD循環、或在每十個(10)或更多個ALD循環後。
在該膜係以高溫退火步驟處理的具體實例中,該退火溫度係高於該沉積溫度至少100℃或較高。在此或其它具體實例中,該退火溫度範圍係約400℃至約1000℃。在此或其它具體實例中,該退火處理可在真空(>760托耳)、惰性環境中或在含氧環境(諸如臭氧、H2 O、H2 O2 、N2 O、NO2 或O2 )中進行。
在該膜係以UV處理進行處理之具體實例中,該膜係曝露至寬帶UV,或任擇地,具有波長範圍約150奈米(nm)至約400奈米的UV來源。在一個特別的具體實例中,於達到想要的膜厚度後,在與該沉積艙不同的艙中,將如所沉積的膜曝露至UV。
在該膜係以電漿處理的具體實例中,沉積一諸如摻雜碳的氧化矽之鈍化層以防止在隨後的電漿處理中氯及氮污染物滲透過該膜。該鈍化層可使用原子層沉積法或循環化學氣相沉積法沉積。
在該膜係以電漿處理的具體實例中,該電漿來源係選自於由下列所組成之群:氫電漿、包含氫及氦的電漿、包含氫及氬的電漿。氫電漿會降低膜介電常數及推升對接下來的電漿灰化製程之抗損傷性,同時仍然保持在本體中的碳含量幾乎未改變。
下列實施例闡明本發明的某些態樣且不限制所附加的申請專利範圍之範圍。 實施例
在下列實施例中,除非其它方面有所描述,否則將自沉積到作為基材且具有電阻率5-20歐姆-公分的矽晶圓上之樣品膜獲得性質。全部的膜沉積係使用具有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器進行。
在典型的製程條件下,除非其它方面有所描述,否則該艙壓係固定在約1至約5托耳之壓力範圍。使用額外的惰性氣體來維持該艙壓。
該膜沉積包含列在表3、4及5中用於電漿輔助ALD(「PEALD」)的步驟。除非其它方面有具體指定,否則使用總共100、或200、或300、或500次之步驟b至e或步驟b至g的沉積循環來獲得想要的膜厚度。 表3。在PEALD氮化矽或摻雜碳的氮化矽膜中之沉積步驟
Figure 108134375-A0304-0003
表4。在PEALD氮化矽或摻雜碳的氮化矽膜中之沉積步驟
Figure 108134375-A0304-0004
表5:在PEALD氮化矽或摻雜碳的氮化矽膜中之沉積步驟
Figure 108134375-A0304-0005
使用偏振光橢圓計來測量沉積膜的折射率(RI)及厚度。使用標準方程式來計算膜不均勻性:不均勻性%=((最大厚度-最小厚度)/(2*平均(avg)厚度))。使用Fourier轉換紅外線(FTIR)光譜及X射線光電子光譜(XPS)來分析膜結構及組合物。使用X射線反射儀(XRR)來測量膜的密度。
實施例1:使用1,1,1,4,4,4-六氯-1,4-二矽雜丁烷及NH3 /氬電漿的ALD氮化矽
將矽晶圓負載進裝備有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器中並加熱至300℃且艙壓係1托耳。使用吹泡或蒸氣汲取,將作為矽前驅物的1,1,1,4,4,4-六氯-1,4-二矽雜丁烷以蒸氣輸送進該反應器中。
該ALD循環包含在表3中所提供的製程步驟並使用下列製程參數: a.將1,1,1,4,4,4-六氯-1,4-二矽雜丁烷之蒸氣引進至該反應器 氬流:100 sccm通過前驅物容器 脈衝:3秒 Ar流:1000 sccm b.吹洗 氬流:1000 sccm 吹洗時間:20秒 c.引進氨電漿 氬流:1000 sccm 氨流:200 sccm 電漿功率;300瓦 脈衝:5秒 d.吹洗 氬流:1000 sccm 吹洗時間:20秒 重覆步驟a至d 500循環以提供約41奈米的氮化矽,其具有一52.66原子%氮、45.62原子%矽、1.34原子%氧及0.38原子%氯之組合物及探測不到碳。密度係2.57克/立方公分。
使用類似於如上所述的條件進行額外的ALD實驗以進一步標出1,1,1,4,4,4-六氯-1,4-二矽雜丁烷作為合適的ALD前驅物之特徵。圖1提供1,1,1,4,4,4-六氯-1,4-二矽雜丁烷使用NH3 /氬電漿之厚度對前驅物脈衝時間,其闡明1,1,1,4,4,4-六氯-1,4-二矽雜丁烷在約3秒時達到飽和。圖2提供SiCl4 及1,1,1,4,4,4-六氯-1,4-二矽雜丁烷使用NH3 /氬電漿之厚度對沉積溫度,其闡明1,1,1,4,4,4-六氯-1,4-二矽雜丁烷提供與SiCl4 類似的ALD窗口。
實施例2:使用1,1,1,5,5,5-六氯-1,5-二矽雜戊烷及NH3 /氬電漿的ALD氮化矽
將矽晶圓負載進裝備有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器中並加熱至300℃且艙壓係1托耳。使用吹泡或蒸氣汲取,將作為矽前驅物的1,1,1,5,5,5-六氯-1,5-二矽雜戊烷以蒸氣輸送進該反應器中。
該ALD循環包含在表1中所提供的製程步驟並使用下列製程參數: a.將1,1,1,5,5,5-六氯-1,5-二矽雜戊烷之蒸氣引進至該反應器 氬流:100 sccm通過前驅物容器 脈衝:3秒 Ar流:1000 sccm b.吹洗 氬流:1000 sccm 吹洗時間:30秒 c.引進氨電漿 氬流:1000 sccm 氨流:200 sccm 電漿功率;300瓦 脈衝:5秒 d.吹洗 氬流:1000 sccm 吹洗時間:20秒 重覆步驟a至d 500循環以提供35奈米的氮化矽,其具有一52.26原子%氮、43.99原子%矽、3.02原子%氧及0.74原子%之碳組合物及探測不到氯。密度係2.56克/立方公分。
使用類似如上所述的條件來進行額外的ALD實驗以進一步標出1,1,1,4,4,4-六氯-1,4-二矽雜丁烷及1,1,1,5,5,5-六氯-1,5-二矽雜戊烷作為合適的ALD前驅物之特徵。圖3提供SiCl4 、1,1,1,4,4,4-六氯-1,4-二矽雜丁烷及1,1,1,5,5,5-六氯-1,5-二矽雜戊烷使用NH3 /氬電漿的厚度對循環數目,其闡明1,1,1,5,5,5-六氯-1,5-二矽雜戊烷有0.67埃/循環的生長速率,其更高於SiCl4 (0.44埃/循環)。
實施例3:使用1,1,1,5,5,5-六氯-1,5-二矽雜戊烷、NH3 /氬電漿及氮電漿之ALD氮化矽
將矽晶圓負載進裝備有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器中並加熱至300℃且艙壓係1托耳。使用吹泡或蒸氣汲取,將作為矽前驅物的1,1,1,5,5,5-六氯-1,5-二矽雜戊烷以蒸氣輸送進該反應器中。
該ALD循環包含在表1中所提供的製程步驟並使用下列製程參數: a.將1,1,1,5,5,5-六氯-1,5-二矽雜戊烷之蒸氣引進至該反應器 氬流:100 sccm通過前驅物容器 脈衝:3秒 Ar流:1000 sccm b.吹洗 氬流:1000 sccm 吹洗時間:30秒 c.引進氨電漿 氬流:1000 sccm 氨流:200 sccm 電漿功率;300瓦 脈衝:5秒 d.吹洗 氬流:1000 sccm 吹洗時間:15秒 e.引進氮電漿 氬流:1000 sccm 氮流:500 sccm 電漿功率;300瓦 脈衝:5秒 f.吹洗 氬流:1000 sccm 吹洗時間:15秒 重覆步驟a至f 500循環以提供19.5奈米的氮化矽,其具有一51.44原子%氮、45.13原子%矽、2.82原子%氧及0.61原子%氯之組合物及探測不到碳。
雖然上述伴隨著參照某些特定具體實例及操作實施例進行闡明及描述,然而本發明不意欲受限於所顯示出的細節。而是,可在申請專利範圍的同等物之領域及範圍內於細節上製得多種修改而沒有離開本發明的精神。明確意欲的是,例如,在此文件中,於其領域中概括敘述的全部範圍包括落在該較寬範圍內之全部較窄範圍。
(無)
圖1係一描繪出1,1,1,4,4,4-六氯-1,4-二矽雜丁烷前驅物藉由ALD、使用NH3 /氬電漿所形成的氮化矽膜之厚度對脈衝時間的曲線圖;
圖2係一描繪出SiCl4 及1,1,1,4,4,4-六氯-1,4-二矽雜丁烷藉由ALD、使用NH3 /氬電漿所形成的氮化矽膜之厚度對沉積溫度的曲線圖;及
圖3係一描繪出SiCl4 、1,1,1,4,4,4-六氯-1,4-二矽雜丁烷、1,1,1,5,5,5-六氯-1,5-二矽雜戊烷藉由ALD、使用NH3 /氬電漿所形成的氮化矽膜之厚度對循環數目的曲線圖。

Claims (19)

  1. 一種經由電漿輔助ALD方法來形成氮化矽或摻雜碳的氮化矽之方法,該方法包含:a)將一包含表面構形的基材提供在一反應器中;b)將一具有一個C2-3伸烷基鏈結且具有下列式I之矽前驅物化合物引進該反應器中:R3-nXnSi-R1-SiXmR2 3-m I其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2各者各自獨立地選自於氫原子及C1至C3烷基;R1係具有2至10個碳原子的C2-3伸烷基,其中該矽前驅物在該基材的表面構形之至少一部分上反應而提供一化學吸附層;c)使用惰性氣體吹洗掉該反應器之任何未反應的矽前驅物及/或任何反應副產物;d)將一包含氨來源的電漿提供進該反應器中並與該化學吸附層反應而形成一選擇性摻雜碳的氮化矽膜;及e)使用惰性氣體吹洗掉該反應器之來自步驟d的任何進一步反應副產物;其中重覆該步驟b至e直到沉積出想要厚度的氮化矽膜,及其中該反應器係維持在範圍約25℃至600℃的一或多種溫度下。
  2. 如請求項1之方法,其中該矽前驅物係選自於由下列所組成之群:1,1,1,4,4,4-六氯-1,4-二矽雜丁烷、1,1,1,4,4,4-六氯-2-甲基-1,4-二矽雜丁烷、1,1,1,4,4-五氯-1,4-二矽雜戊烷、1,1,1,4,4-五氯-2-甲基-1,4-二矽雜戊烷、2,2,5,5-四氯-2,5-二矽雜己烷、2,2,5,5-四氯-3-甲基-2,5-二矽雜己烷;1,1,1,5,5,5-六氯-1,5-二矽雜戊烷、2,2,6,6-四氯-3-甲基-2,6-二矽雜庚烷、1,1,4,4-四氯-1,4-二矽雜戊烷、1,1,4,4-四氯-2-甲基-1,4-二矽雜戊烷、1,1,4,4,4-五氯-1,4-二矽雜丁烷、1,1,4,4,4-五氯-2-甲基-1,4-二矽雜丁烷、1,4,4,4-四氯-1,4-二矽雜丁烷、1,4,4,4-四氯-2-甲基-1,4-二矽雜丁烷、1,4,4-三氯-1,4-二矽雜戊烷、1,4,4-三氯-2-甲基-1,4-二矽雜戊 烷、1,1,5,5,5-五氯-1,5-二矽雜戊烷、1,1,5,5,5-五氯-2-甲基-1,5-二矽雜戊烷、1,1,5,5-四氯-1,5-二矽雜己烷、1,1,5,5-四氯-2-甲基-1,5-二矽雜己烷、1,5,5,5-四氯-1,5-二矽雜戊烷、1,5,5,5-四氯-2-甲基-1,5-二矽雜戊烷、1,5,5-三氯-1,5-二矽雜己烷及1,5,5-三氯-2-甲基-2,6-二矽雜己烷。
  3. 如請求項1之方法,其中該氮化矽膜係摻雜碳的氮化矽膜。
  4. 如請求項1之方法,更包含:在溫度範圍400至1000℃間進行尖波退火來處理該氮化矽膜。
  5. 如請求項1之方法,更包含:在沉積該氮化矽膜期間或後,將該氮化矽膜曝露至UV光源。
  6. 如請求項1之方法,更包含:將該氮化矽膜曝露至一包含氫、或惰性氣體、或氮的電漿。
  7. 如請求項1之方法,更包含:在範圍自周溫至1000℃的一或多種溫度下,原處或於與該反應器分別的艙中,以氧來源處理該氮化矽膜而將該氮化矽膜轉換成氧氮化矽膜。
  8. 如請求項7之方法,其中該氮化矽膜係摻雜碳的氮化矽膜,及其中該以氧來源處理的步驟將該摻雜碳的氮化矽轉換成摻雜碳的氧氮化矽膜。
  9. 如請求項1之方法,更包含在溫度範圍500℃至1000℃下於該氮化矽膜上進行熱退火。
  10. 如請求項1之方法,更包含在溫度範圍25℃至600℃間於該氮化矽膜上進行電漿處理,其中該電漿係選自於由下列所組成之群:惰性氣體電漿、氫/惰性氣體電漿及含氮電漿。
  11. 如請求項3之方法,更包含在溫度範圍25℃至600℃間於該摻雜碳的氮化矽膜上進行電漿處理,其中該電漿係選自於由下列所組成之群:惰性氣體電漿、氫/惰性氣體電漿及含氮電漿。
  12. 如請求項7之方法,更包含在溫度範圍25℃至600℃間於該氧氮化矽膜上進行電漿處理,其中該電漿係選自於由下列所組成之群:惰性氣體電漿、氫/惰性氣體電漿及含氮電漿。
  13. 如請求項8之方法,更包含在溫度範圍25℃至600℃間於該摻雜碳的氧氮化矽膜上進行電漿處理,其中該電漿係選自於由下列所組成之群:惰性氣體電漿、氫/惰性氣體電漿及含氮電漿。
  14. 一種經由電漿輔助ALD方法來形成氮化矽或摻雜碳的氮化矽之方法,該方法包含:a)將一包含表面構形的基材提供在一反應器中;b)將一種具有一個C2-3伸烷基鏈結且具有下列式I之矽前驅物化合物引進該反應器中,其中該至少一種前驅物在該基材的表面構形之至少一部分上反應以提供一化學吸附層:R3-nXnSi-R1-SiXmR2 3-m I其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2各者各自獨立地選自於氫原子及C1至C3烷基;R1係具有2至10個碳原子的C2-3伸烷基,其中該矽前驅物係在該基材的表面之至少一部分上反應而提供一化學吸附層;c)使用惰性氣體吹洗掉該反應器之任何未反應的矽前驅物及/或任何反應副產物;d)將一第一電漿來源提供進該反應器中並與該化學吸附層反應而形成一選擇性摻雜碳的氮化矽膜;e)使用惰性氣體吹洗掉該反應器之任何進一步反應副產物;f)將一第二電漿來源提供進該反應器中並進一步與該化學吸附層反應而進一步形成該選擇性摻雜碳的氮化矽膜;g)使用惰性氣體吹洗掉該反應器之任何進一步反應副產物; 其中重覆該步驟b至g直到沉積出想要的膜厚度,及其中該反應器係維持在範圍約25℃至600℃的一或多種溫度下。
  15. 如請求項14之方法,其中該第一電漿來源包含氨來源及該第二電漿來源包含氮來源。
  16. 如請求項14之方法,其中該第一電漿來源包含氮來源及該第二電漿來源包含氨來源。
  17. 如請求項14之方法,其中該矽前驅物係選自於由下列所組成之群:1,1,1,4,4,4-六氯-1,4-二矽雜丁烷、1,1,1,4,4,4-六氯-2-甲基-1,4-二矽雜丁烷、1,1,1,4,4-五氯-1,4-二矽雜戊烷、1,1,1,4,4-五氯-2-甲基-1,4-二矽雜戊烷、2,2,5,5-四氯-2,5-二矽雜己烷、2,2,5,5-四氯-3-甲基-2,5-二矽雜己烷、1,1,1,5,5,5-六氯-1,5-二矽雜戊烷、2,2,6,6-四氯-3-甲基-2,6-二矽雜庚烷、1,1,4,4-四氯-1,4-二矽雜戊烷、1,1,4,4-四氯-2-甲基-1,4-二矽雜戊烷、1,1,4,4,4-五氯-1,4-二矽雜丁烷、1,1,4,4,4-五氯-2-甲基-1,4-二矽雜丁烷、1,4,4,4-四氯-1,4-二矽雜丁烷、1,4,4,4-四氯-2-甲基-1,4-二矽雜丁烷、1,4,4-三氯-1,4-二矽雜戊烷、1,4,4-三氯-2-甲基-1,4-二矽雜戊烷、1,1,5,5,5-五氯-1,5-二矽雜戊烷、1,1,5,5,5-五氯-2-甲基-1,5-二矽雜戊烷、1,1,5,5-四氯-1,5-二矽雜己烷、1,1,5,5-四氯-2-甲基-1,5-二矽雜己烷、1,5,5,5-四氯-1,5-二矽雜戊烷、1,5,5,5-四氯-2-甲基-1,5-二矽雜戊烷、1,5,5-三氯-1,5-二矽雜己烷、及1,5,5-三氯-2-甲基-2,6-二矽雜己烷。
  18. 一種不銹鋼容器,其容納一具有一個C2-3伸烷基鏈結且具有下列式I之矽前驅物化合物的組合物:R3-nXnSi-R1-SiXmR2 3-m I其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R及R2各者各自獨立地選自於氫原子及C1至C3烷基;及R1係具有2至10個碳原子的C2-3伸烷基,其中該具有式I之矽前驅物化合物不能是1,1,1,4,4,4-六氯-1,4-二矽雜丁烷。
  19. 如請求項18之不銹鋼容器,其進一步容納一選自於氦、氬、氮及其組合之惰性頂端空間氣體。
TW108134375A 2018-09-24 2019-09-24 含矽及氮膜的製造方法 TWI728478B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862735603P 2018-09-24 2018-09-24
US62/735603 2018-09-24

Publications (2)

Publication Number Publication Date
TW202020206A TW202020206A (zh) 2020-06-01
TWI728478B true TWI728478B (zh) 2021-05-21

Family

ID=69953292

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108134375A TWI728478B (zh) 2018-09-24 2019-09-24 含矽及氮膜的製造方法

Country Status (5)

Country Link
US (1) US20220037144A1 (zh)
KR (1) KR20210047966A (zh)
CN (1) CN112805405B (zh)
TW (1) TWI728478B (zh)
WO (1) WO2020068770A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023178216A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Low-k dielectric protection during plasma deposition of silicon nitride
WO2023230170A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Hybrid atomic layer deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201207148A (en) * 2010-04-15 2012-02-16 Novellus Systems Inc Improved silicon nitride films and methods
TW201636453A (zh) * 2015-03-17 2016-10-16 應用材料股份有限公司 用於膜沉積的脈衝化電漿
TW201712141A (zh) * 2015-07-31 2017-04-01 氣體產品及化學品股份公司 用於沉積矽氮化物的組合物及方法
TW201804012A (zh) * 2016-07-27 2018-02-01 慧盛材料美國責任有限公司 用於碳摻雜的含矽膜的組合物及其方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
KR100568448B1 (ko) * 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
KR20150082621A (ko) * 2012-11-14 2015-07-15 피에스5 뤽스코 에스.에이.알.엘. 반도체 장치 및 그 제조 방법
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR102650626B1 (ko) * 2015-02-06 2024-03-21 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR102301585B1 (ko) * 2016-03-13 2021-09-10 어플라이드 머티어리얼스, 인코포레이티드 선택적 건식 에칭을 위한 방법들 및 장치
JP7203515B2 (ja) * 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
JP7421551B2 (ja) * 2018-10-03 2024-01-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素及び窒素を含有する膜を製造するための方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201207148A (en) * 2010-04-15 2012-02-16 Novellus Systems Inc Improved silicon nitride films and methods
TW201636453A (zh) * 2015-03-17 2016-10-16 應用材料股份有限公司 用於膜沉積的脈衝化電漿
TW201712141A (zh) * 2015-07-31 2017-04-01 氣體產品及化學品股份公司 用於沉積矽氮化物的組合物及方法
TW201804012A (zh) * 2016-07-27 2018-02-01 慧盛材料美國責任有限公司 用於碳摻雜的含矽膜的組合物及其方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
N. W. Mitzel et al., 1,1,1,4,4,4-Hexachloro-1,4-disilabutane, Acta Crystallographica Section C53, 1997, pp. 1335-1337 *

Also Published As

Publication number Publication date
CN112805405A (zh) 2021-05-14
KR20210047966A (ko) 2021-04-30
US20220037144A1 (en) 2022-02-03
CN112805405B (zh) 2024-04-23
TW202020206A (zh) 2020-06-01
WO2020068770A1 (en) 2020-04-02

Similar Documents

Publication Publication Date Title
US11742200B2 (en) Composition and methods using same for carbon doped silicon containing films
TWI749655B (zh) 含矽及氮膜的製造方法
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
TWI728478B (zh) 含矽及氮膜的製造方法
TWI814264B (zh) 用於含有矽及硼的膜之組合物及使用其之方法