TWI725979B - 承載器及基板處理裝置 - Google Patents

承載器及基板處理裝置 Download PDF

Info

Publication number
TWI725979B
TWI725979B TW105123385A TW105123385A TWI725979B TW I725979 B TWI725979 B TW I725979B TW 105123385 A TW105123385 A TW 105123385A TW 105123385 A TW105123385 A TW 105123385A TW I725979 B TWI725979 B TW I725979B
Authority
TW
Taiwan
Prior art keywords
plate member
cooling medium
heater
susceptor
carrier
Prior art date
Application number
TW105123385A
Other languages
English (en)
Other versions
TW201718928A (zh
Inventor
森幸博
梅爾文 韋爾巴斯
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201718928A publication Critical patent/TW201718928A/zh
Application granted granted Critical
Publication of TWI725979B publication Critical patent/TWI725979B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一承載器包括一板部件、用以加熱板部件之一第一部分的一第一加熱器、用以加熱板部件之一第二部分的一第二加熱器以及用以使第一部分和第二部分在板部件的一上表面側彼此熱絕緣的一絕熱部。

Description

承載器及基板處理裝置
本發明係有關於一承載器支撐一基板以及一基板處理裝置提供一承載器。
美國專利案US 6469283B1揭示一種配置以提供100%電力予具有複數個區域之基板支撐板(substrate supporting table)中的一個區域,以及提供50%電力予其他區域。
在一些半導體或液晶製造處理的實施例中,當一基板被處理時,會有意地使基板的溫度不均勻。舉例來說,在一些例子中,薄膜形成係於一基板上進行而基板的溫度不均勻時,會因而使得在基板上的一薄膜的薄膜厚度不均勻或使得薄膜的品質不均勻。從理解如此製程的觀點,在基板中某些不同位置之間產生一明確的溫度差是更好的。
當於美國專利案US 6469283B1所揭露的基板支撐板能夠分離地加熱複數個區域,在基板支撐板的上表面中,複數個區域結合一個至另一個。基板支撐板(承載器,susceptor)一般由具有良好導熱性質的材料作成,例如鋁、氮化鋁(AlN)、碳或碳化矽(SiC)。因此,在美國專利案US6469283B1所揭露的基板支撐板中,熱從一個區域傳遞到另一個區域是有作用的(active),且 不能在基板中的某些不同位置之間產生明確的溫度差。
鑒於上述的問題,本發明之一目的係提供一承載器能夠在一基板和包含一承載器之一基板處理裝置之間產生一明確的溫度差。
本發明數個特徵和優點可由下述作概述。
根據本發明之一觀點,一承載器包含一板部件、一用於加熱板部件的一第一部分之第一加熱器、一用於加熱板部件的一第二部分之第二加熱器,以及一隔熱部,用於使第一部分和第二部分在板部件的一上表面側彼此熱絕緣。
根據本發明之一觀點,一基板處理裝置包含一承載器包含一板部件、一用於加熱板部件的一第一部分之第一加熱器、一用於加熱板部件的一第二部分之第二加熱器、一絕熱部,用於使第一部分和第二部分在板部件的一上表面側彼此熱絕緣、承載器設置於其內的一腔室、以及一排氣部係附著於腔室的一側表面。第一部分是包含板部件的一外邊緣的部分,第二部分是包含板部件的一外邊緣的部分,而排氣部和第一部分在平面視角上是彼此相對。
根據本發明之一觀點,一基板處理裝置包含一承載器包含一板部件、一用於加熱板部件的一第一部分之第一加熱器、一用於加熱板部件的一第二部分之第二加熱器、一絕熱部,用於使第一部分和第二部分在板部件的一上表面側彼此熱絕緣、承載器設置於其內的一腔室、以及一門閥係附著於腔室的一側表面。第一部分是包含板部件的一外邊緣的部分,第二 部分是包含板部件的一外邊緣的部分,而門閥和第二部分在平面視角上是彼此相對。
本發明之其他與更多的目的、特徵與優點將會更完整地於下述說明中描述。
10‧‧‧基板處理裝置
12‧‧‧腔室
14‧‧‧射頻電極
14a‧‧‧狹縫
15‧‧‧承載器
16‧‧‧板部件
16A、16C、16D、190、192、194‧‧‧絕熱部
16B‧‧‧外絕熱部
16G、16H、16I、16J‧‧‧外緣側熱絕緣部
16A’、16B’、16C’、16D’‧‧‧溝槽
16a、16d‧‧‧第一部分
16b、16e‧‧‧第二部分
16c、16f、16h、16i、16j、16k‧‧‧第三部分
16g‧‧‧第四部分
17‧‧‧處理空間
18‧‧‧滑動軸
20‧‧‧絕緣部
22‧‧‧氣體供應部
30‧‧‧排氣管
30a‧‧‧環形狹縫
30b‧‧‧環形通道
30c‧‧‧排氣口
32、34‧‧‧O形環
40‧‧‧氣體排放部
42‧‧‧門閥
44‧‧‧真空幫浦
50‧‧‧第一加熱器
50a、52a‧‧‧接線
52‧‧‧第二加熱器
60‧‧‧加熱器控制器
62‧‧‧處理模組控制器,PMC
66‧‧‧溫度控制器
70‧‧‧基板
70A‧‧‧基板中心部
70B‧‧‧基板邊緣部
80、124、126、128、130‧‧‧第三加熱器
90‧‧‧冷卻裝置
91、92‧‧‧冷卻介質通道
91a、92a、91e、92e、95a‧‧‧垂直冷卻介質通道
91b、92b、95b‧‧‧第一冷卻介質通道
91c、92c、95c‧‧‧第二冷卻介質通道
91d、92d‧‧‧第三冷卻介質通道
94‧‧‧中空部
100‧‧‧薄膜發熱構件
102‧‧‧閘閥
160‧‧‧第一關閉部
162‧‧‧第二關閉部
164‧‧‧第三關閉部
192a、194a‧‧‧空隙
200、202‧‧‧冷卻構件
t1‧‧‧時間
第1圖係表示根據第一實施例之一基板處理裝置的剖視圖;第2圖係表示板部件的平面圖;第3圖係表示控制承載器的溫度之方法的示意圖;第4圖係表示一基板放置於平板部件上的示意圖;第5圖係表示承載器表面的溫度的示意圖;第6圖係表示根據第二實施例之承載器的平面圖;第7圖係表示根據第三實施例之基板處理裝置的平面圖;第8圖係表示根據第四實施例之基板處理裝置的平面圖;第9圖係表示根據第五實施例之一承載器和其他元件的剖視圖;第10圖係表示根據第六實施例之一承載器的剖視圖;第11圖係表示根據第七實施例之一承載器和其他元件的剖視圖;第12圖係表示根據第八實施例之一承載器的剖視圖;第13圖係表示根據第九實施例之一承載器的剖視圖;第14圖係表示第13圖中之承載器的平面圖;第15圖係表示承載器的表面之溫度分布地示意圖; 第16圖係表示在承載器上之溫度分布的變化的示意圖;第17圖係表示在一電漿處理中之溫度改變的示意圖;第18圖係表示在一電漿處理中之溫度改變的示意圖;第19圖係表示在一電漿處理中之承載器的溫度之轉移的示意圖;第20圖係表示在一電漿處理中之承載器的溫度之轉移的示意圖;第21圖係表示改變生長速率的示意圖;第22圖係表示改變蝕刻速率的示意圖;第23圖係表示根據第十實施例之一承載器的剖視圖;第24圖係表示承載器表面之溫度分布的示意圖;第25圖係表示根據第十一實施例之一承載器的剖視圖;第26圖係表示根據第十二實施例之一承載器的剖視圖;第27圖係表示沿第26圖中之線27-27之承載器的剖視圖;第28圖係表示絕熱部的放大圖;第29圖係表示根據一修改例之一承載器的一部分的剖視圖;以及第30圖係表示根據另一修改例之一承載器的一部分的剖視圖。
根據本發明之一實施例之一承載器與一基板處理裝置將配合圖式於此說明。彼此相同或相對應的部件係標記為相同的標號,並且在一些情況下省略對它們的重複描述。
第一實施例
第1圖係表示根據本發明第一實施例之一基板處理裝置10的剖視圖。前述基板處理裝置10係構成為一成膜裝置(film forming apparatus),例如,以電漿增強原子層沉積法(plasma enhanced atomic layer deposition,PEALD)於一基板上。前述基板處理裝置10具有一腔室(反應腔室)12。在腔室12中設有被施加射頻(radio frequency,RF)功率之射頻電極14。在射頻電極14中設有複數個狹縫14a。
一承載器15設置於前述腔室12以對抗射頻電極14。承載器15包含一板部件16與一支撐板部件16的滑動軸18。前述射頻電極14和板部件16形成一平行的板狀結構。
一氣體供應部22藉設置於其之間的一絕緣部20以連接射頻電極14。前述氣體供應部22是向射頻電極14與承載器15之間的空間供給原料氣體的部件。一排氣管30設於射頻電極14與腔室12之間。前述排氣管30,例如由一陶瓷所形成。一適當地被壓縮的O形環32設於排氣管30與射頻電極14之間。一適當地被壓縮的O形環34設於排氣管30與腔室12之間。
前述排氣管30形成一環形形狀,如平面圖所示,其環繞板部件16。排氣管30提供一環形通道30b,其圍繞在板部件16上的一處理空間17。在排氣管30中,形成有將供應至處理空間17內的一氣體引導至環形通道30b內的環形狹縫30a,以及形成有將環形通道30b中的該氣體排出到外部的一排氣口30c。
前述排氣口30c連接在腔室12之一側表面上的一氣體排放部40。前述氣體排放部40係提供排放用於成膜的一 原料氣體。一門閥42和一真空幫浦44連接至氣體排放部40。腔室12的壓力可藉由利用門閥42和真空幫浦44以調整排放速率而自由地被控制。
前述板部件16的厚度,舉例而言,為33mm。較佳地,板部件16是由例如具有良好導熱性的鋁之材料所形成。在板部件16中,一第一加熱器50和一第二加熱器52被嵌入於其中。前述第一加熱器50和第二加熱器52例如為一電阻加熱器。一絕熱部16A設置在第一加熱器50和第二加熱器52之間。一絕熱部16A係為具有一溝槽(間隙)的一溝槽部。對於絕熱部16A而言,在板部件16的上表面設置有一溝槽。
第2圖係表示前述板部件16的平面圖。板部件16的直徑,舉例而言,被設定為325mm,以支撐一具有300mm直徑的基板。前述板部件16具有作為中心部的一第一部分16a。前述第一加熱器50以環形的形式設於第一部分16a中。前述第一加熱器50是一用於加熱第一部分16a的加熱器。前述第一加熱器50以虛線表示。前述第一加熱器50舉例而言具有一180mm的中心直徑。前述中心直徑是由外徑和內徑的總和除以2而計算出的值。
前述板部件16具有一作為外部部分的第二部分16b。前述第二加熱器52以環形的形式設於第二部分16b中。第二部分16b圍繞第一部分16a,如同平面圖所示。前述第二加熱器52是一用於加熱第二部分16b的加熱器。前述第二加熱器52以虛線表示。前述第二加熱器52舉例而言具有一280mm的中心直徑。前述第一加熱器50和第二加熱器52是以 彼此同心地設置。
前述絕熱部16A在平面圖上係以環形的形式來形成。前述絕熱部16A藉由第一部分16a的一側表面、與第一部分16a的側表面有距離的第二部分16b的一側表面以及連接前述側表面的一底表面而形成。一溝槽16A’藉由前述絕熱部16A提供。前述絕熱部16A係作為一使第一部分16a和第二部分16b在板部件16的上表面側彼此絕熱的絕熱部。對於前述溝槽16A’的尺寸,舉例而言,具有一1.5mm的寬度、一23mm的深度以及一247.5mm的中心直徑。
第3圖係表示一控制承載器的溫度的方法。前述第一加熱器50藉由接線50a連接至一加熱器控制器60。前述第二加熱器52藉由接線52a連接至加熱器控制器60。加熱器控制器60可區分為兩個控制器以分別地控制第一加熱器50和第二加熱器52。
前述接線50a和52a穿過滑動軸18並從滑動軸18的下端延伸至外部。因此,接線50a和52a不會暴露於腔室12的內部中。如果接線50a和52a從板部件16的一側表面被引導至外部,接線50a和52a會暴露於腔室12的內部中並受到電漿(subjected to plasma)。因此,這樣的接線線路不是較佳的。又,如果接線50a和52a從板部件16的一側表面被引導至外部,當前述承載器15垂直移動時,接線50a和52a會有受損的風險。於是,從滑動軸18的下端引出接線50a和52a是較佳的。
一處理模組控制器(process module controller, PMC)62連接至前述加熱器控制器60。一單一平台控制器(unique platform controller,UPC)64連接至前述PMC62。一溫度測量部65用於測量承載器15的溫度係附著於板部件16上。前述溫度測量部65,舉例而言,為一熱電耦。利用溫度測量部65測量的溫度之資訊被傳送到一溫度控制器66。此資訊係用來控制承載器15的溫度。
以下將說明具有前述承載器15的一種藉由基板處理裝置10處理一基板的方法。前述真空幫浦44恆定地操作以維持腔室12的真空。首先將待處理的一基板放置於板部件16上。第4圖係表示一基板70放置於板部件16上。基板70被放置於前述第一部分16a和第二部分16b上。在第一部分16a上的基板70的部分係稱為一基板中心部70A。在第二部分16b上的基板70的部分係稱為一基板邊緣部70B。在一基板具有附著於其背表面的一薄膜(如SiO2薄膜)的情況下,薄膜吸收水,且當放置於加熱的承載器上時存在基板滑動的可能性。因此,在板部件16的上表面中提供一用於接收基板70的淺溝槽,以確保基板不在板部件16上滑動較佳。可替代地,可在板部件16的上表面上設置一小凸出部,並且可使基板的側表面抵靠此凸出部,以防止基板滑動。
接著,對前述基板70加熱。在描述用於處理基板70的條件的一配方中,設定第一部分16a的目標溫度和第二部分16b的目標溫度。前述加熱器控制器60基於此設定供給能量(energize)給第一加熱器50與第二加熱器52,使得第一部分16a與第二部分16b具有目標溫度。例如,第一部分16a具有 一300℃的溫度,第二部分16b則具有一305℃的溫度。
在此時的溝槽16A’作為一絕熱層,因為溝槽16A’具有真空於其中。藉由絕熱部16A(溝槽16A’),在第一部分16a和第二部分16b之間的熱轉移可被限制於板部件16的上表面側上。如此一來,由於第一部分16a和第二部分16b藉由絕熱部16A在板部件16的上表面側彼此熱絕緣,因此能夠在板部件16的上表面側產生一定的溫度差。
第5圖係表示承載器表面之溫度的示意圖。根據本發明的第一實施例,一實線係指板部件16的表面溫度。更具體地,該實線係指沿第2圖中之線A-A’的一溫度分布。對於前述第一部分16a,基本上實現了根據配方(300℃)中的設置的溫度。同樣地對於前述第二部分16b,基本上實現了根據配方(305℃)中的設置的溫度。此外,在板部件16的上表面側設置用於對第一部分16a和第二部分16b熱絕緣的絕熱部16A,能夠在第一部分16a和第二部分16b之間產生確定的溫度差。
另一方面,根據一比較例,在第5圖中的一虛線係指承載器表面的溫度。根據此比較例之前述承載器是大致相同於根據本發明第一實施例之承載器,但不同之處在於其並未設置絕熱部。由於本比較例中的承載器之板部件沒有絕熱部,所以第一部分(中心部)和第二部分(邊緣部)在板部件的上表面側上之間會有熱轉移。因此,本比較例之在承載器表面中的溫度分布係為溫度從板部件的中心朝向外緣的方向逐漸增加。也就是說,在板部件中不能產生明確的溫度差。
根據第一實施例中之板部件16所產生之確定的溫 度差反映於放置在板部件16上的基板70的溫度。如第4圖所示之基板70,基板中心部70A的溫度為300℃,基板邊緣部分70B的溫度為305℃。在將基板70設定為既定溫度後,供給原料氣體至腔室12內以在基板70上進行電漿成膜。
在一般的基板處理中,重複執行包括在基板上形成一薄膜,藉由曝光和顯影形成圖案,以及通過蝕刻去除不必要部分之一系列處理步驟。理想的基板處理為形成沒有面內不均勻之薄膜、形成沒有面內不均勻的圖案、以及在沒有面內不均勻的情況下進行蝕刻的處理。舉例而言,在蝕刻步驟中,存在著蝕刻量之面內不均勻的可能性。在這種情況下,應當通過調整蝕刻步驟的條件來抑制面內不均勻。然而,在一些情況下,這種調整是不可能或難以執行的。
因此,在一些情況中,為了吸收蝕刻量的面內不均勻,會要求有意地使在成膜步驟中形成之薄膜的膜質量或膜厚度不均勻。例如,在某些情況中,如果藉由蝕刻相對地增加基板的外緣側的蝕刻量,則進行成膜以使在基板外緣側的厚度增加。
如前所述,根據本發明的第一實施例之承載器與基板處理裝置能夠在基板產生一明確的溫度差,因此,並且適合於有意使薄膜的膜厚或膜質量不均勻。如此一來,藉由在成膜步驟中形成任何希望具有之不均勻性的薄膜以吸收除了不均勻性之外的面內不均勻性,可消除作為整個處理的結果之不均勻性。也就是說,可限制處理結束時的面內不均勻性。
形成所需之膜的膜厚度的分佈和膜質量的分佈係 根據除了成膜步驟以外的所需條件。例如,一薄膜較在基板中心部上的薄膜厚(或較薄)係形成在基板的邊緣部上,或者形成在基板邊緣部上的一薄膜較在基板中心部上的薄膜硬(或較軟)。
在此情況下的電漿成膜,在基板中心部的電場強度是增強的,而由於腔室的關係,在基板邊緣部則是減弱的。又,在電漿成膜中,在基板之低溫度部分的膜厚通常變得厚於基板之高溫度高部分的膜厚。通過多方面考慮是有助於膜厚或膜質量之面內分佈的因素,以在基板中產生明確的溫度差,以形成一具有滿足所需之面內不均勻性的薄膜。
根據本發明第一實施例之承載器15和基板處理裝置10可進行各種修改。確定適當地做出關於薄膜哪個部分被製造得更硬(或更軟)或者哪個部分被製造得更厚(或更薄),以滿足來自除了膜形成(成膜)步驟之外的步驟的需求。本發明的承載器和基板處理裝置不僅能夠作為成膜裝置,還能夠作為蝕刻器。成膜裝置和蝕刻器在真空中之電漿處理中具有共同性。
從在板部件16中實現的溫度分佈與基板70中的溫度分佈之間的均勻性的觀點,基板70與板部件16緊密接觸是較佳的。因此,基板70與設置在板部件16上的一靜電吸盤緊密接觸是較佳的。由於基板70和承載器15之間的接觸而導致承載器15的溫度有變化,而此變化是微小的是因為承載器15的熱容量大於基板70的厚度。
在配方中,可設定第一部分16a和第二部分16b之 間的溫度差,而不是設定這兩個部分的兩個目標溫度。例如,第一部分16a和第二部分16b的目標溫度可以如此之方式設定:在配方中設定第一部分16a的目標溫度,並將第二部分16b的目標溫度定義為向第一部分16a的目標溫度添加一預定溫度(例如,50℃),或從第一部分16a的目標溫度減去此預定溫度。
前述絕熱部16A的圖案可根據基板中所需之溫度分佈而依據所需而改變。第一實施例中之溝槽16A’的尺寸和其它的值僅是一示例,其可根據所需而改變。由此進行的修改也可以根據需要而應用於根據下面將描述之其它實施例中的承載器和基板處理設備。以下將說明的數個實施例之承載器和基板處理裝置與其在第一實施方式具有多個共同點,因此將以與第一實施例之不同處為中心來進行說明。
第二實施例
第6圖係表示根據第二實施例之承載器的平面圖。一第三部分16c係形成,其作為板部件16的一部分。如平面圖所示,前述第三部分16c圍繞第二部分16b。用以加熱第三部分16c的一第三加熱器80係嵌入於第三部分16c中。第三加熱器80係以虛線表示。前述第一加熱器50、第二加熱器52和第三加熱器80彼此同心地設置。
一外絕熱部16B被提供至前述板部件16中的上表面側。前述外絕熱部16B,係由第二部分16b的側表面、與第二部分16b的側表面隔開的第三部分16c的側表面以及連接這些側表面的底面所形成。外絕熱部16B提供有作為絕熱層的溝槽16B’。溝槽16B’使第二部分16b和第三部分16c在板部件 16的上表面側上彼此熱絕緣。
藉由根據本發明第二實施例之承載器,第一部分16a、第二部分16b和第三部分16c的溫度可以獨立地設定為期望的溫度。因此與根據第一實施例之承載器相比,在基板中的溫度分佈之自由度可被改善,其板部件在上表面側被分成兩部分以用於溫度控制。
第三實施例
第7圖係表示第三實施例之基板處理裝置的平面圖。在腔室12中,僅表示出側壁部以使可看到腔室12的內部。在腔室12中,承載器被包覆。第7圖顯示了板部件16。為了排空腔室12並排出被供應到腔室12中的材料氣體,將氣體排放部40附著到腔室12的側表面。一閘閥102附著到腔室12的側表面,以為了將基板放入腔室12中並從腔室12中取出基板。一晶圓處理腔室104連接至前述閘閥102。
承載器的板部件16具有一第一部分16d、一第二部分16e,一第三部分16f以及一第四部分16g。第一至第四部分16d、16e、16f、16g中的每一個在平面圖中是呈現扇形的。前述第一至第四部分16d、16e、16f、16g是包含板部件16的外邊緣的部分。前述氣體排放部40和第一部分16d在平面圖中是彼此相對的。前述閘閥102和第二部分16e在平面圖中是彼此相對的。因此,第一部分16d是在板部件16中較靠近氣體排放部40的一區域,而第二部分16e則是在板部件16中的較靠近閘閥102的一區域。
在前述板部件16中,形成有作為溝槽部的絕熱部 16C、16D。對於絕熱部16C而言,在第一部分16d和第四部分16g之間以及在第二部分16e和第三部分16f之間設置一溝槽16C’。對於絕熱部16D而言,則在第一部分16d和第三部分16f之間以及在第二部分16e和第四部分16g之間設置一溝槽16D’。對於絕熱部16C和16D來說,在板部件分16中設置形成十字形圖案的數個溝槽。前述溝槽16C’和16D’的寬度與深度,並沒有特別指定,其基本上與在第一實施例所述之溝槽的寬度和深度相同。
用於加熱第一部分16d的第一加熱器110係嵌入在第一部分16d中。用於加熱第二部分16e的第二加熱器112係嵌入在第二部分16e中。用於加熱第三部分16f的第三加熱器114係嵌入在第三部分16f中。用於加熱第四部分16g的第四加熱器116則嵌入在第四部分16g中。前述第一至第四加熱器110、112、114、116由加熱器控制器單獨控制。因此,在加熱器控制器的控制下,第一至第四部分16d、16e、16f與16g可具有不同的溫度。第一至第四部分16d、16e、16f和16g藉由絕熱部16C和16D在板部件16的上表面側彼此熱絕緣,從而能夠在基板中產生明確的溫度差。
當前述腔室12中的氣體排出(排空)時,腔室12的內部是不均勻地排氣的。在靠近排氣體排放部40之位置處的壓力是低於遠離氣體排放部40之位置處的壓力。在壓力低的區域中,氣體停留時間(在電漿中一個分子停留在其中的時間)減少。因此,在成膜裝置或蝕刻器中之處理的多數情況下,成膜裝置中的氣體排放部40附近的成膜速度降低,或者在蝕 刻器中氣體排放部40附近的蝕刻速度降低。
前述承載器15和腔室12處於相同的電位(接地)。在電漿產生時,會發生從射頻電極14到最近的電極(承載器15的板部件16)的主放電。然而,從射頻電極14向包含原本不應當作為電極的腔室的部分也會發生放電。從防止成膜條件在基板平面上不均勻的觀點來看,腔室12包圍射頻電極14是較佳的,並且距射頻電極14的距離相對於所有方向均勻。然而,實際上,由於閘閥102的關係,從射頻電極14到處於接地電位之電極的距離與電極形狀會不均勻。換句話說,電漿在閘閥102附近的擴散方式與在遠離閘閥102的位置中的擴散方式彼此不同。
因此,靠近氣體排放部40的一區域中的成膜條件與遠離氣體排放部40的一區域中的成膜條件彼此不同,並且,在閘閥102附近之一區域的成膜條件與遠離閘閥102之一區域的成膜條件也彼此不同。換言之,即使在距離基板中心相同距離的兩點之間,成膜條件也根據從氣體排放部40與閘閥102之點距離而變化。
因此,根據本發明的第三實施例所設計的承載器,其使與氣體排放部40相對的第一部分16d能夠被獨立地溫度控制。前述第一部分16d的溫度是考慮第一部分16d的成膜條件的特殊性來設定的,因此能夠控制在第一部分16d上形成在基板上的薄膜之膜厚與膜質量。
此外,承載器被設計成使與閘閥102相對的第二部分16e可獨立地被溫度控制。第二部分16e的溫度是考慮到 第二部分16e之成膜條件的特殊性而設定的,因此能夠控制在第二部分16e上形成在基板上的膜之膜厚與膜質量。
在閘閥102的存在是對成膜質量的影響是小的情況下,與氣體排放部40和第一部分16d相對的情況是足夠的,且第二部分16e不需與閘閥102相對。在氣體排放部40的存在對成膜質量的影響小的情況下,與閘閥102和第二部分16e相對就足夠,並且第一部分16d不需與氣體排放部40相對,閘閥102和氣體排放部40的位置不一定成為對稱關係。此外,板部件16可藉由絕熱部而被分成三個部分、五個或更多個部分。
第四實施例
第8圖係表示第四實施例之基板處理裝置的平面圖。第一部分16a、第二部分16b、絕熱部16A與外絕熱部16B與這些在第二實施例(第6圖)之板部件16中是以相同的方式設置。在本發明的第四實施例中,第二實施例中的第三部分16c被分成四個。也就是說,如第8圖所示,四個第三部分16h、16i、16j與16k設置為板部件16的一部分。四個第三部分16h、16i、16j與16k作為一圍繞第二部分16b的整體,如在平面圖中所示。第三部分16h與氣體排放部40相對。第三部分16j與閘閥102相對。
用於加熱第三部分16h的第三加熱器124嵌入在第三部分16h中。用於加熱第三部分16i的第三加熱器126嵌入在第三部分16i中。用於加熱第三部分16j的第三加熱器128嵌入在第三部分16j中。用於加熱第三部分16k的第三加熱器130則嵌入在第三部分16k中。因此,在多個第三部分的每一 個中設置一個第三加熱器。四個第三加熱器124、126、128和130由加熱器控制器單獨控制。因此,四個第三部分16h、16i、16j與16k可以由加熱器控制器控制為具有不同的溫度。
在板部件中形成有作為一溝槽部的外絕熱部16B。外絕熱部16B使第二部分16b與多個第三部分16h、16i、16j與16k在板部件的上表面側上彼此熱絕緣。此外,在板部件中形成作為溝槽部的外緣側絕熱部16G、16H、16I與16J。外緣側熱絕緣部16G、16H、16I與16J使多個第三部分在板部件的上表面側上彼此熱絕緣。第一部分16a、第二部分16b和多個第三部分16h、16i、16j和16k通過絕熱部16A在板部件分的上表面側彼此熱分離,外絕熱部16B和外緣側絕熱部16G、16H、16I與16J,能夠在基板上產生明確的溫度差。
在某些情況下,氣體排放部40的存在對成膜條件的影響在基板之靠近氣體排放部40的邊緣部分特別大,而在基板的中心部分則為小。因此,在本發明第四實施例中,能夠在板部件的外緣部對與氣體排放部40相對的第三部分16h進行獨立的溫度控制。第三部分16h的溫度是考慮到在第三部分16h的成膜條件的特殊性而設定的,因此能夠控制在第三部分16h上之形成在基板上的薄膜之膜厚和膜質量。
此外,在一些情況下,閘閥102的存在對成膜條件的影響在基板之靠近閘閥102的基板邊緣部特別大,而在基板的中心部處則較小。因此,在本發明第四實施例中,能夠在板部件的外緣部對與閘閥102相對的第三部分16j進行獨立的溫度控制。第三部分16j的溫度是考慮到在第三部分16j的成 膜條件的特殊性而設定,因此能夠控制在第三部分16j上形成在基板上的薄膜之膜厚和膜質量。
由於第一加熱器50的關係,第二加熱器52和多個第三加熱器124、126、128和130彼此同心地設置,所以可以考慮到取決於板部件中心(中心-邊緣關係)與成膜條件之距離的成膜條件的變化來進行溫度設置,如與第二實施例中的承載器相同。亦即,根據本發明第四實施例之承載器與基板處理裝置,當能夠修正中心-邊緣的關係、氣體排出部40之存在的影響以及閘閥102之存在的影響時,會實現所期望之選擇的膜厚分佈和膜品質分佈。
該些第三部分的數量不限於四個。可使用複數個第三部分。如果能夠獨立地進行溫度控制的部分的數量增加,例如藉由增加溝槽部的數量和自由地改變溝槽部的形狀,可實現複雜的膜厚分佈或膜質量分佈。
第五實施例
第9圖係表示根據第五實施例之一承載器與其它構件的截面圖。此承載器與第二實施例(第6圖)的承載器相似。然而,形成在絕熱部16A與外絕熱部16B內的溝槽16A’與16B’的寬度是大於第二實施例中的寬度。此外,一第一關閉部160設置在第一部分16a上。第一關閉部160在不接觸第二部分16b的情況下關閉溝槽部中的溝槽16A’的一部分。第一關閉部160於平面上觀之的形狀(平面的形狀)為圓形。一第二關閉部162設置在第二部分16b上。第二關閉部162在不接觸第一部分16a和第一關閉部160的情況下,關閉溝槽部中的 溝槽16A’的一部分和溝槽16B’的一部分。前述第二關閉部162的平面形狀是環形,其圍繞第一關閉部160。
一第三關閉部164設置在第三部分16c上。第三關閉部164在不接觸第二部分16b和第二關閉部162的情況下,關閉溝槽16B’的一部分。第三關閉部164的平面形狀為環形,圍繞第二關閉部162。待處理的基板70被放置在第一關閉部160、第二關閉部162與第三關閉部164上。如果第一至第三關閉部160、162與164的材料不大大地妨礙電漿放電的話,則沒有特別指定其材料。該材料可例如為陶瓷或鋁。
第一部分16a和第二部分16b彼此熱絕緣的效果和使第二部分16b和第三部分16c彼此熱絕緣的效果可以改善通過增加溝槽16A’的寬度(x3)和溝槽16B’的寬度(x4)。然而,如果在直接放置在具有溝槽16A’的寬度(x3)和溝槽16B’的寬度(x4)的板部件上增加,同時對基板進行加熱,則基板溫度在位置正好位於槽16A’和16B’上方將不會充分增加。也就是說,會發生意外的溫度變化。
為了防止這種情況,將會設置第一至第三關閉部160、162和164。第一關閉部160和第二關閉部162關閉溝槽16A’的一部分。因此,第一關閉部160和第二關閉部162之間的距離(x1)小於溝槽16A’的寬度(x3)。第二關閉部162和第三關閉部164關閉溝槽16B’的一部分。因此,第二關閉部162和第三關閉部164之間的距離(x2)小於溝槽16B’的寬度(x4)。因此,與基板直接放置在板部件16上的情況相比,可限制非預期的溫度變化。
根據形成在板部件中之溝槽的數量來改變關閉部的數量是較佳的。例如,在板部件僅形成有槽部16A’的情況下,將不會設置第三關閉部164。
第六實施例
第10圖係表示第六實施例之一承載器的剖視圖。第一部分16a、第二部分16b與第三部分16c是分離的部分。換句話說,第三部分16c可以從第二部分16b分離。第二部分16b可以從第一部分16a分離。第二部分16b和第三部分16c在於平面上觀之是環形的部分。一凸出部160設置在第一部分16a的側表面上,且第二部分16b設置在凸出部160上。一凸出部162設置在第二部分16b的側表面上,第三部分16c則設置在凸出部162。
溝槽部(絕熱部16A)由第一部分16a的側表面、凸出部160的上表面和第二部分16b的側表面所形成。外絕熱部16B由第二部分16b的側面、凸出部162的上表面和第三部分16c的側面所形成。
如第10圖所示,可通過在第一部分16a的側表面的下端設置凸出部160並減小凸出部160的厚度來提供深溝槽16A’。可通過在第二部分16b的側表面的下端處設置凸出部162並減小凸出部162的厚度來提供深溝槽16B’。如果在第一實施例之承載器中形成深的溝槽,則擔心承載器的強度會大幅減少。然而,根據本發明第六實施例之承載器因為是組裝類型,故能夠同時確保其強度與使溝槽是深的。
第七實施例
第11圖係表示根據第七實施例之承載器和其它構件的截面圖。此承載器具有安裝到板部件16的一冷卻構件200和安裝到滑動軸18的一冷卻構件202。如果使用公知的冷卻方法,則冷卻構件200、202沒有特別指定。冷卻部件200安裝在板部件16(絕熱部16A和外絕熱部16B)的溝槽部的正下方。冷卻構件200、202的冷卻程度由加熱器控制器所控制。
舉例而言,當以1kW產生電漿時,承載器的溫度通過射頻能量增加到一定程度。在某些情況下,該溫度升高使得難以實現低溫處理。因此,在本發明的第七實施例之承載器中,藉由利用冷卻構件200、202對承載進行冷卻,來限制承載器的溫度上升,以能夠實現低溫處理。
在板部件的上表面側上,第一部分16a、第二部分16b和第三部分16c彼此熱分離。然而,在板部件的下表面側,這些部分彼此不熱分離。因此,第一至第三部分16a、16b、16c之間的熱傳遞主要發生在板部件分的下表面側。在根據本發明第七實施例之承載器中,冷卻構件200設置在板部件16的下表面側,以限制熱傳遞。更具體地,冷卻構件200設置在溝槽部(絕熱部16A和外絕熱部16B)的正下方,從而能夠限制第一部分16a和第二部分16b之間的熱傳遞,與限制第二部分16b和第三部分16c之間的熱傳遞。前述冷卻構件200可嵌入板部件16中,冷卻構件202則可嵌入在滑動軸18中。
第八實施例
第12圖係表示第八實施例之一承載器的剖視圖。在第一至第七實施例中,溝槽部設置在板部件中之上表面側上 以作為用於使板部件的第一部分和第二部分彼此熱絕緣的絕熱部。然而,第八實施例中的絕熱部則是設置在板部件16中的冷卻裝置210。冷卻裝置210的冷卻程度由加熱器控制器所控制。在冷卻裝置210運作時,一第一加熱器50和一第二加熱器52也運作。接著,第一部分16a和第二部分16b藉由冷卻裝置210的冷卻而彼此熱絕緣。較佳地,特別是在板部件16的上表面側上對第一部分16a和第二部分16b進行熱絕緣。第一部分16a和第二部分16b的熱絕緣能夠以不設置任何溝槽的情況下在基板中產生確定的溫度差。可進行與使用上述實施例的一適當組合。
根據本發明,承載器中的某些不同區域通過絕熱部彼此熱絕緣,從而能夠產生確定的溫差。
第九實施例
第13圖係表示本發明第九實施例之一承載器的剖視圖。一冷卻裝置90用於冷卻設置在板部件16上表面側的溝槽部16A,其作為用於使第一部分16a和第二部分16b彼此熱絕緣的部分,且板部件16的一部分在正下方沿著溝槽部16A設置的溝槽16A’。前述冷卻裝置90具有兩列冷卻介質通道91、92。冷卻介質的流動在冷卻介質通道91和92中彼此分開形成。冷卻介質的溫度沒有特別規定。冷卻介質的溫度例如為約20℃。
前述冷卻介質通道91包含大致垂直地延伸的一垂直冷卻介質通道91a、與垂直冷卻介質通道91a連接的一第一冷卻介質通道91b以及與第一冷卻介質通道91b連接的一第二 冷卻介質通道91c。前述垂直冷卻介質通道91a設置為一通過在滑動軸18和第一部分16a中大致垂直地流動的冷卻介質的冷卻介質通道。前述第一冷卻介質通道91b延伸穿過第一部分16a。第二冷卻介質通道91c則延伸穿過板部件16之溝槽16A’正下方的部分。
前述冷卻介質通道92包含大致垂直地延伸的垂直冷卻介質通道92a、連接到垂直冷卻介質通道92a的第一冷卻介質通道92b以及連接到第一冷卻介質通道92b的第二冷卻介質通道92c。垂直冷卻介質通道92a一通過在滑動軸18和第一部分16a中大致垂直地流動的冷卻介質的冷卻介質通道。第一冷卻介質通道92b延伸穿過第一部分16a。第二冷卻介質通道92c則延伸穿過板部件16一部分之溝槽16A’正下方的部分。
垂直冷卻介質通道91a、92a以及第一冷卻介質通道91b、92b中的每個黑色粗線表示冷卻介質流過的管道。該管道係被中空部94所包圍,使得冷卻介質不接觸板部件16和滑動軸18。中空部94阻礙冷卻介質和板部件16之間以及阻礙冷卻介質和滑動軸18之間的熱傳遞,因此,在垂直冷卻介質通道91a、92a以及第一冷卻介質通道91b和92b中,冷卻介質不會接觸板部件16和滑動軸18以使其溫度升高。除了中空部94之外的部件可被作用於阻止冷卻介質和板部件16之間以及冷卻介質和滑動軸18之間的熱傳遞的一傳熱抑制部。
另一方面,在第二冷卻介質通道91c、92c中,冷卻介質與板部件16接觸。因此,板部件16之位於溝槽16A’的正下方的部分係被冷卻介質冷卻。
第14圖係表示第13圖中之承載器15的平面圖。為了便於描述,以虛線表示冷卻介質通道91、92。將參照第14圖來描述冷卻介質的流動。第14圖中的箭頭係表示冷卻介質的流動方向。首先,通過垂直冷卻介質通道91a的冷卻介質到達第一冷卻介質通道91b。接著冷卻介質通過第一冷卻介質通道91b,再從第一部分16a的中心部前進到溝槽部16A的正下方位置並到達第二冷卻介質通道91c。在垂直冷卻介質通道91a和第一冷卻介質通道91b中,由於冷卻介質和承載器15不彼此接觸,所以冷卻介質的溫度基本上不會增加。
到達第二冷卻介質通道91c的入口的冷卻介質以在溝槽16A’的下方以如描繪半圓的方式前進,到達第三冷卻介質通道91d,第三冷卻介質通道91d是從溝槽部16A的正下方位置延伸到第一部分16a的中心的一通道。已經通過第三冷卻介質通道91d前進的冷卻介質到達垂直冷卻介質通道91e,垂直冷卻介質通道91e與垂直冷卻介質通道91a類似,在板部件16和滑動軸18中提供垂直冷卻介質通道。冷卻介質向下流過垂直冷卻介質通道91e,以排放到外部。在第三冷卻介質通道91d和垂直冷卻介質通道91e中設置有用於阻礙冷卻介質和板部件16之間以及阻礙冷卻介質和滑動軸18之間的熱傳遞的一傳熱抑制部,在垂直冷卻介質通道91a和第一冷卻介質通道91b中。因此,在第三冷卻介質通道91d和垂直冷卻介質通道91e中,由於冷卻介質通過第三冷卻介質通道91d和垂直冷卻介質通道91e,冷卻介質的溫度實質上不上升。
因此,冷卻介質通道91設置成用於冷卻通過在平 面上觀之為環形之溝槽部16A正下方的半圓形區域。
以下將描述不同於冷卻介質通道91的冷卻介質通道92中的冷卻介質的流動。首先,通過垂直冷卻介質通道92a的冷卻介質到達第一冷卻介質通道92b。接著冷卻介質通過第一冷卻介質通道92b,其係從第一部分16a的中心部分前進到溝槽部16A的正下方位置,並到達第二冷卻介質通道92c。在垂直冷卻介質通道92a和第一冷卻介質通道92b中,由於冷卻介質和承載器15彼此不接觸,所以冷卻介質的溫度基本上不會增加。
到達第二冷卻介質通道92c之入口的冷卻介質以以如同在溝槽16A’的下方描繪半圓來前進,並到達第三冷卻介質通道92d,第三冷卻介質通道92d是從溝槽部16A的正下方的位置延伸到第一部分16a的中心。已經前進通過第三冷卻介質通道92d的冷卻介質到達垂直冷卻介質通道92e,垂直冷卻介質通道92e類似於垂直冷卻介質通道92a在板部件16和滑動軸18中提供垂直冷卻介質通道。冷卻介質通過垂直冷卻介質通道92e向下流動以排放到外部。在第三冷卻介質通道92d和垂直冷卻介質通道92e中設置有用於阻礙冷卻介質和板部件16之間以及冷卻介質和滑動軸18之間的熱傳遞的一傳熱抑制部,有如垂直冷卻介質通道92a和第一冷卻介質通道92b的情形。因此,在第三冷卻介質通道92d和垂直冷卻介質通道92e中,由於冷卻介質通過第三冷卻介質通道92d和垂直冷卻介質通道92e,冷卻介質的溫度實質上不會上升。
因此,冷卻介質通道92設置成用於冷卻通過在平面上觀之為環形之溝槽16A正下方的半圓形區域。
在“流入部”或在冷卻介質從第一冷卻介質通道91b、92b進入至第二冷卻介質通道91c、92c之位置的冷卻介質溫度,是低於在“流出部”或在冷卻介質從從第二冷卻介質通道91c、92c流入至第三冷卻介質通道91d,92d之位置的冷卻介質溫度。這意味著,如果兩個流入部彼此靠近,則不能均勻地冷卻溝槽部16A的正下方的部分。因此,在本發明的第九實施例中,兩個流入部彼此遠離。更具體地,兩個流入部設置成使連接兩個流入部的線通過第一部分16a的中心。如果使第二冷卻介質通道91c的冷卻介質以順時針方向流動,則第二冷卻介質通道92c的冷卻介質也以順時針方向流動。如果使第二冷卻介質通道91c中的冷卻介質以逆時針方向流動,則使第二冷卻介質通道92c中的冷卻介質也逆時針方向流動。亦即,第二冷卻介質通道91c,92c中之冷卻介質的流動方向設定為一致。
在根據本發明第九實施例之承載器中,提供用於冷卻板部件16之在槽16A'正下方的部分的冷卻裝置90,使得第一部分16a和第二部分16b之間的熱傳導通過溝槽16A'的正下方的部分通過能夠被抑制。結果,與第一實施例相比,可在基板中更明確地產生溫度差。
第15圖係表示本發明第九實施例之承載器15的表面溫度分佈的示意圖。實線箭頭和虛線箭頭表示冷卻介質的流動方向。從圖式可理解,在第一部分16a和第二部分16b之間產生了確定的溫度差。
第16圖係顯示有/無冷卻裝置的承載器上的溫度分佈的變化示意圖。用“無冷卻裝置”表示的兩個影像係顯示了 根據第13圖所示之構造並去除冷卻裝置而形成的比較例之承載器表面中的溫度分佈的影像。“帶有冷卻裝置”的兩個影像係表示根據本發明第九實施例之承載器表面中的溫度分佈的影像。藉由使用0.4公升/min的流量的水作為冷卻介質以冷卻承載器。在獲得由四個影像顯示的溫度分佈的所有情況下,設定溫度為75至100℃,並且最大化中心部和外部的溫度設定。
從四個影像可理解,可在由溝槽部所界定出的內部區域和外部區域之間產生確定的溫度差。雖然用“無冷卻裝置”表示的兩個影像中的溫度分佈中之中心部和外部之間的溫差最大為約1.5℃,但是藉由進行冷卻而獲得的兩個影像中之中心部和外部之間之溫度分佈的溫度差增加至約7℃。根據此結果可理解的是,通過冷卻裝置90可有效地抑制通過溝槽16A’正下方部分的熱傳遞。因此,在本發明第九實施例中,可在其熱輻射小且難以產生溫度差之約75至100℃的低溫區域中的區域產生一明確溫度差。
以下將順序地參照第17-22圖以描述根據第九實施例之承載器進行一低溫處理。第17圖係表示相對於僅具有溝槽部且不具有冷卻裝置90的承載器的電漿處理中的溫度變化的示意圖。“加熱器功率”表示加熱器的功率,“加熱器溫度”則表示承載器的溫度。在伴隨電漿的處理中,由於電漿的影響,可容易地增加承載器的溫度。第17圖表示了即使在加熱器關閉一時間t1之後,承載器的溫度由於電漿的影響而繼續增加的狀態。如果以這種方式增加承載器的溫度,則不能執行其在低溫下處理基板的低溫處理。
第18圖係表示本發明第九實施例之相對於承載器之電漿處理中的溫度變化的示意圖。由於承載器15被冷卻裝置90冷卻,所以能夠使加熱器溫度大致保持恆定,同時使某些電流流過加熱器。因此,能夠防止承載器溫度繼續上升,因而能夠進行低溫處理。
第19圖係表示將承載器的目標溫度設定為80℃時的電漿處理中的承載器的溫度變化的示意圖。虛線表示不使用冷卻裝置90時的溫度轉變,實線則表示使用冷卻裝置90時的溫度轉變。可理解的是,提供冷卻裝置90以使目標溫度能夠保持在80℃。
第20圖係表示將承載器的目標溫度設定為30℃時的電漿處理中之承載器的溫度變化的示意圖。虛線表示不使用冷卻裝置90時的溫度轉變,實線則表示使用冷卻裝置90時的溫度轉變。在沒有冷卻裝置90的過程中,承載器的溫度不能保持在30℃。可以理解的是,冷卻裝置90的設置使得目標溫度能夠保持在30℃。第19、20圖的數據是在加熱器關閉的狀態下所獲得的。
因此,可通過使用冷卻裝置90並通過將基板溫度設定為例如約80℃或30℃的低溫度來執行電漿處理。電漿處理中的基板溫度大大地影響薄膜生長速度或蝕刻速度。第21圖係表示藉由改變承載器的溫度以改變生長速度(每個週期的生長速度)的示意圖。第22圖係表示藉由改變承載器的溫度以改變蝕刻速率的示意圖。通過根據本發明第九實施例之承載器15改變基板溫度可實現所需的薄膜生長或蝕刻速度。
在不損失承載器的特徵的範圍內,可對根據本發明第九實施例之承載器進行各種修改。例如,溝槽部16A的數量、溝槽部16A的形狀、冷卻介質通道91、92的形狀,可根據處理要求以適當變更。在第九實施例中,雖然是分別設有第一冷卻介質通道和第二冷卻介質通道的兩個冷卻介質通道,但也可設置三個以上獨立的冷卻介質通道。在這種情況下,冷卻介質從第一冷卻介質通道進入第二冷卻介質通道的位置沿著溝槽部16A大致等間隔地設置是較佳的。
第十實施例
第23圖係表示本發明第十實施例之承載器的剖視圖。在第九實施例中,冷卻裝置90的第二冷卻介質通道91a、92c嵌入於溝槽部16A’正下方的板部件16。在第十實施例中,冷卻裝置的第二冷卻介質通道95c係嵌入在板部件16之位於溝槽16A’正下方的部分的相對側的位置。從垂直冷卻介質通道95a進入第一冷卻介質通道95b的冷卻介質是在板部件分16中穿過第二冷卻介質通道95c繞兩圈。
第24圖係表示本發明的第十實施例之一承載器表面溫度分佈的影像。在第24圖中之箭頭是表示冷卻介質的流動方向。首先,通過垂直冷卻介質通道95a的冷卻介質到達第一冷卻介質通道95b。接著冷卻介質通過第一冷卻介質通道95b,從第一部分16a的中心部到達第二冷卻介質通道95c。第一冷卻介質通道由標有“IN”的虛線箭頭表示。第二冷卻介質通道95c在平面視角上是在溝槽的下方部分繞兩圈。此後,冷卻介質藉由一第三冷卻介質通道而被引導至第一部分的中心 部,到達垂直冷卻介質通道,並被排出到外部。第三冷卻介質通道由標記為“OUT”的虛線箭頭表示。在第一冷卻介質通道和第三冷卻介質通道之兩個垂直冷卻介質通道中,一傳熱抑制部防止冷卻介質和承載器彼此接觸,使得冷卻介質的溫度基本上不會增加。
由於第十實施例的第二冷卻介質通道沿著溝槽形成兩圈,因此與第九實施例的冷卻裝置相比,冷卻介質通道的配置變得複雜。然而,可提高在溝槽16A’正下方的板部件16中抑制熱傳導的效果。
第十一實施例
第25圖係表示第十一實施例之一承載器的剖視圖。用於冷卻板部件16之在槽16A’下方的部分的第二冷卻介質通道96c設置成兩圈,且用於冷卻板部件16之在槽16B’下方的部分的第二冷卻介質通道96c設置成兩圈。
具有介於絕緣膜之間的上表面和下表面的數個薄膜發熱構件100設置在第一部分16a、第二部分16b與第三部分16c上。薄膜發熱構件100藉由在薄的絕緣構件上印刷例如鎢的薄膜發熱構件,並在薄膜發熱構件上設置薄的絕緣構件而製成。薄膜發熱構件100的成形自由度高,且薄膜發熱構件100容易更換。因此,一使用者可靈活地設定承載器的溫度。
第十二實施例
第26圖係表示第十二實施例之一承載器的平面圖。絕熱部190設置在第一部分16a與第二部分16b之間。絕熱部190的熱傳導率是比第一部分16a和第二部分16b低的部分。
第27圖係表示沿線27-27之承載器的剖視圖。絕熱部190的上表面、第一部分16a的上表面與第二部分16b的上表面形成一個平坦表面。由於隔熱部190的存在,第一部分16a和第二部分16b不會彼此抵接。
第28圖係表示絕熱部190的放大圖。絕熱部190的材料,是使用比第一部分16a和第二部分16b之材料的熱傳導率低的材料。如果第一部分16a和第二部分16b的材料是鋁,則絕熱部190的材料則例如是不銹鋼或鈦。如果第一部分16a和第二部分16b的材料是氮化鋁,則絕熱部190的材料例如是石英。氧化鋁可作為絕熱部190的材料。
較佳地,絕熱部190、第一部分16a和第二部分16b的熱膨脹係數的設定盡可能地接近。為了確保板部件16的強度,由具有高硬度的材料形成絕熱部190是較佳的。
絕熱部190從板部件16的上表面延伸到下表面。因此,第一部分16a和第二部分16b在物理上是彼此分離。絕熱部190作為抑制第一部分16a和第二部分16b之間的熱傳導的熱障(thermal barrier)。由於在板部件16中沒有形成溝槽,因此與存在溝槽部的情況相比,其能夠提高板部件16的機械強度。
當在板部件中設置有溝槽部時,基板溫度在溝槽的正上方將是最小化。然而,由於絕熱部190具有在第一部分16a和第二部分16b的溫度之間的溫度,因此可避免在絕熱部190正上方的基板有溫度降低的問題。
第29圖係表示變形例之一承載器的一部分的剖視圖。一絕熱部192、第一部分16a與第二部分16b由相同的材 料形成。在絕熱部192中設置有複數個空隙(void)192a。藉由設置空隙192a,絕熱部192的熱傳導率比第一部分16a和第二部分16b的熱傳導率低。
舉例而言,藉由在高溫下加熱氮化鋁,可將一部分的氮化鋁蒸發並凝結成固體,從而在受控狀態下形成空隙192a。在承載器是由陶瓷形成的情況下,在形成一不同層之前以受控狀態而形成空隙192a。在任一情況下,空隙192a是在絕熱部192中所形成的氣泡。
第30圖係表示另一變形例之一承載器的一部分的剖視圖。絕熱部194、第一部分16a與第二部分16b由相同的材料形成。複數個空隙194a設置在絕熱部194中。空隙194a藉由機械加工而形成。更具體地,溝槽以多種材料形成,且此多種材料堆疊以形成板部件,從而提供空隙194a。前述多種材料藉由燒結或燒結熱處理而接合成一個。可進行和使用於上述實施例之適當組合。
明顯地,根據上述教示,本發明之許多修改和變化是可能的。因此,應當理解的是,在所附請求項的範圍內,本發明可以不同於具體描述的方式實施。
10‧‧‧基板處理裝置
12‧‧‧腔室
14‧‧‧射頻電極
14a‧‧‧狹縫
15‧‧‧承載器
16‧‧‧板部件
16A‧‧‧絕熱部
17‧‧‧處理空間
18‧‧‧滑動軸
20‧‧‧絕緣部
22‧‧‧氣體供應部
30‧‧‧排氣管
30a‧‧‧環形狹縫
30b‧‧‧環形通道
30c‧‧‧排氣口
32、34‧‧‧O形環
40‧‧‧氣體排放部
42‧‧‧門閥
44‧‧‧真空幫浦
50‧‧‧第一加熱器
52‧‧‧第二加熱器

Claims (23)

  1. 一種承載器,包括:一板部件;一第一加熱器,加熱該板部件的一第一部分;一第二加熱器,加熱該板部件的一第二部分;以及一絕熱部,使該第一部分和該第二部分在該板部件的一上表面側上彼此熱絕緣,其中該絕熱部為一溝槽部,且在垂直於該上表面側的方向上,該溝槽部不與該第一加熱器和該第二加熱器重疊。
  2. 如申請專利範圍第1項所述之承載器,其中該溝槽部設置在該板部件內的該上表面側上。
  3. 如申請專利範圍第1項所述之承載器,其中該第二部分於平面上觀之是圍繞該第一部分。
  4. 如申請專利範圍第3項所述之承載器,其中該承載器更包括:一第三部分,形成為該板部件的一部分,該第三部分於平面上觀之是圍繞該第二部分;一第三加熱器,加熱該第三部分;以及一外絕熱部,使該第二部分和該第三部分在該板部件的該上表面側上彼此熱絕緣。
  5. 如申請專利範圍第1項所述之承載器,其中該第一部分與該第二部分分別於平面上觀之是以扇形形式而形成。
  6. 如申請專利範圍第1項所述之承載器,其中該第一部分是包含該板部件的一外邊緣的一部分,且該第二部分是包含 該板部件的一外邊緣的一部分。
  7. 如申請專利範圍第3項所述之承載器,其中該承載器更包括:複數個第三部分,形成該板部件的一部分,且該些第三部分於平面上觀之是作為一整體圍繞該第二部分;複數個第三加熱器,以一對一的關係設置在該些第三部分中;一外絕熱部,使該第二部分和該些第三部分在該板部件的該上表面側上彼此熱絕緣;以及複數個外緣側絕熱部,使該些第三部分在該板部件的該上表面側上彼此熱絕緣。
  8. 如申請專利範圍第2項所述之承載器,其中該承載器更包括:一第一關閉部,設置在該第一部上,以不接觸該第二部分的方式關閉在該溝槽部的一部分溝槽;以及一第二關閉部,設置在該第二部上,以不接觸該第一部分與該第一關閉部的方式關閉在該溝槽部的一部分溝槽。
  9. 如申請專利範圍第1項所述之承載器,其中該承載器更包括一附著於該板部件上的冷卻構件。
  10. 如申請專利範圍第2項所述之承載器,其中該承載器更包括一附著於該板部件上的冷卻構件,其中該冷卻構件附著於該溝槽部正下方的該板部件。
  11. 如申請專利範圍第1項所述之承載器,其中該承載器更包括一靜電吸盤。
  12. 如申請專利範圍第1項所述之承載器,更包括一冷卻裝置冷卻在該溝槽部之一溝槽正下方的該板部件的一部分。
  13. 如申請專利範圍第12項所述之承載器,其中該冷卻裝置嵌入於在該溝槽正下方的該板部件中。
  14. 如申請專利範圍第12項所述之承載器,其中該冷卻裝置嵌入於該板部件中,且位在該溝槽正下方的該板部件之一部分的相反側。
  15. 如申請專利範圍第12項所述之承載器,其中該冷卻裝置具有一冷卻介質流過的一冷卻介質通道;該冷卻介質通道包含通過該第一部分之一第一冷卻介質通道,以及連接該第一冷卻介質通道並通過在該溝槽正下方的該板部件的該部分之一第二冷卻介質通道;在該第一冷卻介質通道設置有用於阻礙該冷卻介質與該板部件之間的熱傳遞之一傳熱抑制部;以及該冷卻介質與該板部件在第二冷卻介質通道中彼此接觸。
  16. 如申請專利範圍第15項所述之承載器,其中該溝槽部於平面上觀之是形成環狀;設置有至少兩組之該第一冷卻介質通道和該第二冷卻介質通道;以及該冷卻介質從該第一冷卻介質通道進入該第二冷卻介質通道的複數個位置是沿著該溝槽部大致等間隔地設置。
  17. 一種承載器,包括:一板部件;一第一加熱器,加熱該板部件的一第一部分; 一第二加熱器,加熱該板部件的一第二部分;以及一絕熱部,使該第一部分和該第二部分在該板部件的一上表面側上彼此熱絕緣,其中該絕熱部設在該第一部分和該第二部分之間,且該絕熱部的熱傳導率低於該第一部分和該第二部分的熱傳導率;該絕熱部的該上表面、該第一部分的該上表面與該二部分的該上表面形成一個平坦表面;以及藉由設置該隔熱部,該第一部分和該第二部分彼此不抵接。
  18. 如申請專利範圍第17項所述之承載器,其中該絕熱部的材料是由比該第一部分和該第二部分之材料低的熱傳導率之材料形成。
  19. 如申請專利範圍第17項所述之承載器,其中該絕熱部、該第一部分與該第二部分由相同的材料形成,且在該絕熱部中設置有複數個空隙。
  20. 一種基板處理裝置,包括:一承載器,具有一板部件、用於加熱該板部件的一第一部分的一第一加熱器、用於加熱該板部件的一第二部分的一第二加熱器以及用於使在該板部件的一上表面側之該第一部分和該第二部分彼此熱絕緣的一絕熱部;一腔室,收容該承載器;以及一氣體排放部,附著在該腔室的一側表面;其中該第一部分是包含該板部件之一外邊緣的一部分;該第二部分是包含該板部件之一外邊緣的一部分;以及該氣體排放部與該第一部分於平面上觀之是彼此相對。
  21. 一種基板處理裝置,包括:一承載器,具有一板部件、用於加熱該板部件的一第一部分的一第一加熱器、用於加熱該板部件的一第二部分的一第二加熱器以及用於使在該板部件的一上表面側之該第一部分和該第二部分彼此熱絕緣的一絕熱部;一腔室,收容該承載器;以及一閘閥,附著在該腔室的一側表面;其中該第一部分是包含該板部件之一外邊緣的一部分;該第二部分是包含該板部件之一外邊緣的一部分;以及該閘閥與該第二部分於平面上觀之是彼此相對。
  22. 一種承載器,包括:一板部件;一第一加熱器,加熱該板部件的一第一部分;一第二加熱器,加熱該板部件的一第二部分;以及一絕熱部,使該第一部分和該第二部分在該板部件的一上表面側上彼此熱絕緣,其中該絕熱部為一溝槽部,設置在該板部件中並位於該上表面側;其中該第一部分與該第二部分為分離的部分;一凸出部,設置在該第一部分的一側表面上,且該第二部分放置在該凸出部上;以及該溝槽部由該第一部分之一側表面、該凸出部之一上表面與該第二部分之一側表面形成。
  23. 一種承載器,包括:一板部件; 一第一加熱器,加熱該板部件的一第一部分;一第二加熱器,加熱該板部件的一第二部分;以及一絕熱部,使該第一部分和該第二部分在該板部件的一上表面側上彼此熱絕緣,其中該絕熱部為設置於該板部件中的一冷卻裝置,且該冷卻裝置由一加熱器控制器所控制。
TW105123385A 2015-08-17 2016-07-25 承載器及基板處理裝置 TWI725979B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/828,304 2015-08-17
US14/828,304 US20170051402A1 (en) 2015-08-17 2015-08-17 Susceptor and substrate processing apparatus
US15/203,433 2016-07-06
US15/203,433 US20170051406A1 (en) 2015-08-17 2016-07-06 Susceptor and substrate processing apparatus

Publications (2)

Publication Number Publication Date
TW201718928A TW201718928A (zh) 2017-06-01
TWI725979B true TWI725979B (zh) 2021-05-01

Family

ID=58157803

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105123385A TWI725979B (zh) 2015-08-17 2016-07-25 承載器及基板處理裝置

Country Status (5)

Country Link
US (3) US20170051402A1 (zh)
JP (1) JP6758112B2 (zh)
KR (1) KR102617065B1 (zh)
CN (2) CN113555270A (zh)
TW (1) TWI725979B (zh)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10266414B2 (en) * 2015-06-16 2019-04-23 Hemlock Semiconductor Operations Llc Susceptor arrangement for a reactor and method of heating a process gas for a reactor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
TWI754041B (zh) * 2017-04-18 2022-02-01 日商東京威力科創股份有限公司 被處理體之處理方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102406644B1 (ko) * 2017-05-10 2022-06-08 세메스 주식회사 통합 플레이트 및 이를 포함하는 기판 처리 장치
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) * 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10415899B2 (en) 2017-12-28 2019-09-17 Asm Ip Holding B.V. Cooling system, substrate processing system and flow rate adjusting method for cooling medium
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7088732B2 (ja) * 2018-04-27 2022-06-21 株式会社堀場エステック 基板処理装置及び基板処理装置用プログラム
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108777252B (zh) * 2018-05-29 2024-06-21 上海科发电子产品有限公司 一种用于混合集成电路外壳的烧结模具
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20200008246A (ko) * 2018-07-16 2020-01-28 삼성전자주식회사 기판 본딩용 진공척, 이를 포함하는 기판 본딩 장치 및 이를 이용한 기판 본딩 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020146047A1 (en) * 2019-01-08 2020-07-16 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111627806A (zh) 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7285692B2 (ja) * 2019-05-17 2023-06-02 東京エレクトロン株式会社 乾燥装置、基板処理システム、および乾燥方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20200395199A1 (en) * 2019-06-14 2020-12-17 Asm Ip Holding B.V. Substrate treatment apparatus and method of cleaning inside of chamber
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7330078B2 (ja) * 2019-11-25 2023-08-21 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
JP2021125517A (ja) * 2020-02-04 2021-08-30 日本碍子株式会社 セラミックヒータ
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230024400A (ko) * 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022047847A (ja) * 2020-09-14 2022-03-25 株式会社Kelk ウェーハの温度調節装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220415694A1 (en) * 2021-06-29 2022-12-29 Asm Ip Holding B.V. Electrostatic chuck, assembly including the electrostatic chuck, and method of controlling temperature of the electrostatic chuck
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7496343B2 (ja) 2021-11-08 2024-06-06 日本碍子株式会社 ウエハ載置台
JP2024051933A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ
JP2024051768A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200711029A (en) * 2005-08-05 2007-03-16 Tokyo Electron Ltd Substrate processing apparatus and substrate stage used therein

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2565156Y2 (ja) * 1991-12-28 1998-03-11 国際電気株式会社 半導体製造装置及びそのウェーハ置台
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JP3446772B2 (ja) * 1993-06-29 2003-09-16 東京エレクトロン株式会社 載置台および減圧処理装置
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP2002158178A (ja) * 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6656838B2 (en) * 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
KR100885467B1 (ko) * 2008-11-04 2009-02-24 주식회사 아토 반도체 소자의 배선 형성 방법
US8507352B2 (en) * 2008-12-10 2013-08-13 Denso Corporation Method of manufacturing semiconductor device including insulated gate bipolar transistor and diode
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
JP5465449B2 (ja) * 2009-03-19 2014-04-09 大日本スクリーン製造株式会社 熱処理用サセプタおよび熱処理装置
KR20120001661U (ko) * 2010-08-30 2012-03-08 주식회사 케이씨텍 서셉터 및 그를 구비한 원자층 증착 장치
JP5859792B2 (ja) * 2011-09-27 2016-02-16 東京エレクトロン株式会社 プラズマエッチング方法
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
KR101455789B1 (ko) * 2013-08-06 2014-11-03 주식회사 알지비하이텍 기판처리장치의 서셉터 및 그를 가지는 기판처리장치
JP6239894B2 (ja) * 2013-08-07 2017-11-29 日本特殊陶業株式会社 静電チャック
KR20150046966A (ko) * 2013-10-23 2015-05-04 삼성디스플레이 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20160106583A (ko) 2014-01-15 2016-09-12 도쿄엘렉트론가부시키가이샤 성막 방법 및 열처리 장치
US10079165B2 (en) * 2014-05-20 2018-09-18 Applied Materials, Inc. Electrostatic chuck with independent zone cooling and reduced crosstalk
US9691645B2 (en) * 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200711029A (en) * 2005-08-05 2007-03-16 Tokyo Electron Ltd Substrate processing apparatus and substrate stage used therein

Also Published As

Publication number Publication date
US20210087680A1 (en) 2021-03-25
CN113555270A (zh) 2021-10-26
CN106469666A (zh) 2017-03-01
JP6758112B2 (ja) 2020-09-23
US12002661B2 (en) 2024-06-04
US20170051402A1 (en) 2017-02-23
US20170051406A1 (en) 2017-02-23
TW201718928A (zh) 2017-06-01
KR20170021211A (ko) 2017-02-27
KR102617065B1 (ko) 2023-12-26
JP2017041628A (ja) 2017-02-23
CN106469666B (zh) 2021-08-13

Similar Documents

Publication Publication Date Title
TWI725979B (zh) 承載器及基板處理裝置
JP5546552B2 (ja) 液体を制御された複数領域基板支持体による改良基板温度制御
KR101135242B1 (ko) 정전기 척 조립체
JP6076246B2 (ja) ゾーン依存熱効率性を備えた温度制御されたプラズマ処理チャンバ部品
US20120074126A1 (en) Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
JP2022020732A (ja) 極めて均一性が高い加熱基板支持アセンブリ
US6492621B2 (en) Hot wall rapid thermal processor
TWI674646B (zh) 用於電漿處理的雙區式加熱器
KR20080106041A (ko) 비금속 서셉터를 갖는 플라즈마 cvd 장치
US6403479B1 (en) Process for producing semiconductor and apparatus for production
US6656838B2 (en) Process for producing semiconductor and apparatus for production
KR20180028400A (ko) 웨이퍼 프로세싱 시스템들을 위한 열 관리 시스템들 및 방법들
US11021794B2 (en) Graphite susceptor
TWM454624U (zh) 以不同材料形成分區的靜電吸盤
US20230079804A1 (en) Wafer chuck with thermal tuning cavity features
WO2014116434A1 (en) Substrate processing chamber components incorporating anisotropic materials
TWI797678B (zh) 用於面板溫度控制的系統和方法
US20220002866A1 (en) Pedestal including vapor chamber for substrate processing systems
JP2010244864A (ja) 基板加熱構造体
CN116420218A (zh) 最小化热损失并增加均匀性的加热的基板支撑件
CN115992348A (zh) 用于防止半导体处理***中温度相互作用的方法和设备
KR20210019899A (ko) 기판 지지대 및 그를 포함하는 기판처리장치