TWI723097B - Photoresist stripper composition - Google Patents

Photoresist stripper composition Download PDF

Info

Publication number
TWI723097B
TWI723097B TW105140609A TW105140609A TWI723097B TW I723097 B TWI723097 B TW I723097B TW 105140609 A TW105140609 A TW 105140609A TW 105140609 A TW105140609 A TW 105140609A TW I723097 B TWI723097 B TW I723097B
Authority
TW
Taiwan
Prior art keywords
weight
photoresist
relative
polar solvent
stripper composition
Prior art date
Application number
TW105140609A
Other languages
Chinese (zh)
Other versions
TW201732461A (en
Inventor
金俸均
朴弘植
鄭在祐
金炳郁
趙泰杓
許舜範
張斗瑛
金東寬
Original Assignee
南韓商三星顯示器有限公司
南韓商東進世美肯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星顯示器有限公司, 南韓商東進世美肯股份有限公司 filed Critical 南韓商三星顯示器有限公司
Publication of TW201732461A publication Critical patent/TW201732461A/en
Application granted granted Critical
Publication of TWI723097B publication Critical patent/TWI723097B/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)

Abstract

本發明公開一種光阻剝離劑組合物。所述光阻剝離劑組合物可在避免對金屬圖案的腐蝕和/或對有機/無機膜的損傷的前提下除去光阻。所述光阻剝離劑組合物組成為相對於所述剝離劑組合物的總重量而包含:15重量%至80重量%的非質子性極性溶劑,包含有N,N-二甲基丙醯胺;25重量%至80重量%的質子性極性溶劑;1重量%至15重量%的胺系化合物。 The invention discloses a photoresist stripper composition. The photoresist stripper composition can remove the photoresist under the premise of avoiding the corrosion of the metal pattern and/or the damage to the organic/inorganic film. The composition of the photoresist stripper composition is relative to the total weight of the stripper composition and contains: 15% to 80% by weight of an aprotic polar solvent, including N,N-dimethylacrylamide ; 25% to 80% by weight of protic polar solvents; 1% to 15% by weight of amine compounds.

Description

光阻剝離劑組合物 Photoresist stripper composition

本發明涉及一種光阻剝離劑組合物及利用該組合物的薄膜電晶體陣列的製造方法,尤其涉及一種光阻圖案去除用剝離劑組合物及利用該組合物的薄膜電晶體陣列的製造方法。 The invention relates to a photoresist stripper composition and a method for manufacturing a thin film transistor array using the composition, in particular to a stripper composition for photoresist pattern removal and a method for manufacturing a thin film transistor array using the composition.

光刻(photolithography)工藝是在基板上形成金屬圖案的一系列曝光工藝。光刻工藝利用於製造積體電路和包括積體電路等的半導體以及包括半導體的液晶顯示裝置等。 The photolithography process is a series of exposure processes for forming metal patterns on a substrate. The photolithography process is used to manufacture integrated circuits, semiconductors including integrated circuits, and liquid crystal display devices including semiconductors.

在光刻工藝中,將作為感光性物質之光阻劑(photoresist)塗覆在形成有薄膜(例如,金屬薄膜)的基板上,並在塗覆有所述光阻劑的基板上佈置設計有圖案的遮罩(mask),並照射光而進行曝光(exposure),然後對所述光阻劑進行顯影(develop)而形成光阻圖案。然後,將所述光阻圖案利用為抗蝕膜而對所述薄膜進行蝕刻,然後利用光阻圖案去除用剝離劑組合物(stripper)而除去殘留在基板上的光阻圖案。據此,可在基板上形成金屬圖案。 In the photolithography process, a photoresist (photoresist) as a photosensitive material is coated on a substrate formed with a thin film (for example, a metal thin film), and the substrate coated with the photoresist is arranged and designed with A mask of the pattern is irradiated with light for exposure, and then the photoresist is developed to form a photoresist pattern. Then, the thin film is etched using the photoresist pattern as a resist film, and then the photoresist pattern remaining on the substrate is removed using a stripper composition for photoresist pattern removal. According to this, a metal pattern can be formed on the substrate.

所述光阻圖案的去除工序通常在高溫下進行,然而在高溫環境中所述剝離劑組合物不僅損壞所述光阻圖案而且還損壞所述金屬薄膜,從而引起金屬圖案的斷路性不良,或者使構成金屬圖案的金屬性物質腐蝕。 The removal process of the photoresist pattern is usually carried out at a high temperature. However, in a high temperature environment, the release agent composition not only damages the photoresist pattern but also damages the metal film, thereby causing poor disconnection of the metal pattern, or Corrosion of the metallic substance constituting the metal pattern.

不僅如此,現有的剝離劑組合物所含有的一部分物質屬於有害化學物質,且在光阻圖案去除工序之後所使用的剝離劑的後處理中消耗大量的成本。因此,需要開發一種可代替所述有害化學物質的新的剝離劑組合物。 Moreover, some of the substances contained in the existing stripper composition are hazardous chemical substances, and a large amount of cost is consumed in the post-processing of the stripper used after the photoresist pattern removal process. Therefore, it is necessary to develop a new release agent composition that can replace the harmful chemical substances.

鑒於此,本發明所要解決的技術問題為提供一種在不損壞薄膜圖案的情況下能夠只將光阻圖案有效地去除/剝離的剝離劑組合物。 In view of this, the technical problem to be solved by the present invention is to provide a release agent composition capable of effectively removing/peeling only the photoresist pattern without damaging the film pattern.

並且,本發明所要解決的技術問題在於提供一種對光阻圖案的反應性優良的同時不包含對環境有害的毒性物質的剝離劑組合物。 In addition, the technical problem to be solved by the present invention is to provide a release agent composition that has excellent reactivity to the photoresist pattern and does not contain toxic substances harmful to the environment.

本發明所要解決的另一技術問題在於提供一種利用新的光阻圖案去除用剝離劑組合物的薄膜電晶體陣列的製造方法。 Another technical problem to be solved by the present invention is to provide a method for manufacturing a thin film transistor array using a new stripper composition for photoresist pattern removal.

本發明的技術問題不限於如上所述的技術問題,本領域技術人員可通過如下的記載明確瞭解未提及的其他技術問題。 The technical problems of the present invention are not limited to the above technical problems, and those skilled in the art can clearly understand other technical problems that are not mentioned through the following description.

為了解決如上所述的技術問題,根據本發明的一個實施例的一種光阻剝離劑組合物,相對於所述剝離劑組合物的總重量而包含:15重量%至80重量%的非質子性極性溶劑,包含有N,N-二甲基丙醯胺;25重量%至80重量%的質子性極性溶劑;1重量%至15重量%的胺系化合物。 In order to solve the above technical problems, a photoresist stripper composition according to an embodiment of the present invention, relative to the total weight of the stripper composition, contains: 15% to 80% by weight of aprotic The polar solvent includes N,N-dimethyl propanamide; 25% to 80% by weight of a protic polar solvent; 1% to 15% by weight of an amine compound.

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,所述非質子性極性溶劑還可以包含從如下的物質中選擇的一種或一種以上的物質:二甲亞碸、N-甲基甲醯胺、N-甲基-2-吡咯烷酮、N,N-二甲 基乙醯胺、N,N-二甲基甲醯胺、N,N-二乙基甲醯胺、N,N-二甲基咪唑、γ-丁內酯、環丁碸、四氫糠醇。 In the photoresist stripper composition according to an embodiment of the present invention for solving the technical problem, the aprotic polar solvent may further include one or more substances selected from the following substances: two Formaldehyde, N-methylformamide, N-methyl-2-pyrrolidone, N,N-dimethylacetamide, N,N-dimethylformamide, N,N-diethyl Formamide, N,N-dimethylimidazole, γ -butyrolactone, cyclobutane, tetrahydrofurfuryl alcohol.

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,所述質子性極性溶劑可以是從如下的物質中選擇的一種或一種以上的物質:乙二醇、二乙二醇、三乙二醇、四乙二醇、乙二醇甲醚、乙二醇***、乙二醇丁醚、二乙二醇甲醚、二乙二醇***、二乙二醇丁醚、三乙二醇甲醚、三乙二醇***、三乙二醇丁醚、二丙二醇甲醚、二乙二醇二甲醚、二丙二醇甲醚以及二丙二醇***。 In the photoresist stripper composition according to an embodiment of the present invention for solving the technical problem, the protic polar solvent may be one or more substances selected from the following substances: ethylene glycol , Diethylene glycol, triethylene glycol, tetraethylene glycol, ethylene glycol methyl ether, ethylene glycol ethyl ether, ethylene glycol butyl ether, diethylene glycol methyl ether, diethylene glycol ethyl ether, diethylene glycol Butyl ether, triethylene glycol methyl ether, triethylene glycol ethyl ether, triethylene glycol butyl ether, dipropylene glycol methyl ether, diethylene glycol dimethyl ether, dipropylene glycol methyl ether, and dipropylene glycol ethyl ether.

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,所述胺系化合物可以是從如下的物質中選擇的一種或一種以上的物質:單乙醇胺、二乙醇胺、三乙醇胺、甘醇胺、二甘醇胺、單異丙醇胺、2-(2-胺基乙氧基)乙醇、胺乙基乙醇、1-(2-羥乙基)哌嗪、1-(2-胺乙基)哌嗪、1-(2-羥乙基)甲基哌嗪、1-甲基哌嗪、2-甲基哌嗪以及1-胺基-4-甲基哌嗪。 In the photoresist stripper composition according to one embodiment of the present invention for solving the technical problem, the amine compound may be one or more substances selected from the following substances: monoethanolamine, diethanolamine Ethanolamine, triethanolamine, glycolamine, diglycolamine, monoisopropanolamine, 2-(2-aminoethoxy)ethanol, aminoethylethanol, 1-(2-hydroxyethyl)piperazine, 1-(2-Aminoethyl)piperazine, 1-(2-hydroxyethyl)methylpiperazine, 1-methylpiperazine, 2-methylpiperazine, and 1-amino-4-methylpiperazine Azine.

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,相對於所述剝離劑組合物的總重量,可進一步包含:0.0001重量%至2重量%的防腐劑。 In the photoresist stripper composition according to one embodiment of the present invention for solving the technical problem, relative to the total weight of the stripper composition, it may further include: 0.0001% to 2% by weight of anticorrosion Agent.

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,所述防腐劑可以是從如下的物質中選擇的一種或一種以上的物質:山梨醇、木糖醇、鄰苯二酚、鄰苯三酚、沒食子酸、2-丁炔-1,4-二醇、鄰苯二甲酸、鄰苯二甲酸酐、水楊酸、抗壞血酸以及***系化合物。 In the photoresist stripper composition according to one embodiment of the present invention for solving the technical problem, the preservative may be one or more substances selected from the following substances: sorbitol, xylose Alcohol, catechol, pyrogallol, gallic acid, 2-butyne-1,4-diol, phthalic acid, phthalic anhydride, salicylic acid, ascorbic acid and triazole compounds .

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,相對於所述剝離劑組合物的總重量,包含有所述N,N-二甲基丙醯胺的非質子性極性溶劑可以是15重量%至31重量%;相對於所述剝離劑組合物的總重量,所述質子性極性溶劑可以是65重量%至80重量%;相對於所述剝離劑組合物的總重量,所述胺系化合物可以是1重量%至10重量%。 In the photoresist stripper composition according to an embodiment of the present invention for solving the technical problem, the N,N-dimethylpropane is contained relative to the total weight of the stripper composition. The aprotic polar solvent of the amine may be 15% to 31% by weight; relative to the total weight of the release agent composition, the protic polar solvent may be 65% to 80% by weight; The total weight of the agent composition, the amine compound may be 1% to 10% by weight.

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,相對於所述剝離劑組合物的總重量,包含有所述N,N-二甲基丙醯胺的非質子性極性溶劑可以是15重量%至21重量%;相對於所述剝離劑組合物的總重量,所述質子性極性溶劑可以是75重量%至80重量%;相對於所述剝離劑組合物的總重量,所述胺系化合物可以是2重量%至5重量%。 In the photoresist stripper composition according to an embodiment of the present invention for solving the technical problem, the N,N-dimethylpropane is contained relative to the total weight of the stripper composition. The aprotic polar solvent of the amine may be 15% to 21% by weight; relative to the total weight of the release agent composition, the protic polar solvent may be 75% to 80% by weight; The total weight of the agent composition, the amine compound may be 2% to 5% by weight.

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,相對於所述剝離劑組合物的總重量,包含有所述N,N-二甲基丙醯胺的非質子性極性溶劑可以是29重量%至31重量%;相對於所述剝離劑組合物的總重量,所述質子性極性溶劑可以是65重量%至69重量%;相對於所述剝離劑組合物的總重量,所述胺系化合物可以是1重量%至6重量%。 In the photoresist stripper composition according to an embodiment of the present invention for solving the technical problem, the N,N-dimethylpropane is contained relative to the total weight of the stripper composition. The aprotic polar solvent of the amine may be 29% to 31% by weight; relative to the total weight of the release agent composition, the protic polar solvent may be 65% to 69% by weight; The total weight of the agent composition, the amine compound may be 1% to 6% by weight.

在用於解決所述技術問題的根據本發明的一個實施例的光阻剝離劑組合物中,相對於所述剝離劑組合物的總重量,包含有所述N,N-二甲基丙醯胺的非質子性極性溶劑可超過21重量%且不足29重量%;相對於所述剝離劑組合物的總重量,所述質子性極性溶劑可以是67重量%至77重量%;相對於所述剝離劑組合物的總重量,所述胺系化合物可以是1重量%至6重量%。 In the photoresist stripper composition according to an embodiment of the present invention for solving the technical problem, the N,N-dimethylpropane is contained relative to the total weight of the stripper composition. The aprotic polar solvent of the amine may exceed 21% by weight and less than 29% by weight; relative to the total weight of the release agent composition, the protic polar solvent may be 67% to 77% by weight; relative to the The total weight of the release agent composition, the amine compound may be 1% to 6% by weight.

為了解決如上所述的技術問題,根據本發明的另一實施例的一種薄膜電晶體陣列的製造方法,包括如下步驟:在第一金屬層上形成第一光阻圖 案;去除所述第一光阻圖案;在所述第一金屬層上形成第二金屬層;在所述第二金屬層上形成第二光阻圖案;去除所述第二光阻圖案,其中,去除所述第一光阻圖案的步驟或去除所述第二光阻圖案的步驟中的至少一個步驟為如下的步驟:利用光阻剝離劑組合物而除去所述第一光阻圖案或所述第二光阻圖案,所述光阻剝離劑組合物相對於所述剝離劑組合物的總重量而包含:15重量%至80重量%的非質子性極性溶劑,包含有N,N-二甲基丙醯胺;25重量%至80重量%的質子性極性溶劑;1重量%至15重量%的胺系化合物。 In order to solve the above technical problems, a method for manufacturing a thin film transistor array according to another embodiment of the present invention includes the following steps: forming a first photoresist pattern on the first metal layer Case; removing the first photoresist pattern; forming a second metal layer on the first metal layer; forming a second photoresist pattern on the second metal layer; removing the second photoresist pattern, wherein , At least one of the step of removing the first photoresist pattern or the step of removing the second photoresist pattern is the following step: using a photoresist stripper composition to remove the first photoresist pattern or the In the second photoresist pattern, the photoresist stripper composition comprises: 15% to 80% by weight of an aprotic polar solvent with respect to the total weight of the stripper composition, including N, N-dioxide Methyl propanamide; 25% to 80% by weight of a protic polar solvent; 1% to 15% by weight of an amine compound.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,所述第一金屬層可包含從如下的物質中選擇的一種或一種以上的物質而構成:包括鉭、鎢、鈦、鉬、鋁、銅、銀、鉻或釹的金屬物質;以及所述金屬物質中的任意一種金屬的合金。 In the method for manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the first metal layer may include one or more substances selected from the following substances: Metal substances including tantalum, tungsten, titanium, molybdenum, aluminum, copper, silver, chromium, or neodymium; and alloys of any one of the metal substances.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,所述第二金屬層可包含從如下的物質中選擇的一種或一種以上的物質而構成:包括銀、金、銅、鎳、鉑、鈀、銥、銠、鎢、鋁、鉭、鉬、鎘、鋅、鐵、鈦、矽、鍺、鋯或鋇的金屬物質;所述金屬物質中的任意一種金屬的合金;所述金屬物質中的任意一種金屬物質的氮化物。 In the method for manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the second metal layer may include one or more substances selected from the following substances: Metal substances including silver, gold, copper, nickel, platinum, palladium, iridium, rhodium, tungsten, aluminum, tantalum, molybdenum, cadmium, zinc, iron, titanium, silicon, germanium, zirconium or barium; among the metal substances An alloy of any metal; a nitride of any one of the metal substances.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,在所述第一金屬層上形成第二金屬層的步驟可包括如下步驟:在所述第一金屬層上形成半導體物質層;在所述半導體物質層上形成第二金屬層。 In the method of manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the step of forming a second metal layer on the first metal layer may include the following steps: A semiconductor material layer is formed on a metal layer; a second metal layer is formed on the semiconductor material layer.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,在所述第一金屬層上形成半導體物質層的步驟與在所述 半導體物質層上形成第二金屬層的步驟之間還可以包括如下步驟:在所述半導體物質層上形成第三光阻圖案;去除所述第三光阻圖案。其中,去除所述第一光阻圖案的步驟、去除所述第二光阻圖案的步驟、或者去除所述第三光阻圖案的步驟中的至少一個步驟可以是利用所述光阻剝離劑組合物而去除光阻圖案的步驟。 In the method of manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the step of forming a semiconductor material layer on the first metal layer is the same as the step of forming a semiconductor material layer on the first metal layer The steps of forming a second metal layer on the semiconductor material layer may further include the following steps: forming a third photoresist pattern on the semiconductor material layer; and removing the third photoresist pattern. Wherein, at least one of the step of removing the first photoresist pattern, the step of removing the second photoresist pattern, or the step of removing the third photoresist pattern may be the use of the photoresist stripper combination The step of removing the photoresist pattern.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,所述非質子性極性溶劑可以是從如下的物質中選擇的一種或一種以上的物質:二甲亞碸、N-甲基甲醯胺、N-甲基-2-吡咯烷酮、N,N-二甲基乙醯胺、N,N-二甲基甲醯胺、N,N-二乙基甲醯胺、N,N-二甲基咪唑、γ-丁內酯、環丁碸、四氫糠醇以及N,N-二甲基丙醯胺。 In the method for manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the aprotic polar solvent may be one or more substances selected from the following substances: 2. Formaldehyde, N-methylformamide, N-methyl-2-pyrrolidone, N,N-dimethylacetamide, N,N-dimethylformamide, N,N-diethyl Formamide, N,N-dimethylimidazole, γ -butyrolactone, cyclobutane, tetrahydrofurfuryl alcohol and N,N-dimethylpropanamide.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,所述質子性極性溶劑可以是從如下的物質中選擇的一種或一種以上的物質:乙二醇、二乙二醇、三乙二醇、四乙二醇、乙二醇甲醚、乙二醇***、乙二醇丁醚、二乙二醇甲醚、二乙二醇***、二乙二醇丁醚、三乙二醇甲醚、三乙二醇***、三乙二醇丁醚、二丙二醇甲醚、二乙二醇二甲醚、二丙二醇甲醚以及二丙二醇***。 In the method for manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the protic polar solvent may be one or more substances selected from the following substances: Alcohol, diethylene glycol, triethylene glycol, tetraethylene glycol, ethylene glycol methyl ether, ethylene glycol ethyl ether, ethylene glycol butyl ether, diethylene glycol methyl ether, diethylene glycol ethyl ether, diethylene two Alcohol butyl ether, triethylene glycol methyl ether, triethylene glycol ethyl ether, triethylene glycol butyl ether, dipropylene glycol methyl ether, diethylene glycol dimethyl ether, dipropylene glycol methyl ether, and dipropylene glycol ethyl ether.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,所述胺系化合物可以是從如下的物質中選擇的一種或一種以上的物質:單乙醇胺、二乙醇胺、三乙醇胺、甘醇胺、二甘醇胺、單異丙醇胺、2-(2-胺基乙氧基)乙醇、胺乙基乙醇、1-(2-羥乙基)哌嗪、1-(2-胺乙基)哌嗪、1-(2-羥乙基)甲基哌嗪、1-甲基哌嗪、2-甲基哌嗪以及1-胺基-4-甲基哌嗪。 In the method for manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the amine compound may be one or more substances selected from the following substances: monoethanolamine, Diethanolamine, triethanolamine, glycolamine, diglycolamine, monoisopropanolamine, 2-(2-aminoethoxy)ethanol, aminoethylethanol, 1-(2-hydroxyethyl)piperazine , 1-(2-aminoethyl)piperazine, 1-(2-hydroxyethyl)methylpiperazine, 1-methylpiperazine, 2-methylpiperazine, and 1-amino-4-methyl Piperazine.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,所述剝離劑組合物還可以相對於所述剝離劑組合物的總重量而包含:0.0001重量%至2重量%的防腐劑。 In the method of manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the release agent composition may further include: 0.0001 weight relative to the total weight of the release agent composition % To 2% by weight preservative.

在用於解決所述技術問題的根據本發明的此實施例的薄膜電晶體陣列的製造方法中,所述防腐劑可以是從如下的物質中選擇的一種或一種以上的物質:山梨醇、木糖醇、鄰苯二酚、鄰苯三酚、沒食子酸、2-丁炔-1,4-二醇、鄰苯二甲酸、鄰苯二甲酸酐、水楊酸、抗壞血酸以及***系化合物。 In the method for manufacturing the thin film transistor array according to this embodiment of the present invention to solve the technical problem, the preservative may be one or more substances selected from the following substances: sorbitol, wood Sugar alcohol, catechol, pyrogallol, gallic acid, 2-butyne-1,4-diol, phthalic acid, phthalic anhydride, salicylic acid, ascorbic acid and triazole series Compound.

其他實施例的具體事項包含於詳細的說明及附圖中。 The specific matters of other embodiments are included in the detailed description and drawings.

根據本發明的一個實施例所述的光阻圖案去除用剝離劑組合物,朝向光阻的滲透性顯著,因此圖案去除效果優良,據此可以減少使用於光阻圖案去除的剝離液的量,並可縮短為去除光阻圖案而耗用的時間。 According to the stripper composition for photoresist pattern removal according to an embodiment of the present invention, the permeability toward the photoresist is significant, so the pattern removal effect is excellent, and accordingly, the amount of stripper used for photoresist pattern removal can be reduced, And it can shorten the time consumed to remove the photoresist pattern.

而且,可在避免對腐蝕金屬圖案的腐蝕和/或對有機/無機膜的損傷的情況下只去除光阻,據此,可在避免不良的前提下形成金屬圖案。 Moreover, only the photoresist can be removed while avoiding corrosion to the corroded metal pattern and/or damage to the organic/inorganic film. According to this, the metal pattern can be formed on the premise of avoiding defects.

本發明的實施例的效果不限於如上所述的示例性內容,更加多樣的技術效果包含在說明書的後述內容中。 The effects of the embodiments of the present invention are not limited to the exemplary content described above, and more diverse technical effects are included in the later content of the specification.

1:裝置 1: device

100,200,300:基板 100, 200, 300: substrate

1,2,3,4:遮罩 1,2,3,4: Mask

100:襯底基板 100: Substrate

200:第一金屬層 200: the first metal layer

201:柵極圖案 201: grid pattern

300:第一光阻層 300: The first photoresist layer

301:第一光阻圖案 301: The first photoresist pattern

302:第一光阻殘膜 302: The first photoresist film

400:絕緣膜 400: insulating film

500:半導體物質層 500: semiconductor material layer

501,503:半導體圖案 501,503: Semiconductor pattern

600:第二光阻層 600: second photoresist layer

601:第二光阻圖案 601: second photoresist pattern

602:第二光阻殘膜 602: second photoresist film

700:第二金屬層 700: second metal layer

703:源極/汲極圖案 703: source/drain pattern

800:第三光阻層 800: third photoresist layer

801:第三光阻圖案 801: third photoresist pattern

802:第三光阻殘膜 802: third photoresist film

900:第四光阻層 900: Fourth photoresist layer

903:第四光阻圖案 903: Fourth photoresist pattern

904:第四光阻殘膜 904: The fourth photoresist film

R1a,R2a,R3a,R4a:透光區域 R1a, R2a, R3a, R4a: light transmission area

R1b,R2b,R3b,R4b:遮光區域 R1b, R2b, R3b, R4b: shading area

R4c:半透光區域 R4c: Translucent area

第1a圖至第1d圖為按步驟示出根據本發明的一實施例的薄膜電晶體陣列的柵極圖案製造方法的剖面圖。 FIGS. 1a to 1d are cross-sectional views showing, step by step, a method for manufacturing a gate pattern of a thin film transistor array according to an embodiment of the present invention.

第2a圖至第2h圖為按步驟示出根據本發明的一實施例的薄膜電晶體陣列的半導體圖案及源極/汲極圖案製造方法的剖面圖。 2a to 2h are cross-sectional views showing, step by step, a method for manufacturing a semiconductor pattern and a source/drain pattern of a thin film transistor array according to an embodiment of the present invention.

第3a圖至第3d圖為按步驟示出根據本發明的另一實施例的薄膜電晶體陣列的半導體圖案及源極/汲極圖案製造方法的剖面圖。 3a to 3d are cross-sectional views showing, step by step, a method for manufacturing a semiconductor pattern and a source/drain pattern of a thin film transistor array according to another embodiment of the present invention.

參考與附圖相結合的後述實施例將會明白本發明的優點、特徵以及用於實現這些的方法。 The advantages, features, and methods for realizing these of the present invention will be understood with reference to the embodiments described later in combination with the drawings.

然而,本發明並不局限於以下公開的實施例,其可以由互不相同的多樣的形態實現,實施例只是為了完整地公開本發明並使本發明所屬領域中具有基本知識的人員完整地瞭解本發明的範圍而提供的,本發明的範圍只由申請專利範圍來定義。 However, the present invention is not limited to the embodiments disclosed below. It can be implemented in various forms that are different from each other. The embodiments are only for the purpose of fully disclosing the present invention and allowing those with basic knowledge in the field of the present invention to fully understand. Provided by the scope of the present invention, the scope of the present invention is only defined by the scope of the patent application.

空間意義上的相對性術語“下方”、“下側”、“下部”、“上方”、“上部”等是為了方便地記載如圖所示的一個元件或構成要素與其他元件或構成要素之間的相關關係而使用的。空間意義上的相對性術語應當理解為在圖中所示的方向的基礎上還包括使用過程中元件所指的相反方向。例如,對於將圖中示出的元件倒置的情形而言,記載為置於其他元件的“下方”的元件可被置於其他元件的“上方”。因此,作為示例性術語的“下方”可將下方和上方全部包含。 The spatially relative terms "below", "lower side", "lower", "above", "upper", etc. are used to conveniently describe the relationship between an element or constituent element and other elements or constituent elements as shown in the figure. The relationship between the use of. Relative terms in the spatial sense should be understood to include the opposite directions pointed to by the elements during use on the basis of the directions shown in the figures. For example, in the case where the elements shown in the figures are turned upside down, the elements described as being placed "below" other elements may be placed "above" the other elements. Therefore, "below" as an exemplary term may include both below and above.

本說明書中使用的術語“乃至”表示將在先記載的值與在後記載的值分別作為最小值和最大值而包含的數值範圍。 The term "or even" used in this specification means a numerical range including a value described earlier and a value described later as the minimum value and the maximum value, respectively.

以下,對根據本發明的光阻圖案去除用剝離劑組合物進行說明。 Hereinafter, the release agent composition for photoresist pattern removal according to the present invention will be described.

根據本發明的光阻圖案去除用剝離劑組合物(以下,稱為“剝離液”)包含非質子性極性溶劑、質子性極性溶劑以及胺系化合物。 The release agent composition for photoresist pattern removal according to the present invention (hereinafter referred to as "peeling liquid") contains an aprotic polar solvent, a protic polar solvent, and an amine-based compound.

所述非質子性極性溶劑使光阻圖案與佈置有所述光阻圖案的下部層之間的結合力弱化,從而可易於從所述下部層剝離所述光阻圖案。即,誘發構成光阻圖案的光阻組合物的溶脹(swelling),於是可將從所述下部層剝離而凝膠化的光阻組合物分解為單位分子而溶解。據此可帶來防止被剝離的所述光阻組合物重新貼附於下部層的效果。 The aprotic polar solvent weakens the bonding force between the photoresist pattern and the lower layer on which the photoresist pattern is arranged, so that the photoresist pattern can be easily peeled from the lower layer. That is, swelling of the photoresist composition constituting the photoresist pattern is induced, so that the photoresist composition gelled by peeling from the lower layer can be decomposed into unit molecules and dissolved. Accordingly, the effect of preventing the stripped photoresist composition from being reattached to the lower layer can be brought about.

所述非質子性極性溶劑可採用常用的非質子性極性溶劑,並不特別受限,例如可包括從由如下物質構成的組中選擇的一種或一種以上的溶劑:二甲亞碸(Dimethylsulfoxide)、N-甲基甲醯胺(N-methylformamide)、N-甲基-2-吡咯烷酮(N-methyl-2-pyrrolidone)、N,N-二甲基乙醯胺(N,N-dimethylacetamide)、N,N-二甲基甲醯胺(N,N-dimethylformamide)、N,N-二乙基甲醯胺(N,N-diethylformamide)、N,N-二甲基咪唑(N,N-dimethylimidazole)、γ-丁內酯(γ-butyrolactone)、環丁碸(sulfolane)、四氫糠醇(TetraHydroFurfuryl Alcohol;THFA)、N,N-二甲基丙醯胺(N,N-dimethylpropionamide)。 The aprotic polar solvent may be a commonly used aprotic polar solvent, and is not particularly limited. For example, it may include one or more solvents selected from the group consisting of: dimethylsulfoxide (Dimethylsulfoxide) , N-methylformamide (N-methylformamide), N-methyl-2-pyrrolidone (N-methyl-2-pyrrolidone), N,N-dimethylacetamide (N,N-dimethylacetamide), N,N-dimethylformamide (N,N-dimethylformamide), N,N-diethylformamide (N,N-diethylformamide), N,N-dimethylimidazole (N,N-dimethylimidazole) ), γ -butyrolactone ( γ -butyrolactone), sulfolane, TetraHydroFurfuryl Alcohol (THFA), N,N-dimethylpropionamide (N,N-dimethylpropionamide).

相對於剝離劑組合物的總重量,所述非質子性極性溶劑可包含有15至80重量%左右,具體地可包含有18至31重量%左右,稍加具體地可包含有19至31重量%左右,進一步具體地可包含有20至30重量%左右,更加具體地可包含有21至29重量%左右,尤為具體地可包含有25重量%左右。如果在所述範圍內包含所述非質子性極性溶劑,則可確保充分的剝離特性,且不會降低朝向光阻圖案內的剝離液的滲透力,並可節省製造成本。 Relative to the total weight of the release agent composition, the aprotic polar solvent may contain about 15 to 80% by weight, specifically about 18 to 31% by weight, and slightly more specifically, about 19 to 31% by weight. % Or so, more specifically, it may contain about 20 to 30% by weight, more specifically it may contain about 21 to 29% by weight, and more specifically it may contain about 25% by weight. If the aprotic polar solvent is included in the range, sufficient peeling characteristics can be ensured, the penetration force of the peeling liquid into the photoresist pattern will not be reduced, and the manufacturing cost can be saved.

另外,所述質子性極性溶劑可增加針對光阻的溶解力,且當在高溫下使用剝離液時,可最小化由揮發引起的剝離液的損失。 In addition, the protic polar solvent can increase the dissolving power of the photoresist, and when the stripping liquid is used at a high temperature, the loss of the stripping liquid caused by volatilization can be minimized.

所述質子性極性溶劑可選用通常採用的質子性極性溶劑,其並不特別受限,例如可包括從由如下物質構成的組中選擇的一種或一種以上的溶劑:乙二醇(ethyleneglycol)、二乙二醇(diethyleneglycol)、三乙二醇(triethyleneglycol)、四乙二醇(tetraethyleneglycol)、乙二醇甲醚(ethyleneglycolmethylether)、乙二醇***(ethyleneglycolethylether)、乙二醇丁醚(ethyleneglycolbutylether)、二乙二醇甲醚(diethyleneglycolmethylether)、二乙二醇***(diethyleneglycolethylether)、二乙二醇丁醚(diethyleneglycolbutyleter)、三乙二醇甲醚(triethyleneglycolmethylether)、三乙二醇***(triethyleneglycolethylether)、三乙二醇丁醚(triethyleneglycolbutylether)、二丙二醇甲醚(dipropyleneglycolmethylether)、二乙二醇二甲醚(diethyleneglycolmethylether)、二丙二醇甲醚(dipropyleneglycolmethylether)、二丙二醇***(dipropyleneglycolethylether)。 The protic polar solvent can be a commonly used protic polar solvent, which is not particularly limited. For example, it can include one or more solvents selected from the group consisting of: ethyleneglycol, Diethyleneglycol, triethyleneglycol, tetraethyleneglycol, ethyleneglycolmethylether, ethyleneglycolethylether, ethyleneglycolbutylether, Diethyleneglycolmethylether, diethyleneglycolethylether, diethyleneglycolbutyleter, triethyleneglycolmethylether, triethyleneglycolethylether, triethylene Triethyleneglycolbutylether, dipropyleneglycolmethylether, diethyleneglycolmethylether, dipropyleneglycolmethylether, dipropyleneglycolethylether.

相對於剝離劑組合物的總重量,所述質子性極性溶劑可包含有25至80重量%左右,具體地可包含有60至80重量%左右,稍加具體地可包含有65至79重量%左右,進一步具體地可包含有70至79重量%左右,更加具體地可包含有75至79重量%範圍的量。如果在所述範圍內包含所述質子性極性溶劑,則可以使溶解構成光阻的高分子的能力得到充分確保,且不會降低朝向光阻圖案內的剝離液的滲透力,並可節省製造成本。 Relative to the total weight of the release agent composition, the protic polar solvent may contain about 25 to 80% by weight, specifically about 60 to 80% by weight, and slightly more specifically, about 65 to 79% by weight. About 70 to 79% by weight, more specifically, about 75 to 79% by weight, and more specifically, in an amount ranging from 75 to 79% by weight. If the protic polar solvent is included in the above range, the ability to dissolve the polymer constituting the photoresist can be sufficiently ensured, and the penetration force of the peeling liquid into the photoresist pattern will not be reduced, and manufacturing can be saved. cost.

另外,所述胺系化合物可滲透到光阻圖案內部,從而解離構成光阻的高分子之間的結合。 In addition, the amine-based compound can penetrate into the inside of the photoresist pattern, thereby dissociating the bonds between the polymers constituting the photoresist.

所述胺系化合物可選用通常採用的胺系化合物,其並不特別受限,例如可包括從由如下物質構成的組中選擇的一種或一種以上的化合物:單 乙醇胺(monoethanolamine)、二乙醇胺(diethanolamine)、三乙醇胺(triethanolamine)、甘醇胺(glycol amine)、二甘醇胺(diglycolamine)、單異丙醇胺(monoisopropanolamine)、2-(2-胺基乙氧基)乙醇(2-(2-aminoethoxy)ethanol)及胺乙基乙醇(aminoethylethanol)之類的鏈型胺系化合物;以及1-(2-羥乙基)哌嗪(1-(2-hydroxyethyl)piperazine)、1-(2-胺乙基)哌嗪(1-(2-aminoethyl)piperazine)、1-(2-羥乙基)甲基哌嗪(1-(2-hydroxyethyl)methylpiperazine)、1-甲基哌嗪(1-methylpiperazine)、2-甲基哌嗪(2-methylpiperazine)、1-胺基-4-甲基哌嗪(1-amino-4-methylpiperazine)之類的環型胺系化合物。 The amine-based compound may be a commonly used amine-based compound, which is not particularly limited. For example, it may include one or more compounds selected from the group consisting of: Monoethanolamine, diethanolamine, triethanolamine, glycol amine, diglycolamine, monoisopropanolamine, 2-(2-aminoethyl) 2-(2-aminoethoxy)ethanol and aminoethylethanol (aminoethylethanol) and other chain-type amine compounds; and 1-(2-hydroxyethyl)piperazine (1-(2-hydroxyethyl) )piperazine), 1-(2-aminoethyl)piperazine (1-(2-aminoethyl)piperazine), 1-(2-hydroxyethyl)methylpiperazine (1-(2-hydroxyethyl)methylpiperazine), Cyclic amines such as 1-methylpiperazine, 2-methylpiperazine, and 1-amino-4-methylpiperazine Department of compounds.

相對於剝離劑組合物的總重量,所述胺系化合物可包含有1至15重量%左右,具體地可包含有1至10重量%左右,稍加具體地可包含有1至6重量%,進一步具體地可包含有1至5重量%範圍的量。如果在所述範圍內包含所述胺系化合物,則解離光阻組合物所需的充分的特性可得以確保,從而能夠縮短去除光阻圖案所耗用的時間,並可防止金屬圖案的腐蝕以及有機/無機膜的損壞。 Relative to the total weight of the release agent composition, the amine compound may contain about 1 to 15% by weight, specifically about 1 to 10% by weight, and slightly more specifically, about 1 to 6% by weight, More specifically, it may be included in an amount ranging from 1 to 5% by weight. If the amine-based compound is contained within the range, sufficient characteristics required for dissociating the photoresist composition can be ensured, so that the time taken to remove the photoresist pattern can be shortened, and the corrosion of the metal pattern can be prevented and Damage to organic/inorganic membranes.

在一些實施例中,根據本發明的光阻剝離劑還可以包含添加劑和/或其他溶劑。 In some embodiments, the photoresist stripper according to the present invention may further include additives and/or other solvents.

所述添加劑可以是防腐劑、表面親水化劑。 The additives may be preservatives and surface hydrophilizing agents.

例如,所述防腐劑作為包含有具備非共價電子對的-N-、-S-、-O-等官能團的化合物,尤其可包含有羥基(-OH)、硫醇基(-SH)等。防腐劑的上述反應基團以物理、化學方式被吸附於金屬,從而具有防止金屬薄膜的腐蝕的效果。具體而言,所述防腐劑可以是山梨醇、木糖醇、鄰苯二酚(pyrocatechol)、鄰苯三酚(pyrogallol)、沒食子酸、2-丁炔-1,4-二醇、鄰苯二甲酸、鄰苯二甲酸 酐、水楊酸、抗壞血酸或包括苯并***及甲苯***等的***系化合物,且相對於剝離劑組合物的總重量,可包含有0.0001至2重量%範圍的量,從而可以防止由所述剝離液引起的金屬圖案(即,金屬佈線)的腐蝕。 For example, the antiseptic, as a compound containing functional groups such as -N-, -S-, -O- with non-covalent electron pairs, especially may contain hydroxyl (-OH), thiol (-SH), etc. . The above-mentioned reactive groups of the anticorrosive are physically and chemically adsorbed to the metal, thereby having the effect of preventing the corrosion of the metal thin film. Specifically, the preservative may be sorbitol, xylitol, pyrocatechol, pyrogallol, gallic acid, 2-butyne-1,4-diol, Phthalic acid, phthalic acid Anhydride, salicylic acid, ascorbic acid, or triazole-based compounds including benzotriazole and tolytriazole, etc., relative to the total weight of the release agent composition, may be included in an amount ranging from 0.0001 to 2% by weight, thereby preventing Corrosion of the metal pattern (ie, metal wiring) caused by the stripping liquid.

以下,參考製造例和比較例而對根據本發明的光阻圖案去除用剝離液進行更加詳細的說明。 Hereinafter, the peeling liquid for photoresist pattern removal according to the present invention will be described in more detail with reference to manufacturing examples and comparative examples.

<製造例和比較例><Manufacturing example and comparative example>

製造出具有如下的表1的成分和組成(重量%)的光阻圖案去除用剝離液。在如下的表1中,DMPA表示N,N-二甲基丙醯胺(N,N-dimethylpropionamide),NMP表示N-甲基吡咯烷酮(N-methylpyrrolidone),NMF表示N-甲基甲醯胺(N-methylformamide),EDG表示二乙二醇單***(diethylene glycol monoethyl ether),AEE表示2-(2-胺基乙氧基)乙醇(2-(2-aminoethoxy)ethanol)。 The peeling liquid for photoresist pattern removal which has the components and composition (weight %) of the following Table 1 was manufactured. In Table 1 below, DMPA stands for N,N-dimethylpropionamide, NMP stands for N-methylpyrrolidone, and NMF stands for N-methylpropionamide ( N-methylformamide), EDG means diethylene glycol monoethyl ether, and AEE means 2-(2-aminoethoxy)ethanol (2-(2-aminoethoxy)ethanol).

Figure 105140609-A0305-02-0013-1
Figure 105140609-A0305-02-0013-1
Figure 105140609-A0305-02-0014-2
Figure 105140609-A0305-02-0014-2
Figure 105140609-A0305-02-0015-3
Figure 105140609-A0305-02-0015-3

<實驗例1:基於DMPA含量的剝離特性及腐蝕與否比較><Experimental example 1: Comparison of peeling characteristics and corrosion based on DMPA content>

為了確認基於DMPA含量的剝離劑組合物的剝離特性及腐蝕發生與否,進行了如下的實驗。在銅佈線上形成絕緣層,並塗覆光阻劑組合物,然後相隔佈置形成有圖案的遮罩,隨後進行了曝光工序。然後,進行蝕刻以使銅佈線暴露,並為了除去殘餘光阻層而利用根據所述製造例1至製造例50製造出的剝離劑組合物而進行了剝離。在剝離10分鐘左右之後,利用肉眼和顯微鏡觀察了剝離的存在與否以及腐蝕的存在與否,並將其結果示於如下的表2中。 In order to confirm the peeling characteristics of the release agent composition based on the DMPA content and the occurrence of corrosion, the following experiment was performed. An insulating layer is formed on the copper wiring, and a photoresist composition is coated, and then patterned masks are arranged at intervals, and then an exposure process is performed. Then, etching was performed to expose the copper wiring, and in order to remove the residual photoresist layer, peeling was performed using the release agent composition manufactured according to the manufacturing example 1 to the manufacturing example 50. About 10 minutes after peeling, the presence or absence of peeling and the presence or absence of corrosion were observed with the naked eye and a microscope, and the results are shown in Table 2 below.

Figure 105140609-A0305-02-0015-4
Figure 105140609-A0305-02-0015-4
Figure 105140609-A0305-02-0016-5
Figure 105140609-A0305-02-0016-5

<實驗例2:基於製造例和比較例的剝離特性以及腐蝕與否比較><Experimental example 2: Comparison of peeling characteristics and corrosion based on manufacturing example and comparative example>

為了將不包含DMPA的剝離劑組合物與包含有DMPA的剝離劑組合物的剝離特性以及腐蝕發生與否進行比較,除了使用比較例1至比較例12作為剝離階段中使用到的剝離劑組合物之外,其他以與實驗例1相同的方法形成了銅佈線和絕緣層,並執行曝光工序,然後進行了剝離。在剝離了10分鐘左右之後,利用肉眼和顯微鏡觀察了是否存在剝離以及是否存在腐蝕,並與具有相似組成的實驗例1的結果進行比較而將其結果示於如下的表3中。 In order to compare the peeling characteristics and the occurrence of corrosion between the peeling agent composition not containing DMPA and the peeling agent composition containing DMPA, except that Comparative Examples 1 to 12 were used as the peeling agent composition used in the peeling stage Otherwise, the copper wiring and the insulating layer were formed in the same manner as in Experimental Example 1, and the exposure process was performed, and then peeling was performed. After peeling for about 10 minutes, the presence or absence of peeling and the presence of corrosion were observed with the naked eye and a microscope, and the results were compared with the results of Experimental Example 1 having a similar composition, and the results are shown in Table 3 below.

Figure 105140609-A0305-02-0016-6
Figure 105140609-A0305-02-0016-6

以下,參考附圖而對根據本發明的一個實施例的薄膜電晶體陣列的製造方法進行說明。 Hereinafter, a method of manufacturing a thin film transistor array according to an embodiment of the present invention will be described with reference to the accompanying drawings.

第1a圖至第1d圖為按步驟示出根據本發明的一個實施例的薄膜電晶體陣列的柵極圖案的製造方法的剖面圖。 FIGS. 1a to 1d are cross-sectional views showing step by step a method of manufacturing a gate pattern of a thin film transistor array according to an embodiment of the present invention.

參考第1a圖,首先在襯底基板100上形成第一金屬層200。第一金屬層200可包含如下的物質而構成:從鉭(Ta)、鎢(W)、鈦(Ti)、鉬(Mo)、 鋁(Al)、銅(Cu)、銀(Ag)、鉻(Cr)、釹(Nd)中選擇的元素;或者將所述元素作為主成分的合金材料或化合物材料。 Referring to FIG. 1a, the first metal layer 200 is formed on the base substrate 100 first. The first metal layer 200 may include the following substances: from tantalum (Ta), tungsten (W), titanium (Ti), molybdenum (Mo), An element selected from aluminum (Al), copper (Cu), silver (Ag), chromium (Cr), and neodymium (Nd); or an alloy material or compound material with the element as the main component.

然後,在第一金屬層200上形成第一光阻層300。第一光阻層300可通過在形成有第一金屬層200的襯底基板100上塗覆光阻劑組合物而形成。本實施例以曝光部分中引發高分子固化的負型(negative)光阻為例進行說明,然而在其他實施例中也可以使用曝光部分中發生高分子軟化的正型(positive)光阻。 Then, a first photoresist layer 300 is formed on the first metal layer 200. The first photoresist layer 300 may be formed by coating a photoresist composition on the base substrate 100 on which the first metal layer 200 is formed. In this embodiment, a negative photoresist in which the polymer is cured in the exposed part is taken as an example for description. However, in other embodiments, a positive photoresist in which the polymer softens in the exposed part can also be used.

然後,與形成有第一光阻層300的襯底基板100相隔而佈置第一遮罩(遮罩1)。第一遮罩包括第一遮罩的透光區域R1a和第一遮罩的遮光區域R1b,從而可以使從第一遮罩的上部照射的光(light)選擇性地透過。據此,與第一遮罩的透光區域R1a重疊的區域的第一光阻層300暴露於所述光而發生局部性固化,相反地與第一遮罩的遮光區域R1b重疊的區域的第一光阻層300卻可以不被固化。然後,利用顯影液而除去第一光阻層300的未固化部分,於是可形成如第1b圖所示的第一光阻圖案301。 Then, a first mask (mask 1) is arranged apart from the base substrate 100 on which the first photoresist layer 300 is formed. The first mask includes a light-transmitting area R1a of the first mask and a light-shielding area R1b of the first mask, so that light irradiated from the upper portion of the first mask can be selectively transmitted. Accordingly, the first photoresist layer 300 in the region overlapping with the light-transmitting region R1a of the first mask is exposed to the light and locally cured, and on the contrary, the first photoresist layer 300 in the region overlapping with the light-shielding region R1b of the first mask is cured. A photoresist layer 300 may not be cured. Then, the uncured portion of the first photoresist layer 300 is removed by a developing solution, so that the first photoresist pattern 301 as shown in FIG. 1b can be formed.

接著參考第1b圖至第1c圖,將第一光阻圖案301作為抗蝕膜而蝕刻第一金屬層200(以下,稱為“第一蝕刻步驟”)。在佈置有所述第一光阻圖案301的區域中第一光阻圖案301耗損而被除去,相反地第一金屬層200被暴露的區域則在所述第一蝕刻步驟中被除去而可形成如第1c圖所示的柵極圖案201。柵極圖案201可在薄膜電晶體中被使用為柵電極,或者可使用為液晶顯示裝置的柵極線的局部等。 Next, referring to FIGS. 1b to 1c, the first metal layer 200 is etched using the first photoresist pattern 301 as a resist film (hereinafter, referred to as "first etching step"). In the area where the first photoresist pattern 301 is arranged, the first photoresist pattern 301 is worn out and removed, on the contrary, the exposed area of the first metal layer 200 is removed in the first etching step to form Such as the gate pattern 201 shown in Fig. 1c. The gate pattern 201 may be used as a gate electrode in a thin film transistor, or may be used as a part of a gate line of a liquid crystal display device or the like.

接著參考第1d圖,利用光阻去除用剝離液而除去柵極圖案201上部的第一光阻殘膜302。所述剝離液包含:15至60重量%左右的非質子性極性溶 劑、25至80重量%的質子性極性溶劑、1至15重量%的胺系化合物。所述剝離液易於將第一光阻殘膜302從柵極圖案201剝離,不僅如此,對由金屬性物質構成的柵極圖案201和/或襯底基板100也可不造成腐蝕之類的損傷。所述剝離液與如前所述的根據本發明的一個實施例的光阻剝離劑組合物實質上相同,省略其具體說明。通過上述一系列過程,可形成具有所期望的形狀和佈置方式的柵極圖案301。 Next, referring to FIG. 1d, the first photoresist residue film 302 on the upper part of the gate pattern 201 is removed by using a stripper for removing photoresist. The stripping liquid contains: about 15 to 60% by weight aprotic polar solvent Agent, 25 to 80% by weight of protic polar solvent, 1 to 15% by weight of amine compound. The peeling liquid is easy to peel the first photoresist film 302 from the gate pattern 201. Not only that, but also the gate pattern 201 and/or the base substrate 100 made of metallic materials may not cause damage such as corrosion. The stripping liquid is substantially the same as the photoresist stripper composition according to an embodiment of the present invention as described above, and detailed description thereof is omitted. Through the above-mentioned series of processes, the gate pattern 301 having a desired shape and arrangement can be formed.

第2a圖至第2h圖為按步驟示出根據本發明的一實施例的薄膜電晶體陣列的半導體圖案以及源極/汲極圖案製造方法的剖面圖。 2a to 2h are cross-sectional views showing, step by step, the semiconductor pattern of the thin film transistor array and the source/drain pattern manufacturing method according to an embodiment of the present invention.

參考第2a圖,在柵極圖案201上遍及襯底基板100的一整面而形成絕緣膜400,並在絕緣膜400上形成半導體物質層500。半導體物質層500可包含非晶態矽、多晶態矽或氧化物半導體等半導體物質而構成。 Referring to FIG. 2a, an insulating film 400 is formed on the entire surface of the base substrate 100 on the gate pattern 201, and a semiconductor material layer 500 is formed on the insulating film 400. The semiconductor material layer 500 may include semiconductor materials such as amorphous silicon, polycrystalline silicon, or oxide semiconductor.

然後,在半導體物質層500上形成第二光阻層600。第二光阻層600可以如同所述第一光阻層,是負型光阻。 Then, a second photoresist layer 600 is formed on the semiconductor material layer 500. The second photoresist layer 600 may be a negative photoresist like the first photoresist layer.

然後,與形成有第二光阻層600的襯底基板100相隔而佈置第二遮罩(遮罩2)。第二遮罩包括第二遮罩的透光區域R2a和第二遮罩的遮光區域R2b,從而可以使從第二遮罩的上部照射的光選擇性地透過。第二遮罩的透光區域R2a可佈置為至少一部分與柵極圖案201重疊。據此,與第二遮罩的透光區域R2a重疊的區域的第二光阻層600發生固化,相反地與第二遮罩的遮光區域R2b重疊的區域的第二光阻層600卻不發生固化而可借助於顯影液而被除去,並形成如第2b圖所示的第二光阻圖案601。 Then, a second mask (mask 2) is arranged apart from the base substrate 100 on which the second photoresist layer 600 is formed. The second mask includes a light-transmitting area R2a of the second mask and a light-shielding area R2b of the second mask, so that the light irradiated from the upper part of the second mask can be selectively transmitted. The light-transmitting region R2a of the second mask may be arranged to overlap with the gate pattern 201 at least in part. Accordingly, the second photoresist layer 600 in the area overlapping with the light-transmitting area R2a of the second mask is cured, while the second photoresist layer 600 in the area overlapping with the light-shielding area R2b of the second mask does not occur. After being cured, it can be removed with the aid of a developer, and a second photoresist pattern 601 as shown in FIG. 2b is formed.

接著參考第2b圖至第2c圖,將第二光阻圖案601作為抗蝕膜而蝕刻半導體物質層500(以下,稱為“第二蝕刻步驟”)。在佈置有第二光阻圖案 601的區域中第二光阻圖案601耗損而被除去,相反地半導體物質層500被暴露的區域則在所述第二蝕刻步驟中被除去,從而可形成如第2c圖所示的半導體圖案501。半導體圖案501可在薄膜電晶體中被使用為活性層。 Next, referring to FIGS. 2b to 2c, the semiconductor material layer 500 is etched using the second photoresist pattern 601 as a resist film (hereinafter, referred to as a "second etching step"). The second photoresist pattern is arranged In the area of 601, the second photoresist pattern 601 is worn out and removed. On the contrary, the exposed area of the semiconductor material layer 500 is removed in the second etching step, so that the semiconductor pattern 501 as shown in FIG. 2c can be formed. . The semiconductor pattern 501 may be used as an active layer in a thin film transistor.

接著參考第2d圖,利用剝離液而除去半導體圖案501上部的第二光阻殘膜602。所述剝離液易於將第二光阻殘膜602從半導體圖案501剝離,不僅如此,對半導體圖案501和/或絕緣膜400也可不造成損傷。所述剝離液可以是根據本發明的一個實施例的光阻剝離劑組合物。通過上述一系列過程,可在柵極圖案201上部形成半導體圖案501。 Next, referring to FIG. 2d, the second photoresist film 602 on the upper portion of the semiconductor pattern 501 is removed by using a peeling solution. The peeling liquid is easy to peel the second photoresist film 602 from the semiconductor pattern 501, not only that, but also does not cause damage to the semiconductor pattern 501 and/or the insulating film 400. The peeling liquid may be a photoresist peeling agent composition according to an embodiment of the present invention. Through the above-mentioned series of processes, the semiconductor pattern 501 can be formed on the upper portion of the gate pattern 201.

接著參考第2e圖,在半導體圖案501上遍及襯底基板100的一整面而形成第二金屬層700。第二金屬層700可包含如下物質而構成:銀(Ag)、金(Au)、銅(Cu)、鎳(Ni)、鉑(Pt)、鈀(Pd)、銥(Ir)、銠(Rh)、鎢(W)、鋁(Al)、鉭(Ta)、鉬(Mo)、鎘(Cd)、鋅(Zn)、鐵(Fe)、鈦(Ti)、矽(Si)、鍺(Ge)、鋯(Zr)或鋇(Ba)等耐熔金屬(refractory metal);或者上述金屬的合金;或者其金屬氮化物。 Next, referring to FIG. 2e, the second metal layer 700 is formed on the semiconductor pattern 501 over the entire surface of the base substrate 100. The second metal layer 700 may include the following materials to be composed: silver (Ag), gold (Au), copper (Cu), nickel (Ni), platinum (Pt), palladium (Pd), iridium (Ir), rhodium (Rh) ), tungsten (W), aluminum (Al), tantalum (Ta), molybdenum (Mo), cadmium (Cd), zinc (Zn), iron (Fe), titanium (Ti), silicon (Si), germanium (Ge ), refractory metals such as zirconium (Zr) or barium (Ba); or alloys of the above metals; or metal nitrides thereof.

在一些實施例中,不同於圖示情形,半導體圖案501與所述第二金屬層之間還可以佈置有歐姆接觸層(未圖示)。所述歐姆接觸層可包含摻雜有高濃度的n型雜質的n+氫化非晶態矽物質,或者包含有矽化物(silicide)。 In some embodiments, different from the case shown in the figure, an ohmic contact layer (not shown) may also be arranged between the semiconductor pattern 501 and the second metal layer. The ohmic contact layer may include n+ hydrogenated amorphous silicon material doped with a high concentration of n-type impurities, or may include silicide.

然後,在第二金屬層700上形成第三光阻層800。如同所述第一光阻層和第二光阻層,第三光阻層800可以是負型光阻。 Then, a third photoresist layer 800 is formed on the second metal layer 700. Like the first photoresist layer and the second photoresist layer, the third photoresist layer 800 may be a negative photoresist.

然後,與形成有第三光阻層800的襯底基板100相隔而佈置第三遮罩(遮罩3)。第三遮罩包含第三遮罩的透光區域R3a和第三遮罩的遮光區域R3b,從而可以使從第三遮罩的上部照射的光選擇性地透過。 Then, a third mask (mask 3) is arranged apart from the base substrate 100 on which the third photoresist layer 800 is formed. The third mask includes the light-transmitting area R3a of the third mask and the light-shielding area R3b of the third mask, so that the light irradiated from the upper part of the third mask can be selectively transmitted.

具體而言,第三遮罩(遮罩3)可包括相互隔開而分離的至少兩個第三遮罩的透光區域R3a。在此情況下,所述兩個第三遮罩透光區域R3a分別可佈置為至少一部分與半導體圖案501重疊。據此,與第三遮罩的透光區域R3a重疊的區域的第三光阻層800發生固化,相反地與第三遮罩的遮光區域R3b重疊的區域的第三光阻層800卻並不發生固化而可借助於顯影液而被除去,並形成如第2f圖所示的第三光阻圖案801。 Specifically, the third mask (mask 3) may include at least two light-transmitting regions R3a of the third mask that are spaced apart and separated from each other. In this case, the two third mask light-transmitting regions R3a may be respectively arranged such that at least a part thereof overlaps with the semiconductor pattern 501. According to this, the third photoresist layer 800 in the region overlapping with the light-transmitting region R3a of the third mask is cured, while the third photoresist layer 800 in the region overlapping with the light-shielding region R3b of the third mask is not cured. After being cured, it can be removed by the developer, and a third photoresist pattern 801 as shown in FIG. 2f is formed.

接著參考第2f圖至第2g圖,將第三光阻圖案801作為抗蝕膜而蝕刻第二金屬層700(以下,稱為“第三蝕刻步驟”)。在佈置有第三光阻圖案801的區域中第三光阻圖案801耗損而被除去,相反地第二金屬層700被暴露的區域則在所述第三蝕刻步驟中被除去而可形成如第2g圖所示的源極/汲極圖案701。源極/汲極圖案701分別可在薄膜電晶體中被使用為源電極和汲電極,或者可在液晶顯示裝置中使用為資料線的局部等。 Next, referring to FIGS. 2f to 2g, the second metal layer 700 is etched using the third photoresist pattern 801 as a resist film (hereinafter, referred to as a "third etching step"). In the area where the third photoresist pattern 801 is arranged, the third photoresist pattern 801 is worn out and removed. On the contrary, the exposed area of the second metal layer 700 is removed in the third etching step to form a The source/drain pattern 701 shown in FIG. 2g. The source/drain pattern 701 may be used as a source electrode and a drain electrode in a thin film transistor, respectively, or may be used as a part of a data line in a liquid crystal display device.

接著參考第2h圖,利用剝離液而除去源極/汲極圖案701上部的第三光阻殘膜802。所述剝離液易於將第三光阻殘膜802從源極/汲極圖案701剝離,不僅如此,對由金屬性物質構成的源極/汲極圖案701也可不造成腐蝕之類的損傷。所述剝離液可以是根據本發明的一個實施例的光阻剝離劑組合物。通過上述一系列過程,可在半導體圖案501上形成至少一部分與半導體圖案501重疊的源極/汲極圖案701,並可製造出作為三端子元件的薄膜電晶體陣列。 Next, referring to FIG. 2h, the third photoresist film 802 on the upper portion of the source/drain pattern 701 is removed by using a stripping solution. The stripping liquid can easily peel off the third photoresist film 802 from the source/drain pattern 701. Not only that, but also the source/drain pattern 701 made of metallic material may not cause damage such as corrosion. The peeling liquid may be a photoresist peeling agent composition according to an embodiment of the present invention. Through the above-mentioned series of processes, a source/drain pattern 701 overlapping at least a part of the semiconductor pattern 501 can be formed on the semiconductor pattern 501, and a thin film transistor array as a three-terminal element can be manufactured.

以下,對根據本發明的另一實施例的薄膜電晶體陣列的製造方法進行說明。然而,為了防止發明的本質混亂不清,省略實質上與根據前述實施例的薄膜電晶體陣列的製造方法相同或相似的構成部分的說明,本領域技術人員對此應可理解。 Hereinafter, a method of manufacturing a thin film transistor array according to another embodiment of the present invention will be described. However, in order to prevent confusion about the essence of the invention, the description of the constituent parts that are substantially the same as or similar to the manufacturing method of the thin film transistor array according to the foregoing embodiment is omitted, which should be understood by those skilled in the art.

第3a圖至第3d圖為按步驟示出根據本發明的另一實施例的薄膜電晶體陣列的半導體圖案以及源極/汲極圖案製造方法的剖面圖。 3a to 3d are cross-sectional views showing, step by step, a method for manufacturing a semiconductor pattern and a source/drain pattern of a thin film transistor array according to another embodiment of the present invention.

參考第3a圖,在佈置有柵極圖案201和絕緣膜400的襯底基板100上形成半導體物質層500,並在半導體物質層500上形成第二金屬層700。 Referring to FIG. 3a, a semiconductor material layer 500 is formed on a base substrate 100 on which a gate pattern 201 and an insulating film 400 are arranged, and a second metal layer 700 is formed on the semiconductor material layer 500.

然後,在第二金屬層700上形成第四光阻層900。如同所述第一光阻層至第三光阻層,第四光阻層900可以是負型光阻。 Then, a fourth photoresist layer 900 is formed on the second metal layer 700. Like the first photoresist layer to the third photoresist layer, the fourth photoresist layer 900 may be a negative photoresist.

然後,與形成有第四光阻層900的襯底基板100相隔而佈置第四遮罩(遮罩4)。第四遮罩包括第四遮罩的透光區域R4a、第四遮罩的遮光區域R4b以及第四遮罩的半透光區域R4c,從而可以使從第四遮罩的上部照射的光選擇性地透過。 Then, a fourth mask (mask 4) is arranged apart from the base substrate 100 on which the fourth photoresist layer 900 is formed. The fourth mask includes the light-transmitting area R4a of the fourth mask, the light-shielding area R4b of the fourth mask, and the semi-transmissive area R4c of the fourth mask, so that the light irradiated from the upper part of the fourth mask can be selectively To penetrate.

具體而言,第四遮罩(遮罩4)的至少一部分(半透光區域)可以是狹縫遮罩或繞射遮罩。第四遮罩的半透光區域R4c起到使光繞射或局部性遮光的作用,以使透過第四遮罩而到達第四光阻層900的光量少於透光區域R4a卻多於遮光區域R4b。而且,第四遮罩可包括將半透光區域置於中間而相互隔開的至少兩個第四遮罩的透光區域R4a。在此情況下,所述第四遮罩的半透光區域R4c以及兩個第四遮罩的透光區域R4a可佈置為至少一部分與柵極圖案201重疊。 Specifically, at least a part (semi-transmissive area) of the fourth mask (mask 4) may be a slit mask or a diffraction mask. The semi-transmissive area R4c of the fourth mask plays a role of diffracting light or partially shielding light, so that the amount of light reaching the fourth photoresist layer 900 through the fourth mask is less than the light-transmitting area R4a but more than Shading area R4b. Moreover, the fourth mask may include the light-transmitting regions R4a of at least two fourth masks with the semi-transmissive region in the middle and spaced apart from each other. In this case, the semi-transmissive region R4c of the fourth mask and the transmissive regions R4a of the two fourth masks may be arranged to overlap with the gate pattern 201 at least in part.

與第四遮罩的遮光區域R4b重疊的區域的第四光阻層900並不發生固化而被顯影液所除去,與第四遮罩的透光區域R4a重疊的區域的第四光阻層900則維持第一厚度而固化,而與第四遮罩的半透光區域R4c重疊的區域的第四光阻層900則以薄於所述第一厚度的第二厚度得到固化,從而可以形成如第3b圖所示的第四光阻圖案903。 The fourth photoresist layer 900 in the region overlapping with the light-shielding region R4b of the fourth mask is not cured but is removed by the developer, and the fourth photoresist layer 900 in the region overlapping with the light-transmitting region R4a of the fourth mask The first thickness is maintained and cured, and the fourth photoresist layer 900 in the region overlapping with the semi-transmissive region R4c of the fourth mask is cured with a second thickness thinner than the first thickness, so that it can be formed as The fourth photoresist pattern 903 shown in FIG. 3b.

接著參考第3b圖至第3c圖,將第四光阻圖案903作為抗蝕膜而蝕刻第二金屬層700和半導體物質層500。具體而言,第二金屬層700被暴露的區域的第二金屬層700和半導體物質層500在本蝕刻步驟中被除去,在佈置有以所述第二厚度得到固化的第四光阻圖案903的區域,半導體物質層500的一部分和第二金屬層700被除去,而佈置有以所述第一厚度得到固化的第四光阻圖案903的區域的第二金屬層700和半導體物質層500卻不被除去,從而可以形成如第3c圖所示的源極/汲極圖案703以及半導體圖案503。 Next, referring to FIGS. 3b to 3c, the second metal layer 700 and the semiconductor material layer 500 are etched using the fourth photoresist pattern 903 as a resist film. Specifically, the second metal layer 700 and the semiconductor material layer 500 in the exposed area of the second metal layer 700 are removed in this etching step, and the fourth photoresist pattern 903 cured with the second thickness is arranged. Part of the semiconductor material layer 500 and the second metal layer 700 are removed, while the second metal layer 700 and the semiconductor material layer 500 in the region where the fourth photoresist pattern 903 cured with the first thickness is arranged Without being removed, the source/drain pattern 703 and the semiconductor pattern 503 as shown in FIG. 3c can be formed.

接著參考第3d圖,利用剝離液而除去源極/汲極圖案703上部的第四光阻殘膜904。所述剝離液易於將第四光阻殘膜904從源極/汲極圖案703剝離,不僅如此,對由金屬性物質構成的源極/汲極圖案703也可不造成腐蝕之類的損傷。所述剝離液可以是根據本發明的一個實施例的光阻剝離劑組合物。 Next, referring to FIG. 3d, the fourth photoresist film 904 on the upper part of the source/drain pattern 703 is removed by using a stripping liquid. The stripping liquid is easy to peel the fourth photoresist film 904 from the source/drain pattern 703. Not only that, but also the source/drain pattern 703 made of metallic material may not cause damage such as corrosion. The peeling liquid may be a photoresist peeling agent composition according to an embodiment of the present invention.

以上,以本發明的實施例為中心而進行了說明,然而這只是示例而並非旨在限定,本發明所屬的技術領域中具有基本知識者想必理解可在不脫離本發明的實施例所述的實質性特性的範圍內實施未舉例說明的多種變形及應用。例如,可將本發明的實施例中具體揭示的各個構成要素變形而實施。應予說明,該等變形和應用的相關區別點須解釋為包含於本申請案的申請專利範圍中限定的本發明的範圍內。 Above, the description is centered on the embodiments of the present invention, but this is only an example and is not intended to be limiting. Those with basic knowledge in the technical field to which the present invention belongs must understand that they can be described without departing from the embodiments of the present invention. Various modifications and applications that are not illustrated are implemented within the scope of the substantial characteristics. For example, each constituent element specifically disclosed in the embodiment of the present invention can be modified and implemented. It should be noted that the relevant differences between these modifications and applications must be interpreted as being included in the scope of the present invention defined in the scope of the patent application of this application.

100:襯底基板 100: Substrate

201:柵極圖案 201: grid pattern

400:絕緣膜 400: insulating film

501:半導體圖案 501: Semiconductor pattern

602:第二光阻殘膜 602: second photoresist film

Claims (9)

一種光阻剝離劑組合物,相對於所述剝離劑組合物的總重量而包含:15重量%至80重量%的非質子性極性溶劑,包含有N,N-二甲基丙醯胺;25重量%至80重量%的質子性極性溶劑;以及1重量%至15重量%的胺系化合物,其中,所述非質子性極性溶劑係從如下的物質中選擇的一種或一種以上的物質:N,N-二甲基乙醯胺、N,N-二甲基甲醯胺、N,N-二乙基甲醯胺、N,N-二甲基咪唑、γ-丁內酯、環丁碸、四氫糠醇。 A photoresist stripper composition, relative to the total weight of the stripper composition, comprising: 15% to 80% by weight of an aprotic polar solvent, including N,N-dimethylpropanamide; 25 Weight% to 80% by weight of a protic polar solvent; and 1% by weight to 15% by weight of an amine compound, wherein the aprotic polar solvent is one or more substances selected from the following substances: N ,N-Dimethylacetamide, N,N-Dimethylformamide, N,N-Diethylformamide, N,N-Dimethylimidazole, γ-Butyrolactone, Cyclobutane , Tetrahydrofurfuryl alcohol. 如請求項1所述的光阻剝離劑組合物,其中,所述質子性極性溶劑是從如下的物質中選擇的一種或一種以上的物質:乙二醇、二乙二醇、三乙二醇、四乙二醇、乙二醇甲醚、乙二醇***、乙二醇丁醚、二乙二醇甲醚、二乙二醇***、二乙二醇丁醚、三乙二醇甲醚、三乙二醇***、三乙二醇丁醚、二丙二醇甲醚、二乙二醇二甲醚、二丙二醇甲醚以及二丙二醇***。 The photoresist stripper composition according to claim 1, wherein the protic polar solvent is one or more substances selected from the following substances: ethylene glycol, diethylene glycol, triethylene glycol , Tetraethylene glycol, ethylene glycol methyl ether, ethylene glycol ethyl ether, ethylene glycol butyl ether, diethylene glycol methyl ether, diethylene glycol ethyl ether, diethylene glycol butyl ether, triethylene glycol methyl ether, Triethylene glycol ethyl ether, triethylene glycol butyl ether, dipropylene glycol methyl ether, diethylene glycol dimethyl ether, dipropylene glycol methyl ether, and dipropylene glycol ethyl ether. 如請求項1所述的光阻剝離劑組合物,其中,所述胺系化合物是從如下的物質中選擇的一種或一種以上的物質:單乙醇胺、二乙醇胺、三乙醇胺、甘醇胺、二甘醇胺、單異丙醇胺、2-(2-胺基乙氧基)乙醇、胺乙基乙醇、1-(2-羥乙 基)哌嗪、1-(2-胺乙基)哌嗪、1-(2-羥乙基)甲基哌嗪、1-甲基哌嗪、2-甲基哌嗪以及1-胺基-4-甲基哌嗪。 The photoresist stripper composition according to claim 1, wherein the amine compound is one or more substances selected from the following substances: monoethanolamine, diethanolamine, triethanolamine, glycolamine, diethanolamine Glycolamine, monoisopropanolamine, 2-(2-aminoethoxy)ethanol, aminoethylethanol, 1-(2-hydroxyethyl) Base) piperazine, 1-(2-aminoethyl)piperazine, 1-(2-hydroxyethyl)methylpiperazine, 1-methylpiperazine, 2-methylpiperazine and 1-amino- 4-methylpiperazine. 如請求項1所述的光阻剝離劑組合物,其中,相對於所述剝離劑組合物的總重量,進一步包含:0.0001重量%至2重量%的防腐劑。 The photoresist stripper composition according to claim 1, wherein, relative to the total weight of the stripper composition, it further comprises: 0.0001% to 2% by weight of an antiseptic. 如請求項4所述的光阻剝離劑組合物,其中,所述防腐劑是從如下的物質中選擇的一種或一種以上的物質:山梨醇、木糖醇、鄰苯二酚、鄰苯三酚、沒食子酸、2-丁炔-1,4-二醇、鄰苯二甲酸、鄰苯二甲酸酐、水楊酸、抗壞血酸以及***系化合物。 The photoresist stripper composition according to claim 4, wherein the preservative is one or more substances selected from the following substances: sorbitol, xylitol, catechol, pyrogallol Phenol, gallic acid, 2-butyne-1,4-diol, phthalic acid, phthalic anhydride, salicylic acid, ascorbic acid, and triazole compounds. 如請求項1所述的光阻剝離劑組合物,其中,相對於所述剝離劑組合物的總重量,包含有所述N,N-二甲基丙醯胺的非質子性極性溶劑為15重量%至31重量%;相對於所述剝離劑組合物的總重量,所述質子性極性溶劑為65重量%至80重量%;相對於所述剝離劑組合物的總重量,所述胺系化合物為1重量%至10重量%。 The photoresist stripper composition according to claim 1, wherein the aprotic polar solvent containing the N,N-dimethylpropanamide is 15% relative to the total weight of the stripper composition. Relative to the total weight of the release agent composition, the protic polar solvent is 65% to 80% by weight; relative to the total weight of the release agent composition, the amine The compound is 1% to 10% by weight. 如請求項6所述的光阻剝離劑組合物,其中,相對於所述剝離劑組合物的總重量,包含有所述N,N-二甲基丙醯胺的非質子性極性溶劑為15重量%至21重量%;相對於所述剝離劑組合物的總重量,所述質子性極性溶劑為75重量%至80重量%; 相對於所述剝離劑組合物的總重量,所述胺系化合物為2重量%至5重量%。 The photoresist stripper composition according to claim 6, wherein the aprotic polar solvent containing the N,N-dimethylpropanamide is 15% relative to the total weight of the stripper composition. % By weight to 21% by weight; relative to the total weight of the release agent composition, the protic polar solvent is 75% by weight to 80% by weight; The amine compound is 2% to 5% by weight relative to the total weight of the release agent composition. 如請求項6所述的光阻剝離劑組合物,其中,相對於所述剝離劑組合物的總重量,包含有所述N,N-二甲基丙醯胺的非質子性極性溶劑為29重量%至31重量%;相對於所述剝離劑組合物的總重量,所述質子性極性溶劑為65重量%至69重量%;相對於所述剝離劑組合物的總重量,所述胺系化合物為1重量%至6重量%。 The photoresist stripper composition according to claim 6, wherein the aprotic polar solvent containing the N,N-dimethylpropanamide is 29 relative to the total weight of the stripper composition. Relative to the total weight of the release agent composition, the protic polar solvent is 65% to 69% by weight; relative to the total weight of the release agent composition, the amine The compound is 1% to 6% by weight. 如請求項6所述的光阻剝離劑組合物,其中,相對於所述剝離劑組合物的總重量,包含有所述N,N-二甲基丙醯胺的非質子性極性溶劑超過21重量%且不足29重量%;相對於所述剝離劑組合物的總重量,所述質子性極性溶劑為67重量%至77重量%;相對於所述剝離劑組合物的總重量,所述胺系化合物為1重量%至6重量%。如請求項1所述之...,其進一步包含複數個...。 The photoresist stripper composition according to claim 6, wherein the aprotic polar solvent containing the N,N-dimethylpropanamide exceeds 21% relative to the total weight of the stripper composition. % By weight and less than 29% by weight; relative to the total weight of the release agent composition, the protic polar solvent is 67% to 77% by weight; relative to the total weight of the release agent composition, the amine The compound is 1% to 6% by weight. As mentioned in claim 1, which further includes a plurality of...
TW105140609A 2015-12-14 2016-12-08 Photoresist stripper composition TWI723097B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2015-0177871 2015-12-14
KR1020150177871A KR102529951B1 (en) 2015-12-14 2015-12-14 Composition for photoresist stripper and method of manufacturing thin film transistor array using the composition

Publications (2)

Publication Number Publication Date
TW201732461A TW201732461A (en) 2017-09-16
TWI723097B true TWI723097B (en) 2021-04-01

Family

ID=59238938

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105140609A TWI723097B (en) 2015-12-14 2016-12-08 Photoresist stripper composition

Country Status (3)

Country Link
KR (1) KR102529951B1 (en)
CN (1) CN106873319A (en)
TW (1) TWI723097B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107357142B (en) * 2017-07-03 2021-01-26 杭州格林达电子材料股份有限公司 Aqueous photoresist stripping liquid and preparation method thereof
CN108375880B (en) * 2018-02-08 2021-11-19 上海新阳半导体材料股份有限公司 Plasma etching cleaning liquid, preparation method and application thereof
CN109254507A (en) * 2018-10-19 2019-01-22 苏州恒康新材料有限公司 Photoresist release agent and photoresist stripping means

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201132616A (en) * 2009-11-16 2011-10-01 Dongwoo Fine Chem Co Ltd Non-water based resist stripper composition
TW201413402A (en) * 2012-08-20 2014-04-01 Dongjin Semichem Co Ltd Photoresist stripper composition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3160344B2 (en) * 1991-01-25 2001-04-25 アシュランド インコーポレーテッド Organic stripping composition
KR20090072546A (en) * 2007-12-28 2009-07-02 삼성전자주식회사 Composition for removing photoresist and method of manufacturing array substrate using the same
US9081291B2 (en) * 2009-08-11 2015-07-14 Dongwoo Fine-Chem Co., Ltd. Resist stripping solution composition, and method for stripping resist by using same
KR101946379B1 (en) * 2012-11-20 2019-02-11 주식회사 동진쎄미켐 Composition for photoresist stripping solution and stripping method of photoresist using the same
KR102119438B1 (en) * 2013-10-30 2020-06-08 삼성디스플레이 주식회사 Stripper and method for manufacturing display device using the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201132616A (en) * 2009-11-16 2011-10-01 Dongwoo Fine Chem Co Ltd Non-water based resist stripper composition
TW201413402A (en) * 2012-08-20 2014-04-01 Dongjin Semichem Co Ltd Photoresist stripper composition

Also Published As

Publication number Publication date
TW201732461A (en) 2017-09-16
KR102529951B1 (en) 2023-05-08
CN106873319A (en) 2017-06-20
KR20170070871A (en) 2017-06-23

Similar Documents

Publication Publication Date Title
KR101890425B1 (en) Composition for stripping a photoresist and method of manufacturing a display substrate using the same
KR100913048B1 (en) Stripper composition for photoresist
US8084184B2 (en) Composition for removing photoresist and method of manufacturing an array substrate using the same
TWI723097B (en) Photoresist stripper composition
JP5575318B1 (en) Resist stripper
JP5346790B2 (en) Composition for removing photoresist pattern and method for forming metal pattern using the same
JP2006317714A (en) Stripper for photoresist
JP2003107753A (en) Release liquid for resist, method for releasing resist and method for forming thin film circuit element
JP5885046B1 (en) Resist stripper
JP2016095413A (en) Resist removal liquid
KR100850163B1 (en) Stripper composition for photoresist
KR100831886B1 (en) An aqueous resist releasing composition having silicon anticorrosion properties
TWI629352B (en) Receptor stripping solution
KR102291232B1 (en) Composition for stripping a photoresist, method of forming a metal pattern using the same and method of manufacturing a display substrate using the same
TWI629353B (en) Receptor stripping solution
TWI743079B (en) Photoresist stripper composition
JP5885041B1 (en) Resist stripper
TWI629576B (en) Photoresist stripper
KR20210156383A (en) Stripping composition, and method for manufacturing array substrate using the same
CN112805629B (en) Resist stripping liquid
CN112805630B (en) Resist stripping liquid
TWI640842B (en) Photoresist stripper
KR20070092017A (en) Method for exfoliating phtoresist
JP2004184916A (en) Stripper composition for photoresist
KR20000050361A (en) A method of preparing a gate or source/drain electrode pattern for a thin film transistor liquid crystal display device