TWI676632B - 烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法 - Google Patents

烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法 Download PDF

Info

Publication number
TWI676632B
TWI676632B TW107130278A TW107130278A TWI676632B TW I676632 B TWI676632 B TW I676632B TW 107130278 A TW107130278 A TW 107130278A TW 107130278 A TW107130278 A TW 107130278A TW I676632 B TWI676632 B TW I676632B
Authority
TW
Taiwan
Prior art keywords
group
film
cyclic
ethoxy
diethoxy
Prior art date
Application number
TW107130278A
Other languages
English (en)
Other versions
TW201920212A (zh
Inventor
羅伯特G 瑞吉威
Robert G. Ridgeway
雷蒙N 孟提
Raymond N. Vrtis
新建 雷
Xinjian Lei
威廉R 恩特利
William R. Entley
珍妮佛琳恩安妮 艾克泰爾
Jennifer Lynn Anne Achtyl
Original Assignee
美商慧盛材料美國責任有限公司
Versum Materials Us, Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司, Versum Materials Us, Llc filed Critical 美商慧盛材料美國責任有限公司
Publication of TW201920212A publication Critical patent/TW201920212A/zh
Application granted granted Critical
Publication of TWI676632B publication Critical patent/TWI676632B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1896Compounds having one or more Si-O-acyl linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明提供一種經由化學氣相沉積來製造多孔低k介電膜的方法及組合物。在一個態樣中,該方法包含下列步驟:在一反應艙中提供一基材;將包括至少一種包含烷氧基矽環狀或醯氧基矽環狀化合物的結構形成前驅物且含或不含成孔劑(porogen)之氣體試劑引進該反應艙中;在該反應艙中,對該氣體試劑施加能量以引發該氣體試劑反應而在該基材上沉積一預備膜,其中該預備膜含有該成孔劑及該預備膜係經沉積;及從該預備膜移除至少一部分包括在其中的成孔劑及提供該膜具有孔洞及介電常數3.2或較小。在某些具體實例中,該結構形成前驅物進一步包含一硬化添加劑。

Description

烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法
相關申請案之相互參照
本申請案在35 U.S.C.§119(e)下主張2017年8月30日提出的美國臨時專利申請案案號62/552,040之優先權,其全文以參考之方式併入本文。
發明領域
本文描述出一種使用烷氧基矽環狀或醯氧基矽環狀化合物作為結構形成前驅物來形成介電膜之組合物及方法。更特別是,本文描述出一種用以形成多孔低介電常數膜(「低k」膜或具有介電常數約3.2或較低的膜)之組合物及方法,其中該使用來沉積膜的方法係化學氣相沉積(CVD)方法。藉由本文所描述的組合物及方法所製造之低介電膜可使用例如在電子裝置中作為絕緣層。
在電子工業中會使用介電材料作為積體電路(IC)及相關電子裝置之電路與構件間的絕緣層。會縮減線條尺寸來增加微電子裝置(例如,電腦晶片)的速度及記憶體儲存容量。當線條尺寸縮減時,對層間介電質(ILD)的絕緣需求變得更加嚴格。間隔縮小會需要較低的介電常數來最小化RC時間常數,其中R係導線的電阻及C係層間絕緣介電質的電容。電容(C)係與間隔呈反比而與層間介電質(ILD)的介電常數(k)呈正比。習知從SiH4或TEOS(Si(OCH2CH3)4,正矽酸四乙酯)與O2製得的二氧化矽(SiO2)CVD介電膜具有介電常數k大於4.0。工業上 已嘗試數種方法來製造具有較低介電常數之二氧化矽基底的CVD膜,最成功的為以有機基團來摻雜該絕緣氧化矽膜,其提供約2.7至約3.5的介電常數範圍。此有機二氧化矽玻璃典型來自有機矽前驅物諸如甲基矽烷或矽氧烷與氧化劑諸如O2或N2O之沉積,其如為一緻密膜(密度~1.5克/立方公分)。該有機二氧化矽玻璃於此將指為OSG。當介電常數或「k」值降至低於2.7且伴隨要有較高元件密度及較小尺寸時,工業上已用光大部分合適於緻密膜的低k組合物而已轉向多種多孔材料來改良絕緣性質。
在使用CVD方法領域之多孔ILD領域中之專利、已公開的申請案及公告包括:EP 1 119 035 A2及美國專利案號6,171,945,其描述出一種於氧化劑諸如N2O及選擇性過氧化物存在下,從具有不穩定基團的有機矽前驅物來沉積OSG膜之方法,其隨後使用熱退火來移除該不穩定基團而提供一多孔OSG;美國專利案號6,054,206及6,238,751,其教導使用氧化退火來從所沉積的OSG移除基本上全部的有機基團而獲得多孔無機SiO2;EP 1 037 275,其描述出沉積一氫化的碳化矽膜,隨後以氧化電漿處理將其轉換成多孔無機SiO2;及美國專利案號6,312,793 B1、WO 00/24050及文獻論文Grill,A.Patel,V.Appl.Phys.Lett.(2001),79(6),PP.803-805,此等全部教導從一有機矽前驅物與一有機化合物共沉積一膜,隨後熱退火以提供一保留有一部分聚合的有機組分之多相OSG/有機膜。在後者參照中,該膜的最終最後組合物指示出殘餘有成孔劑及含量大約80至90原子%的高烴膜。再者,最後膜保留一類SiO2網狀物,其以一部分的氧原子取代有機基團。
工業上已經認知到的挑戰為具有較低介電常數的膜典型具有較高的孔隙度,此將導致提高物種擴散進該膜中,特別是氣相擴散。此擴散增加可造成因諸如該膜的蝕刻、光阻的電漿灰化及銅表面的NH3電漿處理等製程而從該多孔OSG膜中移除的碳增加。在OSG膜中的碳耗乏可引發下列一或多個問題:該膜的介電常數增加;在溼式清洗步驟期間的膜蝕刻及外貌彎曲;由於疏水性減損,會讓濕氣吸收進膜中;在圖案蝕刻後,於溼式清潔步驟期間,精細外貌的圖案倒塌;及/或當沉積隨後層,諸如但不限於銅擴散阻障物,例如Ta/TaN或先進的Co或MnN障壁層時的整合問題。
這些問題之一或多個的可能解答為使用具有增加的碳含量之多孔OSG膜。第一種方法係使用會在多孔OSG層中產生較高的Si-甲基(Me)基團保留之成孔劑。令人遺憾的是,增加Si-Me含量典型會導致機械性質降低,因此含有更多Si-Me的膜將會負面衝擊到對整合來說重要的機械強度。第二種方法係使用耐破壞性成孔劑(DRP),諸如例如,在美國專利案號8,753,985中所揭示出的成孔劑,其會在UV固化後於該膜中留下額外的非晶相碳。在某些情況中,此殘餘碳不會負面衝擊介電常數或機械強度。但是,使用該DRP來在這些膜中獲得明顯較高的碳含量有困難。
已建議的更另一種解決方式係使用具有通式Rx (RO)3-x Si(CH2 )y SiRz (OR)3-z 之以伸乙基或亞甲基橋接的二矽氧烷,其中x=0-3,y=1或2,z=0-3。咸信使用橋接物種且藉由以橋接碳鏈置換橋接氧會避免對機械性的負面衝擊,因為其網絡連結度將仍然相同。此源自於咸信以終端甲基來置換橋接氧時將因網絡連結度降低而降低機械強度。以此方式,可以1-2個碳原子來置換氧原子以增加C的原子重量百分比(%)而沒有降低機械強度。但是,這些橋接前驅物通常由於具有二個矽基團而增加分子量而具有非常高的沸點。沸點增加可使得以氣相試劑將該化學前驅物輸送進反應艙中而不在蒸氣輸送線中或製程泵排出時凝結有困難,此進而會負面衝擊該製造製程。
因此,在技藝中,對提供一在沉積後具有增加的碳含量且不會遭遇到上述提及的缺點之膜的介電質前驅物有需求。
於本文中描述出的方法及組合物滿足上述之一或多種需求。於本文中所描述的方法及組合物使用烷氧基矽環狀或醯氧基矽環狀化合物,諸如例如,1,1-二甲氧基-1-矽環戊烷(DMSCP)或1,1-二乙氧基-1-矽環戊烷(DESCP)作為結構形成前驅物,其在與成孔劑前驅物共沉積後及在UV固化以移除該成孔劑及硬化如所沉積的膜後提供一多孔低k介電膜,其在相同介電常數下與使用先述技藝的結構形成前驅物諸如二乙氧基甲基矽烷(DEMS)之膜具有類似的機械性質。再者,使用於本文中所描述的烷氧基矽環狀或醯氧基矽環狀化合物作為結構形成前驅物所沉積之膜包含相對較高的碳量。此外,相對於其它先述技藝的結構形成前驅物諸如本質上具有2個矽基團且具有較高Mw及較高沸點之橋接前驅物(例如,經亞甲基或伸乙基橋接的二矽烷或二矽氧烷前驅物),於本文中所描述的烷氧基矽環狀或醯氧基矽環狀化合物具有較低分子量(Mw),因此使得於本文中所描述的烷氧基矽環狀或醯氧基矽環狀前驅物更方便例如以高容積製造製程進行加工。
本文描述出一種多孔介電膜,其包含:一由式Siv Ow Cx Hy Fz 表示的材料,其中v+w+x+y+z = 100%,v係10至35原子%,w係10至65原子%,x係5至45原子%,y係10至50原子%及z係0至15原子%,其中該膜具有體積孔隙度5.0至30.0%的孔洞、介電常數2.3至3.2及諸如硬度1.0至7.0及彈性模數4.0至40.0的機械性質。在某些具體實例中,該膜包含較高的碳含量(10-40%),如藉由X射線分光光譜儀(XPS)測量;及當曝露至例如O2 或NH3 電漿時,其具有減低的碳移除深度,如藉由檢驗由XPS深度曲線決定的碳含量來測量。
在一個態樣中,有提供一種用於介電膜的氣相沉積之組合物,其包含具有下列式I之烷氧基矽環狀或醯氧基矽環狀化合物:(I), 其中X及Y係各自獨立地選自於由OR1 、OR2 及OC(O)R3 所組成之群,其中R1-3 各者係各自獨立地選自於由下列所組成之群:線性或分枝的C1 至C10 烷基、線性或分枝的C2 至C10 烯基、線性或分枝的C2 至C10 炔基、C3 至C10 環烷基、C3 至C10 雜環烷基、C5 至C10 芳基及C3 至C10 雜芳基;及R4 係C3 至C5 烷基二基,其與該Si原子形成四員、五員或六員飽和環狀環,及其中該化合物實質上無一或多種選自於由鹵化物及水所組成之群的雜質。
另一個態樣,有提供一種用以製造多孔介電膜的化學氣相沉積方法,其包含: 將一基材提供進一反應艙中; 將一氣體試劑引進該反應艙中,其中該氣體試劑包含:一結構形成前驅物及選擇性至少一種氧來源,其中該前驅物包含具有下列式I的烷氧基矽環狀或醯氧基矽環狀化合物:(I), 其中X及Y係各自獨立地選自於由OR1 、OR2 及OC(O)R3 所組成之群,其中R1-3 各者係各自獨立地選自於由下列所組成之群:線性或分枝的C1 至C10 烷基、線性或分枝的C2 至C10 烯基、線性或分枝的C2 至C10 炔基、C3 至C10 環烷基、C3 至C10 雜環烷基、C5 至C10 芳基及C3 至C10 雜芳基;及R4 係C3 至C5 烷基二基,其與該Si原子形成四員、五員或六員飽和環狀環; 在該反應艙中,對該氣體試劑施加能量以引發該氣體試劑反應而於該基材上沉積一預備膜,其中該預備膜含有該成孔劑;及從該預備膜中移除實質上全部成孔劑,以提供一具有孔洞及介電常數低於3.2之多孔膜。在某些具體實例中,該結構形成前驅物進一步包含一硬化添加劑。
在更另一個態樣中,有提供一種用以製造多孔介電膜之化學氣相沉積方法,其包含: 將一基材提供進一反應艙中; 將一氣體試劑引進該反應艙中,其中該氣體試劑包含:一結構形成前驅物及選擇性至少一種成孔劑及選擇性至少一種氧來源,其中該前驅物包含具有下列式I的烷氧基矽環狀或醯氧基矽環狀化合物:(I), 其中X及Y係各自獨立地選自於由OR1 、OR2 及OC(O)R3 所組成之群,其中R1-3 各者係各自獨立地選自於線性或分枝的C1 至C10 烷基、線性或分枝的C2 至C10 烯基、線性或分枝的C2 至C10 炔基、C3 至C10 環烷基、C3 至C10 雜環烷基、C5 至C10 芳基及C3 至C10 雜芳基;及R4 係C3 至C5 烷基二基,其與該Si原子形成四員、五員或六員飽和環狀環;及 在該反應艙中,對該氣體試劑施加能量以引發該氣體試劑反應而於該基材上沉積一預備膜,其中該預備膜含有該成孔劑;及從該預備膜中移除實質上全部的成孔劑以提供一具有孔洞及介電常數低於3.2之多孔膜。在某些具體實例中,該結構形成前驅物進一步包含一硬化添加劑。
本發明的具體實例及特徵可單獨或彼此組合著使用。
較佳實施例之詳細說明 於本文中描述出一種用以製造多孔低k介電膜之化學氣相沉積(CVD)方法,其包含:在一反應艙中提供一基材;將一氣體試劑引進該反應艙中,其中該氣體試劑包括至少一種結構形成前驅物及一成孔劑,其中該前驅物包含一烷氧基矽環狀或醯氧基矽環狀化合物,諸如例如,1,1-二甲氧基-1-矽環戊烷或1,1-二乙氧基-1-矽環戊烷;在該反應艙中,對該氣體試劑施加能量以引發該氣體試劑反應而於該基材上沉積一預備膜,其中該預備膜含有該成孔劑及該有機矽酸鹽玻璃;及從該預備膜中移除實質上全部的成孔劑,以提供該多孔膜具有孔洞及介電常數低於3.2。
與先述技藝的結構形成前驅物諸如二乙氧基甲基矽烷(DEMS)比較,於本文中所描述的烷氧基矽環狀或醯氧基矽環狀化合物提供獨特的屬性,其使得可在該介電膜中併入更多碳含量且在該介電膜的機械性質上有較少衝擊。例如,DEMS提供一混合的配位基系統,其中在DEMS中含有二個烷氧基、一個甲基及一個負氫基,其提供一平衡的反應性位置及允許形成更機械耐用的膜同時保留想要的介電常數。使用烷氧基矽環狀或醯氧基矽環狀化合物的優點為不會在該前驅物中提供趨向於降低機械強度的終端甲基,同時在該矽環狀環中的碳會將碳提供給OSG膜來降低介電常數及灌注疏水性。
該低k介電膜係有機二氧化矽玻璃(「OSG」)膜或材料。有機矽酸鹽係低k材料的候選物,但是沒有加入成孔劑來將孔隙度加入至這些材料時,其固有介電常數極限至係低如3.2。孔隙空間具有固有介電常數1.0,加入孔隙度通常會在付出機械性質下降低該膜之整體介電常數。該材料性質將依該膜之化學組合物及結構而定。因為該有機矽前驅物的型式在膜結構及組合物上具有強的效應,使用可提供所需要的膜性質之前驅物會有幫助,以便保證加入所需要的量之孔隙度而達到想要的介電常數且不會產生機械不健全的膜。於本文中所描述的方法及組合物提供一產生多孔低k介電膜的工具,其中該介電膜具有想要的電及機械性質平衡和其它有益的膜性質如高碳含量,以提供改良的整合電漿阻抗。
在本文所描述的方法及組合物之某些具體實例中,該含矽介電材料層係使用一反應艙,經由化學氣相沉積(CVD)方法沉積在至少一部分的基材上。合適的基材包括但不限於半導體材料,諸如砷化鎵(「GaAs」)、矽及含矽的組合物,諸如結晶矽、多晶矽、非晶矽、磊晶矽、二氧化矽(「SiO2 」)、矽玻璃、氮化矽、熔融的二氧化矽、玻璃、石英、硼矽酸鹽玻璃及其組合。其它合適的材料包括鉻、鉬及在半導體、積體電路、平板顯示器及可撓式顯示器應用中通常使用的其它金屬。該基材可具有額外層,諸如例如,矽、SiO2 、有機矽酸鹽玻璃(OSG)、氟化的矽酸鹽玻璃(FSG)、碳氮化硼、碳化矽、氫化的碳化矽、氮化矽、氫化的氮化矽、碳氮化矽、氫化的碳氮化矽、氮化硼、有機無機複合材料、光阻、有機聚合物、多孔有機及無機材料及複合物;金屬氧化物,諸如氧化鋁及氧化鍺。又進一步層亦可係鍺矽酸鹽、鋁矽酸鹽、銅及鋁;及擴散障壁材料,諸如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。
在某些具體實例中,該含矽介電材料層係藉由將一包括至少一種結構形成前驅物且含或不含成孔劑前驅物的氣體試劑引進該反應艙中來沉積在至少一部分的基材上,其中該結構形成前驅物包含一烷氧基矽環狀或醯氧基矽環狀化合物。在另一個具體實例中,該含矽介電材料層係藉由將一氣體試劑引進該反應艙中而沉積在至少一部分的基材上,其中該氣體試劑包括至少一種結構形成前驅物與一硬化添加劑,其中該結構形成前驅物包含一烷氧基矽環狀或醯氧基矽環狀化合物。
於本文中所描述的方法及組合物使用一具有下列式I之烷氧基矽環狀或醯氧基矽環狀化合物:(I), 其中X及Y係各自獨立地選自於由OR1 、OR2 及OC(O)R3 所組成之群,其中R1-3 係各自獨立地選自於由下列所組成之群:線性或分枝的C1 至C10 烷基、線性或分枝的C2 至C10 烯基、線性或分枝的C2 至C10 炔基、C3 至C10 環烷基、C3 至C10 雜環烷基、C5 至C10 芳基及C3 至C10 雜芳基;及R4 係C3 至C5 烷基二基,其與該Si原子形成四員、五員或六員飽和環狀環。
在上述式中及遍及本說明,用語「烷基」指示為具有1至10個碳原子之線性或分枝的官能基。該範例性線性烷基包括但不限於甲基、乙基、正丙基、丁基、戊基及己基。該範例性分枝烷基包括但不限於異丙基、異丁基、二級丁基、三級丁基、異戊基、三級戊基、異己基及新己基。在某些具體實例中,該烷基可接附有一或多個官能基,諸如但不限於烷氧基,諸如甲氧基、乙氧基、異丙氧基及正丙氧基;二烷基胺基,諸如二甲基胺基或其組合接附至此。在其它具體實例中,該烷基未接附有一或多個官能基。該烷基可係飽和,或任擇地,不飽和。
在上述式I中及遍及本說明,用語「環烷基」指示為具有3至10個碳原子的環狀官能基。該範例性環烷基包括但不限於環丁基、環戊基、環己基及環辛基。
在上述式I中及遍及本說明,用語「雜環狀」指示為C3 至C10 雜環烷基,諸如環氧基。
在上述式I中及遍及本說明,用語「烯基」指示為一具有一或多個碳-碳雙鍵及具有2至10、或2至10、或2至6個碳原子的基團。
在上述式I中及遍及本說明,用語「炔基」指示為一具有一或多個碳-碳三鍵及具有3至10、或2至10、或2至6個碳原子的基團。
在上述式I中及遍及本說明,用語「芳基」指示為一具有5至10個碳原子,或6至10個碳原子的芳香族環狀官能基。該範例性芳基包括但不限於苯基、苄基、氯苄基、甲苯基及鄰-茬基。
在上述式I中及遍及本說明,用語「雜芳基」指示為C3 至C10 雜環芳基、1,2,3-***基、吡咯基及呋喃基。
在某些具體實例中,式I或其它方面所描述的一或多個化學部分可「未經取代」或「經取代」。如於本文中所使用,「經取代」的原子或部分指示出在所標明出的化合物或部分上之任何氫原子可由選自於所指示出的取代基置換,其限制條件為不超過所標明出的化合物或部分之正常價數,及該取代會產生穩定的化合物。例如,若甲基係選擇性經取代時,則在該甲基中的碳原子上之1、2或3個氫原子可由1、2或3個所敘述的取代基置換。
在上述式I中,該取代基R4 係C3 至C10 烷基二基,其與該Si原子形成四員、五員或六員環狀環。如將由熟悉人士了解,R4 係一經取代或未經取代的烴鏈,其與該Si原子連結在一起以形成在式I中的環,其中該環係四員、五員或六員環。在這些具體實例中,該環結構可係飽和環,諸如例如,環烷基環。該範例性飽和環包括但不限於矽環丁烷、矽環戊烷及矽環己烷,較佳為矽環戊烷或經烷基諸如甲基取代的矽環戊烷。
遍及本說明,用語「烷氧基矽環狀」指為具有至少一個Si-烷氧基及一個具有一個矽原子且無碳-碳雙鍵的環狀環之化合物。
遍及本說明,用語「醯氧基矽環狀」指為具有至少一個Si-醯氧基及一個具有一個矽原子且無碳-碳雙鍵的環狀環化合物。
遍及該說明,用語「氧來源」指為包含氧(O2 )的氣體、氧與氦之混合物、氧與氬之混合物、一氧化二氮(N2 O)、氧化氮(NO)、二氧化碳、一氧化碳及其組合。
遍及本說明,用語「介電膜」指為一包含矽及氧原子且具有Siv Ow Cx Hy Fz 之組合物的膜,其中v+w+x+y+z=100%,v係10至35原子%,w係10至65原子%,x係5至40原子%,y係10至50原子%及z係0至15原子%。
在式I的某些具體實例中,R1 係選自於由甲基及乙基所組成之群;R2 係選自於由甲基、乙基及異丙基所組成之群;及R4 與該Si原子形成四員、五員或六員飽和環狀環。在某些具體實例中,該含有Si原子的四員、五員或六員飽和環狀環可在該環結構上具有至少一個烷基取代基,諸如甲基。這些具體實例的實施例如下: 1,1-二甲氧基-1-矽環戊烷 1,1-二乙氧基-1-矽環戊烷 1,1-二正丙氧基-1-矽環戊烷 1,1-二異丙氧基-1-矽環戊烷 1,1-二甲氧基-1-矽環丁烷 1,1-二乙氧基-1-矽環丁烷 1,1-二正丙氧基-1-矽環丁烷 1,1-二異丙氧基-1-矽環丁烷 1,1-二甲氧基-1-矽環己烷 1,1-二乙氧基-1-矽環己烷 1,1-二正丙氧基-1-矽環己烷 1,1-二異丙氧基-1-矽環己烷 1-甲氧基-1-乙醯氧基-1-矽環戊烷 1,1-二乙醯氧基-1-矽環戊烷 1-甲氧基-1-乙醯氧基-1-矽環丁烷 1,1-二乙醯氧基-1-矽環丁烷 1-甲氧基-1-乙醯氧基-1-矽環己烷 1,1-二乙醯氧基-1-矽環己烷 1-乙氧基-1-乙醯氧基-1-矽環戊烷 1-乙氧基-1-乙醯氧基-1-矽環丁烷
在某些具體實例中,於本文中所描述的組合物及方法係使用該烷氧基矽環狀或醯氧基矽環狀化合物,1,1-二甲氧基矽環戊烷(DMSCP)或1,1-二乙氧基矽環戊烷(DESCP)或1,1-二乙醯氧基-1-矽環戊烷作為該結構形成前驅物,其具有下列結構:
於本文中所描述的烷氧基矽環狀或醯氧基矽環狀化合物及包含其之方法與組合物較佳為實質上無一或多種雜質,諸如但不限於鹵離子及水。如於本文中所使用,用語「實質上無」當其係關於每種雜質時,其意謂著每百萬份有每種雜質100份(ppm)或較少,50 ppm或較少,10 ppm或較少,及5 ppm或較少,1 ppm較少,諸如但不限於氯化物或水。
在某些具體實例中,根據本發明之烷氧基矽環狀或醯氧基矽環狀化合物及包含具有根據本發明的式I之化合物的組合物較佳為實質上無鹵離子。如於本文中所使用,用語「實質上無」當其係關於鹵離子(或鹵化物)諸如例如,氯化物(即,含氯化物物種,諸如HCl;或具有至少一個Si-Cl鍵的矽化合物,諸如四氯化矽、二氯二乙氧基矽烷)及氟化物、溴化物及碘化物時,其意謂著藉由ICP-MS測量係少於5 ppm(以重量計),較佳為藉由ICP-MS測量少於3 ppm,及更佳為藉由ICP-MS測量少於1 ppm,及最佳為藉由ICP-MS測量0 ppm。氯化物已知作用為具有式I的化合物之分解觸媒。在最後產物中,明顯程度的氯化物可造成該矽前驅物化合物降解。該烷氧基矽環狀或醯氧基矽環狀化合物的逐漸降解可直接衝擊該膜沉積方法,使得半導體製造商難以符合膜規格。此外,閑置壽命或穩定性會因烷氧基矽環狀或醯氧基矽環狀化合物的較高降解速率而受負面衝擊,因此使得難以保證1-2年的閑置壽命。該具有式I之烷氧基矽環狀或醯氧基矽環狀化合物較佳為實質上無金屬離子,諸如Li+ 、Na+ 、K+ 、Mg2+ 、Ca2+ 、Al3+ 、Fe2+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 。如於本文中所使用,用語「實質上無」當其係關於Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr時,其意謂著少於5 ppm(以重量計),較佳為少於3 ppm,及更佳為少於1 ppm,及最佳為0.1 ppm,如藉由ICP-MS測量。在某些具體實例中,該具有式I的烷氧基矽環狀或醯氧基矽環狀化合物係無金屬離子,諸如Li+ 、Na+ 、K+ 、Mg2+ 、Ca2+ 、Al3+ 、Fe2+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 。如於本文中所使用,用語「無」金屬雜質當其係關於Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr、貴金屬諸如來自在合成時所使用的釕或鉑觸媒之揮發性Ru或Pt錯合物時,其意謂著少於1 ppm,較佳為0.1 ppm(以重量計),如藉由ICP-MS或用來測量金屬的其它分析方法測量。
根據本發明之實質上無鹵化物的組合物可藉由下列達成:(1)減少或消除在化學合成期間的氯化物來源;及/或(2)執行有效的純化方法來從粗產物移除氯化物,如此最後經純化的產物係實質上無氯化物。該氯化物來源可藉由在合成期間使用不包括鹵化物的試劑諸如氯二矽烷、溴二矽烷或碘二矽烷,因此避免製造出包括鹵離子的副產物而減少。此外,前述提及的試劑應該實質上無氯化物雜質,如此所產生的粗產物係實質上無氯化物雜質。以類似方式,該合成應該不使用鹵化物基底的溶劑、觸媒、或包括無法接受的高鹵化物污染物程度之溶劑。該粗產物亦可藉由多種純化方法處理以提供最後產物實質上無鹵化物,諸如氯化物。此等方法在先述技藝中有充分描述,及可包括但不限於諸如蒸餾或吸附的純化方法。通常使用蒸餾,利用沸點差異來分開雜質與想要的產物。亦可使用吸附,利用該等組分之不同的吸附性質實現分離,如此最後產物實質上無鹵化物。可使用諸如例如可商業購得的MgO-Al2 O3 摻合物之吸附劑來移除鹵化物,諸如氯化物。
雖然先述技藝的含矽結構形成前驅物諸如例如DEMS,一旦在反應艙中提供能量時會聚合而於聚合物骨架中形成具有-O-鏈結(例如,-Si-O-Si-或-Si-O-C-)的結構,咸信烷氧基矽環狀或醯氧基矽環狀化合物,諸如例如,MESCAP分子會聚合而形成一在骨架中之某些-O-跨橋由-CH2 -亞甲基或-CH2 CH2 -伸乙基跨橋置換的結構。在使用DEMS作為結構形成前驅物所沉積的膜中,其中該碳主要以終端Si-Me基團形式存在,於Si-Me%(直接與C%相關)對機械強度間有一關係,其中以二個終端Si-Me基團來置換橋接Si-O-Si基團會因為網狀結構破裂而降低機械性質。在烷氧基矽環狀或醯氧基矽環狀化合物的情況中,咸信該環狀結構係在膜沉積或固化製程(以移除至少部分或實質上全部包括在如所沉積的膜中之成孔劑前驅物)期間斷裂而形成SiCH2 Si或SiCH2 CH2 Si橋接基團。以此方式,可併入呈橋接基團形式的碳,使得從機械強度來看,該網狀結構不會因在膜中的碳含量增加而破裂。不意欲由特別的理論界限,咸信此歸因於對該膜加入碳,此允許該膜對該多孔OSG膜因應諸如該膜的蝕刻、光阻的電漿灰化及銅表面的NH3 電漿處理等製程之碳耗乏更有彈性。在該OSG膜中的碳耗乏可造成該膜之缺陷介電常數增加和在溼式清洗步驟期間有膜蝕刻及外貌彎曲的問題,及/或當沉積銅擴散阻障物時的整合問題。
該用以沉積於本文中所描述的介電膜之組合物包含:約5至約60重量百分比之包含具有式I的烷基-烷氧基矽環狀化合物之結構形成前驅物;及約40至約95重量百分比之成孔劑前驅物,依該成孔劑前驅物的本質而定。
在本文所包含的方法及組合物之某些具體實例中,該結構形成前驅物進一步包含一具有式R1 n Si(OR2 )4-n 的硬化添加劑,其中R1 及R2 係如上述定義及n=0、1、2、3,其將增加機械強度。該硬化添加劑的實施例包括四烷氧基矽烷,其中在R1 n Si(OR2 )4-n 中於n=0時,諸如例如,四乙氧基矽烷(TEOS)或四甲氧基矽烷(TMOS)。該硬化添加劑的另一個實施例包括二烷基二烷氧基矽烷,諸如二甲基二甲氧基矽烷、二甲基二乙氧基矽烷。在使用硬化添加劑的具體實例中,該結構形成部分之組合物包含總前驅物流的約30至約95重量百分比之包含具有式I的烷基-烷氧基矽環狀化合物之結構形成前驅物、約5至約70重量百分比的硬化添加劑、及約40至約95重量百分比之成孔劑前驅物。
如先前提及,該氣體試劑進一步包含一或多種成孔劑前驅物,其與該至少一種包含烷氧基矽環狀或醯氧基矽環狀化合物諸如例如1,1-二甲氧基-1-矽環戊烷的結構形成前驅物一起引進該反應艙中。下列係合適於用於根據本發明的用途使用作為成孔劑材料之非為限制的實施例:
1)通式Cn H2n 之環狀烴,其中n=4-14,其中在該環狀結構中之碳數係在4至10間,及其中在該環狀結構上可有(即,選擇性)複數個簡單或分枝烴取代。 實施例包括環己烷、1,2,4-三甲基環己烷、1-甲基-4-(1-甲基乙基)環己烷、環辛烷、甲基環辛烷、甲基環己烷等等。
2)通式Cn H(2n+2)-2y 之線性或分枝、飽和、單或多不飽和烴,其中n=2-20及其中y=0-n。 實施例包括乙烯、丙烯、乙炔、新己烷、1,3-丁二烯、2-甲基-1,3-丁二烯、2,3-二甲基-2,3-丁二烯、經取代的二烯等等。
3)通式Cn H2n-2x 之單或多不飽和環狀烴,其中x係在該分子中的不飽和位置數,n=4-14,其中在該環狀結構中之碳數係於4至10間,及其中在該環狀結構上可有複數個簡單或分枝烴取代。該不飽和可位於內環中或於該環狀結構的烴取代基之一上。 實施例包括對-傘花烴、環辛烯、1,5-環辛二烯、二甲基-環辛二烯、環己烯、乙烯基-環己烷、二甲基環己烯、α-萜品烯、松油、苧烯、乙烯基-環己烯等等。
4)通式Cn H2n-2 的雙環烴,其中n=4-14,其中在該雙環結構中之碳數係於4至12間,及其中在該環狀結構上可有複數個簡單或分枝烴取代。 實施例包括降莰烷、螺壬烷、十氫萘等等。
5)通式Cn H2n-(2+2x) 的多不飽和雙環烴,其中x係在該分子中的不飽和位置數,n=4-14,其中在該雙環結構中之碳數係於4至12間,及其中在該環狀結構上可有複數個簡單或分枝烴取代。該不飽和可位於內環中或於該環狀結構的烴取代基之一上。 實施例包括樟腦、降莰烷烯、降莰烷二烯、5-亞乙基-2-降莰烷烯等等。
6)通式Cn H2n-4 的三環烴,其中n=4-14,其中在該三環結構中之碳數係於4至12間,及其中在該環狀結構上可有複數個簡單或分枝烴取代。 實施例包括金剛烷。
雖然於本文中所使用的說法「氣體試劑」有時描述為試劑,該說法意欲包括以氣體直接輸送至反應器、以蒸發的液體、昇華的固體輸送及/或藉由惰性載體氣體運輸進該反應器中的試劑。
此外,該試劑可與可區別的來源分別地或以混合物被攜帶進該反應器中。該等試劑可藉由任何數目的工具輸送至該反應器系統,較佳為使用安裝有適合的閥及配件之可加壓式不銹鋼容器以允許該液體被輸送至該製程反應器。
除了該結構形成物種及該孔洞形成物種外,可在該沉積反應前、期間及/或後選擇性將額外的材料引進該反應艙中。此等材料包括例如惰性氣體(例如,He、Ar、N2 、Kr、Xe等等,其可使用作為較低揮發性前驅物的載體氣體及/或其可促進如所沉積的材料固化及提供更穩定的最後膜)及反應性物質,諸如含氧物種,諸如例如O2 、O3 及N2 O、氣體或液體有機物質、NH3 、H2 、CO2 或CO。在一個特別的具體實例中,引進該反應艙中的反應混合物包含至少一種選自於由下列所組成之群的氧化劑:O2 、N2 O、NO、NO2 、CO2 、水、H2 O2 、臭氧及其組合。在任擇的具體實例中,該反應混合物不包含氧化劑。
對該氣體試劑施加能量以引發氣體反應及在該基材上形成膜。此能量可由例如電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、遠距電漿、熱絲及熱(即,非細絲)及方法提供。可使用二次rf頻率來源來修改在基材表面處的電漿特徵。較佳的是,該膜係藉由電漿輔助化學氣相沉積(「PECVD」)形成。
每種氣體試劑的流速範圍較佳為每單200毫米晶圓係10至5000 sccm,更佳為30至1000 sccm。對各別的速率進行選擇,以便在該膜中提供想要的結構形成及成孔劑之量。所需要的實際流速可依晶圓尺寸及艙組態而定,及決不限制為200毫米晶圓或單一晶圓艙。
在某些具體實例中,該膜係以每分鐘約50奈米(nm)之沉積速率進行沉積。
在沉積期間,於反應艙中的壓力範圍係約0.01至約600托耳或約1至15托耳。
該膜的較佳沉積厚度係0.002至10微米,然而如需要,該厚度可進行改變。沉積在未圖形化的表面上之毯覆膜具有優良的均勻性,其穿越該基材的厚度變異在少於2%的1個標準差內,排除合理的邊緣,例如,在均勻性的統計學計算中不包括該基材的5毫米最外邊邊緣。
該膜的孔隙度可隨著容積密度相應減低而增加,而造成該材料的介電常數進一步減低及擴大將此材料用至未來世代(例如,k<2.0)的可行性。
如先前提到,於隨後的移除步驟中移除包括在如所沉積的膜中之成孔劑前驅物的至少一部分至實質上全部的成孔劑前驅物。藉由一或多種下列處理來進行該成孔劑前驅物之移除:熱處理、紫外光處理、電子束處理、γ輻射處理及其組合。在一個特別的具體實例中,該成孔劑移除步驟係藉由UV處理步驟、熱處理步驟或其組合進行。在後者具體實例中,於至少一部分的熱處理期間進行該UV處理步驟。
若在經退火的多孔OSG與沒有加入成孔劑的類似OSG間之原子組合物無統計顯著性測量差異時,假設係移除包括在如所沉積的膜中之至少一部分至實質上全部的成孔劑。如於本文中所使用,用語「實質上無」當其係關於移除在如所沉積的膜中之成孔劑前驅物時,其意謂著約2%或較少,或約1%或較少,或約50 ppm或較少,或約10 ppm或較少,或約5 ppm或較少的成孔劑,如藉由XPS或其它工具測量。用於組合物的分析方法(例如,X射線光電子光譜(XPS)、Rutherford背向散射/氫前向散射(RBS/HFS))之固有測量誤差及製程變異性二者促成該資料的範圍。對XPS來說,該固有測量誤差係大約+/-2原子%,同時對RBS/HFS來說,此預計係較大,其範圍依物種係+/-2至5原子%。該製程變異性將對該資料的最後範圍貢獻另外+/-2原子%。
相對於使用技藝已知之其它結構形成前驅物所沉積的其它多孔低k介電膜,本發明的較佳具體實例提供一種具有低介電常數及經改良的機械性質、熱穩定性及抗化性(對氧、水性氧化環境等等)之薄膜材料。於本文中所描述之包含具有式I的烷基-烷氧基-及醯基矽環狀烷氧基矽環狀或醯氧基矽環狀化合物之結構形成前驅物提供較高的碳併入該碳(較佳為主要呈有機碳形式,-CHx ,其中x係1至3)的膜中,藉此使用特定的前驅物或網狀物形成化學物質來沉積膜。在某些具體實例中,在該膜中的多數氫係鍵結至碳。
根據於本文中所描述的組合物及方法所沉積之低k介電膜包含:(a)約10至約35原子%,更佳為約20至約30原子%的矽;(b)約10至約65原子%,更佳為約20至約45原子%的氧;(c)約10至約50原子%,更佳為約15至約40原子%的氫;(d)約5至約40原子%,更佳為約10至約45原子%的碳。該膜亦可包括約0.1至約15原子%,更佳為約0.5至約7.0原子%的氟,以改良一或多種材料性質。亦可於本發明之某些膜中存在有較少部分的其它元素。OSG材料係視為低k材料,因為其介電常數係低於傳統在工業中所使用的標準材料,二氧化矽玻璃。本發明之材料可藉由下列提供:將孔洞形成物種或成孔劑加入至該沉積程序,將該成孔劑併入如所沉積的(即,預備)OSG膜中及從該預備膜移除實質上全部的成孔劑,同時實質上保留該預備膜之終端Si-CH3 基團或橋接-(CH2 )x -以提供該產物膜。該產物膜係多孔OSG及具有介電常數係低於預備膜和沒有成孔劑而沉積的類似膜。重要的是,如與缺乏疏水性的多孔無機SiO2 相反,本發明之膜可辨別為多孔OSG,其中該疏水性係由在OSG中的有機基團提供。
藉由CVD TEOS製得的二氧化矽例如具有固有自由體積孔洞尺寸以等效球直徑(equivalent spherical diameter)計係約0.6奈米,其係藉由正電子湮滅壽命光譜(positron annihilation lifetime spectroscopy)(PALS)分析決定。本發明的膜之孔洞尺寸以等效球直徑計較佳為少於5奈米,更佳為以等效球直徑計少於2.5奈米,如藉由小角度中子散射(SANS)或PALS決定。
該膜的總孔隙度可依製程條件及想要的最後膜性質而係5至75%。本發明的膜較佳為具有密度少於2.0克 /毫升,或任擇地,少於1.5克/毫升,或少於1.25克/毫升。較佳的是,本發明的膜具有密度少於沒有成孔劑而製造的類似OSG膜至少10%,更佳為少至少20%。
該膜的孔隙度不需要遍及該膜呈均勻。在某些具體實例中,可有孔隙度梯度及/或不同的孔隙度層。此等膜可藉由在沉積期間例如調整成孔劑對前驅物之比率而提供。
相對於具有介電常數範圍2.8至3.8的常見OSG材料,本發明之膜具有較低的介電常數。較佳的是,本發明之膜具有介電常數少於沒有成孔劑而製造的類似OSG膜至少0.3,更佳為少至少0.5。較佳的是,本發明的多孔膜之Fourier轉換紅外線(FTIR)光譜與藉由與本方法實質上相同的製程除了缺乏任何成孔劑外所製備之參考膜的參考FTIR實質上相同。
本發明的膜亦可包括氟,其係呈無機氟(例如,Si-F)形式。當存在時,所包含的氟量範圍較佳為0.5至7原子%。
本發明的膜熱安定且具有好的抗化性。特別是,在等溫425℃的N2 中退火後之較佳膜具有平均重量損失少於1.0重量%/小時。再者,該等膜較佳為在等溫425℃的空氣中具有平均重量損失少於1.0重量%/小時。
該等膜合適於多種用途。該膜特別合適於沉積在半導體基材上,及特別合適於使用作為例如絕緣層、層間介電層及/或金屬間介電層。該膜可形成一保形塗層。這些膜所具有的機械性質使得其特別合適於使用在Al減去(Al subtractive)技術及Cu鑲嵌或雙金屬鑲嵌技術。
該膜係與化學機械平坦化(CMP)及各向異性蝕刻相容,及能黏附至多種材料,諸如矽、SiO2 、Si3 N4 、OSG、FSG、碳化矽、氫化的碳化矽、氮化矽、氫化的氮化矽、碳氮化矽、氫化的碳氮化矽、氮化硼、抗反射塗層、光阻、有機聚合物、多孔有機及無機材料;金屬,諸如銅及鋁;及擴散障壁層,諸如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN或W(C)N。該膜較佳為能黏附至前述材料之至少一種且足以通過習知的拉扯測試,諸如ASTM D3359-95a膠帶拉扯測試(tape pull test)。若無可識別的膜移除時,該樣品視為已通過該測試。
因此在某些具體實例中,該膜係在積體電路中的絕緣層、層間介電層、金屬間介電層、覆蓋層、化學機械平坦化(CMP)或蝕刻停止層、障壁層或黏附層。
雖然於本文中所描述的膜係均勻沉積的介電膜,該膜當使用在全整合結構中時,其可實際上由一些三明治夾層組成,例如,沉積一薄層且在底部或頂端處包括些微或無成孔劑,或可在有較低的成孔劑前驅物流比率之條件下沉積一層,任擇地例如可在較高的電漿功率下沉積一層,如此並非全部的成孔劑前驅物皆可藉由UV處理移除。可使用這些三明治夾層來提高二次整合性質,諸如例如黏附力、蝕刻選擇性或電遷移性能。
雖然本發明特別合適於以膜提供及本發明的產物於本文中大部分以膜描述,但本發明不限於此。本發明的產物可以任何能藉由CVD沉積的形式提供,諸如塗層、多層組合、及不需為平面或薄的其它物體型式、及許多不需使用在積體電路中的物體。較佳的是,該基材係半導體。
除了本發明的OSG產物外,本揭示包括製得該產物之方法、使用該產物及化合物的方法及對製備該產物有用的組合物。例如,在美國專利案號6,583,049中揭示出一種用以在半導體裝置上製造積體電路的方法,其於此以參考方式併入本文。
在所沉積的膜中之成孔劑可與或可不與引進至該反應艙的成孔劑呈相同形式。同樣地,該成孔劑移除方法可從該膜釋放出該成孔劑或其碎片。在本質上,該成孔劑試劑(或接附至該前驅物的成孔劑取代基)、在該預備膜中的成孔劑及欲移除的成孔劑可係或可不是相同物種,然而較佳為它們全部源自於該成孔劑試劑(或成孔劑取代基)。不管該成孔劑遍及本發明的方法是否未改變,如於本文中所使用的用語「成孔劑」意欲包括孔洞形成試劑(或孔洞形成取代基)及其衍生物,且呈其遍及本發明的整個方法所發現之諸如此的形式。
本發明的組合物可進一步包含例如至少一個安裝有適合的閥及配件之可加壓容器(較佳為不銹鋼),以允許將該成孔劑及烷氧基矽環狀或醯氧基矽環狀前驅物輸送至該製程反應器。該容器的內容物可經預混合。任擇地,可將該成孔劑及前驅物維持在分別的容器中或在具有分隔工具的單一容器中,用以於儲存期間將該成孔劑與前驅物維持成分開。此容器亦可具有一當想要時用以混合該成孔劑及前驅物的工具。
該成孔劑係藉由固化步驟從該預備(或如所沉積的)膜移除,其可包含熱退火、化學處理、就地或遠距電漿處理、光固化(例如,UV)及/或微波處理。可使用其它就地或沉積後處理來提高材料性質,如硬度、穩定性(對收縮、對空氣曝露、對蝕刻、對溼式蝕刻等等)、完整性、均勻性及黏附力。此處理可在成孔劑移除前、期間及/或後,使用與使用於成孔劑移除相同或不同的方法施加至該膜。因此,如於本文中所使用的用語「後處理」指示為以能量(例如,熱、電漿、光子、電子、微波等等)或化學處理該膜來移除成孔劑及選擇性提高材料性質。
該進行後處理之條件可大大地變化。例如,該後處理可在高壓下或在真空環境中進行。
UV退火係在下列條件下進行的較佳方法。
該環境可係惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(稀或濃氫、烴(飽和、不飽和、線性或分枝、芳香烴)等等)。該壓力較佳為約1托耳至約1000托耳,更佳為大氣壓。但是,真空環境亦可用於熱退火和任何其它後處理方法。該溫度較佳為200-500℃,及溫度跳躍速率係0.1至100℃/分鐘。總UV退火時間較佳為0.01分鐘至12小時。
該OSG膜的化學處理係在下列條件下進行。
使用氟化(HF、SiF4 、NF3 、F2 、COF2 、CO2 F2 等等)、氧化(H2 O2 、O3 等等)、化學乾燥、甲基化或其它化學處理來提高最後材料之性質。在此處理中所使用的化學物質可呈固體、液體、氣體及/或超臨界流體狀態。
在下列條件下進行超臨界流體後處理以從有機矽酸鹽膜選擇性移除成孔劑。
該流體可係二氧化碳、水、一氧化二氮、乙烯、SF6 及/或其它型式的化學物質。可將其它化學物質加入至該超臨界流體以提高該製程。該化學物質可係惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、臭氧、一氧化二氮等等)或還原(例如,稀或濃烴、氫、含氫電漿等等)。該溫度較佳為週圍至500℃。該化學物質亦可包括較大的化學物種,諸如界面活性劑。總曝露時間較佳為0.01分鐘至12小時。
在下列條件下進行電漿處理以選擇性移除該OSG膜之不穩定基團及可能的化學改質。
該環境可係惰性(氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(例如,稀或濃氫、烴(飽和、不飽和、線性或分枝、芳香烴)等等)。該電漿功率較佳為0-5000瓦。該溫度較佳為週圍至500℃。該壓力較佳為10毫托耳至大氣壓。總固化時間較佳為0.01分鐘至12小時。
在下列條件下進行UV固化以從有機矽酸鹽膜選擇性移除成孔劑。
該環境可係惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(例如,稀或濃烴、氫等等)。該溫度較佳為週圍至500℃。該功率較佳為0至5000瓦。該波長較佳為IR、可見光、UV或深UV(波長<200奈米)。總UV固化時間較佳為0.01分鐘至12小時。
在下列條件下進行微波後處理以從有機矽酸鹽膜選擇性移除成孔劑。
該環境可係惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(例如,稀或濃烴、氫等等)。該溫度較佳為週圍至500℃。該功率及波長變化及可對特定的鍵結進行調整。總固化時間較佳為0.01分鐘至12小時。
在下列條件下進行電子束後處理以從有機矽酸鹽膜選擇性移除成孔劑或特定的化學物種及/或改良膜性質。
該環境可係真空、惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(例如,稀或濃烴、氫等等)。該溫度較佳為週圍至500℃。該電子密度及能量可變化及可對特定的鍵結進行調整。總固化時間較佳為0.001分鐘至12小時,及可係連續或脈衝。可在下列公告中獲得與電子束的一般用途有關之額外指導,諸如:S. Chattopadhyay等等,Journal of Materials Science,36(2001)4323-4330;G. Kloster等等,Proceedings of IITC,6月3-5日,2002,SF,CA;及美國專利案號6,207,555 B1、6,204,201 B1及6,132,814 A1。使用電子束處理可提供成孔劑移除及透過在基質中的鍵結形成過程來提高膜機械性質。
本發明將伴隨著參照下列實施例進行更詳細地闡明,但是應要瞭解的是,其不視作係限制於此。 實施例
經由電漿輔助CVD(PECVD)方法,使用Applied Materials Precision-5000系統,在安裝有Advance Energy 200 RF產生器的200毫米DxZ反應艙或真空艙中,從多種不同化學前驅物及製程條件形成範例性膜或200毫米晶圓加工。該PECVD方法通常包括下列基本步驟:初始建立及穩定氣體流動,將膜沉積到矽晶圓基材上及在移出基材前清洗/抽空艙。在沉積後,讓該膜接受UV退火。使用Fusion UV系統與寬帶UV電子管進行UV退火,其中該晶圓係在低於<10托耳的一或多種壓力下及在<400℃的一或多種溫度下保持於氦氣流中。在p-型式Si晶圓(電阻率範圍=8-12歐姆-公分)上進行實驗。
在SCI FilmTek 2000反射計上測量厚度及折射率。於中電阻率p-型式晶圓(範圍8-12歐姆-公分)上使用Hg探針技術來決定介電常數。使用Nicholet Nexxus 470光譜儀來測量FTIR光譜。在比較例1及實施例1中,該機械性質係使用MTS Nano Indenter決定。藉由X射線光電子光譜(XPS),在Physical Electronics 5000LS上獲得組合物資料及以原子重量百分比提供。在表中所報導的原子重量百分比%值不包括氫。 比較例1:從二乙氧基甲基矽烷(DEMS)及環辛烷沉積多孔OSG膜
使用下列用於200毫米加工的製程條件來沉積該結構形成DEMS及成孔劑前驅物環辛烷之複合物層。經由直接液體注入(DLI),以下列條件將該前驅物輸送至該反應艙:流速960毫克/分鐘(mg/min)的環辛烷及240毫克/分鐘,使用200標準立方公分(sccm) CO2 載體氣體流,10 sccm O2 ,350毫英吋噴灑頭/晶圓間距,275℃晶圓夾盤溫度,8托耳艙壓,對其施加600瓦電漿。然後,對所產生的膜進行UV退火以移除環辛烷成孔劑及機械性提高該膜。如上所述般獲得該膜的多種屬性(例如,介電常數(k)、模數(GPa)及碳原子重量百分比(C%))。 實施例1:從1,1-二乙氧基-1-矽環戊烷(DESCP)與環辛烷作為犧牲成孔劑前驅物來沉積多孔OSG膜,隨後進行UV固化:
使用DESCP作為該結構形成前驅物及環辛烷作為該成孔劑前驅物來沉積一複合物層。該用於在200毫米晶圓上沉積該複合物膜的沉積條件係如下:經由直接液體注入(DLI),以下列條件將該前驅物輸送至該反應艙:流速363毫克/分鐘(mg/min)的DESCP,737 mf/min環辛烷,200標準立方公分(sccm)氦載體氣體流,10 sccm O2 ,350毫英吋噴灑頭/晶圓間距,250℃晶圓夾盤溫度,8托耳艙壓,對其施加600瓦電漿100秒。所產生之如所沉積的膜係757奈米厚及具有折射率(RI) 1.47。在沉積後,將該複合物膜曝露至UV退火步驟一段時期12分鐘。在UV退火後,該膜已經收縮24%至576奈米厚且具有折射率1.38。此膜具有介電常數2.50及模數10.4 GPa及硬度1.4 GPa。藉由XPS的元素組合物分析係21.4 C%,46.5 O%,32.1 Si%。 實施例2:從1,1-二甲氧基-1-矽環戊烷(DMSCP)及環辛烷(預示)沉積多孔OSG膜
使用下列用於200毫米加工的製程條件來沉積一結構形成DMSCP與成孔劑前驅物環辛烷之複合物層。經由直接液體注入(DLI),以下列條件將該前驅物輸送至該反應艙:流速960毫克/分鐘的環辛烷及240 mgm的DMSCP,經由DLI輸送至該艙,使用200 sccm CO2 載體氣體流,20 sccm O2 ,350毫英吋噴灑頭/晶圓間距,250℃晶圓夾盤溫度,8托耳艙壓,對其施加600瓦電漿。然後,對所產生的膜進行UV退火以移除成孔劑及機械性提高該膜。如上所述般獲得該膜的多種屬性(例如,介電常數(k)、模數(GPa)及碳原子重量百分比(C%))。 比較例2:從1-甲基-1-乙氧基-1-矽環戊烷(MESCP)與環辛烷作為犧牲成孔劑前驅物來沉積OSG膜且隨後進行UV固化:
使用MESCP作為該結構形成前驅物及環辛烷作為該成孔劑前驅物沉積一複合物層。該用於在200毫米晶圓上沉積該複合物膜的沉積條件係如下:經由直接液體注入(DLI),以下列條件將該前驅物輸送至該反應艙:流速280毫克/分鐘(mg/min)DESCP,800 mf/min環辛烷,200標準立方公分(sccm)氦載體氣體流,25 sccm O2 ,350毫英吋噴灑頭/晶圓間距,300℃晶圓夾盤溫度,8托耳艙壓,對其施加600瓦電漿120秒。所產生之如所沉積的膜係567奈米厚及具有折射率(RI) 1.45。在沉積後,將該複合物膜曝露至UV退火步驟一段時期12分鐘。在UV退火後,該膜已經收縮17%至467奈米厚且具有折射率1.39。此膜具有介電常數2.54及模數8.6 GPa及硬度1.3 GPa。藉由XPS分析的元素組合物係23.0 C%,45.6 O%,31.4 Si%。
比較例3:從二乙氧基甲基矽烷(DEMS)與環辛烷作為犧牲成孔劑前驅物來沉積OSG膜且隨後進行UV固化:
使用DEMS作為該結構形成前驅物及環辛烷作為該成孔劑前驅物來沉積一複合物層。該用於在200毫米晶圓上沉積該複合物膜的沉積條件係如下:經由直接液體注入(DLI),以下列條件將該前驅物輸送至該反應艙:流速220毫克/分鐘(mg/min)DEMS,880 mf/min環辛烷,200標準立方公分(sccm)氦載體氣體流,25 sccm O2 ,350毫英吋噴灑頭/晶圓間距,250℃晶圓夾盤溫度,8托耳艙壓,對其施加600瓦電漿80秒。所產生之如所沉積的膜係411奈米厚及具有折射率(RI) 1.44。在沉積後,將該複合物膜曝露至UV退火步驟一段時期12分鐘。在UV退火後,該膜已經收縮19%至334奈米厚且具有折射率1.36。此膜具有介電常數2.50及模數8.5 GPa及硬度1.0 GPa。藉由XPS分析的元素組合物係13.2 C%,54.0 O%,32.8 Si%。 實施例4:1,1-二乙氧基-1-矽環戊烷之合成
於裝備有磁性攪拌棒及迴流冷凝器的2000毫升三頸圓底燒瓶中,經由添加漏斗,對在900毫升己烷類中之130.0克(838毫莫耳)的1,1-二氯-1-矽環戊烷加入93.0克(2012毫莫耳)乙醇,同時在迴流下加熱該內容物。一旦完成加入,迴流該反應混合物額外30分鐘,然後冷卻至室溫,同時以氮清洗來移除HCl。該反應混合物的GC顯示出大約75%轉換成想要的1,1-二乙氧基-1-矽環戊烷。剩餘物係經單取代的1-氯-1-乙氧基-1-矽環戊烷。將額外19.0克(419毫莫耳)的乙醇及42.0克(419毫莫耳)的三乙胺加入至該反應混合物以將該經單取代的物種完全轉換成產物。在室溫下攪拌所產生的白色漿體過夜。然後過濾該漿體。藉由在週壓下蒸餾來移除溶劑。真空蒸餾該產物,其在5托耳壓力下具有沸點46℃,量係143克。產率係90%。 實施例5:1,1-二甲氧基-1-矽環戊烷之合成
於裝備有磁性攪拌棒的3000毫升三頸圓底燒瓶中,在0℃下,對在1800毫升1:1的己烷類/THF混合物中之100.0克(645毫莫耳)的1,1-二氯-1-矽環戊烷,加入260.0克(2579毫莫耳)的三乙胺,接著62.0克(1934毫莫耳)的甲醇。將所產生的白色漿體升溫至室溫及攪拌16小時,在此之後,其在中孔隙度過濾器上過濾,如為混濁的濾出液。以額外20.0克(624毫莫耳)的甲醇處理該濾出液及攪拌數小時,然後再次過濾。無色透明濾出液的GC指示出已全轉換成想要的1,1-二甲氧基-1-矽環戊烷。藉由在100托耳真空中,於50℃下旋轉蒸發從該濾出液移除揮發物。真空蒸餾純化該產物,其在65托耳壓力下具有沸點75℃,量係60克,純度90%。產率係58%。
雖然上述已參照某些特定具體實例及實施例來闡明及說明,然而本發明不意欲受限於所顯示出的細節。而是,可在本案申請專利範圍之均等範圍內及沒有離開本發明之精神在細節上作出多種變化。明確意欲的是,例如,在本文件中所敘述的全部寛廣範圍包括落在其範圍內之較寛範圍內之全部較窄範圍。
(無)
(無)

Claims (31)

  1. 一種用以製造由式SivOwCxHyFz表示的介電膜之方法,其中v+w+x+y+z=100%,v係10至35原子%,w係10至65原子%,x係5至40原子%,y係10至50原子%及z係0至15原子%,該方法包含:在一反應艙中提供一基材;將包括至少一種包含烷氧基矽環狀或醯氧基矽環狀化合物的結構形成前驅物及一成孔劑及選擇性至少一種氧化劑之氣體試劑引進該反應艙中,其中該烷氧基矽環狀或醯氧基矽環狀化合物具有由式I表示的結構:
    Figure TWI676632B_C0001
    其中X及Y係各自獨立地選自於由OR1、OR2及OC(O)R3所組成之群,其中R1-3各者係各自獨立地選自於由下列所組成之群:線性或分枝的C1至C10烷基、C3至C10環烷基、C3至C10雜環烷基、C5至C10芳基及C3至C10雜芳基,及R4係C3至C10烷基二基,其與該Si原子形成四員、五員或六員飽和環狀環;在該反應艙中,對該氣體試劑施加能量以引發該氣體試劑反應而在該基材上沉積一預備膜,其中該預備膜含有該成孔劑;及從該預備膜移除至少一部分的成孔劑以提供該多孔介電膜,其包含孔洞且介電常數2.6或更低。
  2. 如請求項1之方法,其中該結構形成前驅物進一步包含一硬化添加劑。
  3. 如請求項1之方法,其中該烷氧基矽環狀或醯氧基矽環狀化合物包含選自於由下列所組成之群的至少一種:1,1-二甲氧基-1-矽環戊烷、1,1-二乙氧基-1-矽環戊烷、1,1-二正丙氧基-1-矽環戊烷、1,1-二異丙氧基-1-矽環戊烷、1,1-二甲氧基-1-矽環丁烷、1,1-二乙氧基-1-矽環丁烷、1,1-二正丙氧基-1-矽環丁烷、1,1-二異丙氧基-1-矽環丁烷、1,1-二甲氧基-1-矽環己烷、1,1-二異丙氧基-1-矽環己烷、1,1-二正丙氧基-1-矽環己烷、1-甲氧基-1-乙醯氧基-1-矽環戊烷、1,1-二乙醯氧基-1-矽環戊烷、1-甲氧基-1-乙醯氧基-1-矽環丁烷、1,1-二乙醯氧基-1-矽環丁烷、1-甲氧基-1-乙醯氧基-1-矽環己烷、1,1-二乙醯氧基-1-矽環己烷、1-乙氧基-1-乙醯氧基-1-矽環戊烷、1-乙氧基-1-乙醯氧基-1-矽環丁烷及其組合。
  4. 如請求項1之方法,其中該成孔劑係環辛烷。
  5. 如請求項2之方法,其中該硬化添加劑包含四乙氧基矽烷。
  6. 如請求項2之方法,其中該硬化添加劑包含四甲氧基矽烷。
  7. 如請求項1之方法,其係電漿輔助化學氣相沉積方法。
  8. 如請求項1之方法,其中該反應混合物包含至少一種選自於由下列所組成之群的氧化劑:O2、N2O、NO、NO2、CO2、水、H2O2、臭氧及其組合。
  9. 如請求項1之方法,其中該反應混合物不包含氧化劑。
  10. 如請求項1之方法,其中該反應艙在該施加步驟中包含至少一種選自於由下列所組成之群的氣體:He、Ar、N2、Kr、Xe、NH3、H2、CO2及CO。
  11. 如請求項1之方法,其中該移除步驟包含至少一種選自於由下列所組成之群的處理:熱處理、紫外光(UV)處理、電子束處理、γ輻射處理及其組合。
  12. 如請求項11之方法,其中該UV處理係在至少一部分的熱處理期間進行。
  13. 如請求項1之方法,其中該成孔劑係選自於由下列所組成之群:a)具有式CnH2n之經取代或未經取代的環狀烴,其中n=4至14;b)通式CnH(2n+2)-2y之經取代或未經取代的烴,其中n=2至20及y=0至n,其中n=4至14;c)具有式CnH2n-2x之經取代或未經取代的單或多不飽和環狀烴,其中x係在該分子中的不飽和位置數及n=4至14;d)具有式CnH2n-2之經取代或未經取代的雙環烴,其中n=4至14;e)具有式CnH2n-(2+2x)之經取代或未經取代的多不飽和雙環烴,其中x係在該分子中的不飽和位置數,及n=4至14,其中在該雙環結構中的碳數係在4至12間;及f)具有式CnH2n-4之經取代或未經取代的三環烴,其中n=4至14,其中在該三環結構中之碳數係在4至12間。
  14. 如請求項1之方法,其中該如請求項13的成孔劑包含選自於由下列所組成之群的至少一種:環己烷、1,2,4-三甲基環己烷、1-甲基-4-(1-甲基乙基)環己烷、環辛烷、甲基環辛烷、乙烯、丙烯、乙炔、新己烷、1,3-丁二烯、2-甲基-1,3-丁二烯、2,3-二甲基-2,3-丁二烯、經取代的二烯、對-傘花烴、環辛烯、1,5-環辛二烯、環己烯、乙烯基-環己烷、二甲基環己烯、α-萜品烯、松油、苧烯、乙烯基-環己烯、降莰烷、螺壬烷、樟腦、降莰烷烯、降莰烷二烯、5-亞乙基-2-降莰烷烯、十氫萘、金剛化合物及其組合。
  15. 一種用於介電膜之化學氣相沉積的組合物,其中該組合物包含具有下列式I之烷氧基矽環狀或醯氧基矽環狀化合物:
    Figure TWI676632B_C0002
    其中X及Y係各自獨立地選自於由OR1、OR2及OC(O)R3所組成之群,其中R1-3各者係各自獨立地選自於由下列所組成之群:線性或分枝的C1至C10烷基、C3至C10環烷基、C3至C10雜環烷基、C5至C10芳基及C3至C10雜芳基;及R4係C3至C10烷基二基,其與該Si原子形成四員、五員或六員飽和環狀環,及其中該化合物係實質上無一或多種選自於由鹵化物、水及其組合所組成之群的雜質。
  16. 如請求項15之組合物,其中該烷氧基矽環狀或醯氧基矽環狀化合物包含選自於由下列所組成之群的至少一種:1,1-二甲氧基-1-矽環戊烷、1,1-二乙氧基-1-矽環戊烷、1,1-二正丙氧基-1-矽環戊烷、1,1-二異丙氧基-1-矽環戊烷、1,1-二甲氧基-1-矽環丁烷、1,1-二乙氧基-1-矽環丁烷、1,1-二正丙氧基-1-矽環丁烷、1,1-二異丙氧基-1-矽環丁烷、1,1-二甲氧基-1-矽環己烷、1,1-二異丙氧基-1-矽環己烷、1,1-二正丙氧基-1-矽環己烷、1-甲氧基-1-乙醯氧基-1-矽環戊烷、1,1-二乙醯氧基-1-矽環戊烷、1-甲氧基-1-乙醯氧基-1-矽環丁烷、1,1-二乙醯氧基-1-矽環丁烷、1-甲氧基-1-乙醯氧基-1-矽環己烷、1,1-二乙醯氧基-1-矽環己烷、1-乙氧基-1-乙醯氧基-1-矽環戊烷、1-乙氧基-1-乙醯氧基-1-矽環丁烷及其組合。
  17. 如請求項15之組合物,其中該鹵化物包含氯離子。
  18. 一種使用具有下列式I之烷氧基矽環狀或醯氧基矽環狀化合物經由氣相沉積來沉積一低k介電膜的用途,
    Figure TWI676632B_C0003
    其中X及Y係各自獨立地選自於由OR1、OR2及OC(O)R3所組成之群,其中R1-3各者係各自獨立地選自於由下列所組成之群:線性或分枝的C1至C10烷基、C3至C10環烷基、C3至C10雜環烷基、C5至C10芳基及C3至C10雜芳基;及R4係C3至C10烷基二基,其與該Si原子形成四員、五員或六員飽和環狀環。
  19. 如請求項18之用途,其中該化合物係實質上無一或多種選自於由鹵化物、水及其組合所組成之群的雜質。
  20. 如請求項18之用途,其中該烷氧基矽環狀或醯氧基矽環狀化合物包含選自於由下列所組成之群的至少一種:1,1-二甲氧基-1-矽環戊烷、1,1-二乙氧基-1-矽環戊烷、1,1-二正丙氧基-1-矽環戊烷、1,1-二異丙氧基-1-矽環戊烷、1,1-二甲氧基-1-矽環丁烷、1,1-二乙氧基-1-矽環丁烷、1,1-二正丙氧基-1-矽環丁烷、1,1-二異丙氧基-1-矽環丁烷、1,1-二甲氧基-1-矽環己烷、1,1-二異丙氧基-1-矽環己烷、1,1-二正丙氧基-1-矽環己烷、1-甲氧基-1-乙醯氧基-1-矽環戊烷、1,1-二乙醯氧基-1-矽環戊烷、1-甲氧基-1-乙醯氧基-1-矽環丁烷、1,1-二乙醯氧基-1-矽環丁烷、1-甲氧基-1-乙醯氧基-1-矽環己烷、1,1-二乙醯氧基-1-矽環己烷、1-乙氧基-1-乙醯氧基-1-矽環戊烷、1-乙氧基-1-乙醯氧基-1-矽環丁烷及其組合。
  21. 如請求項19之用途,其中該鹵化物包含氯離子。
  22. 如請求項21之用途,其中該氯離子少於50ppm。
  23. 如請求項21之用途,其中該氯離子少於10ppm。
  24. 如請求項21之用途,其中該氯離子少於5ppm。
  25. 如請求項18之用途,其中該氣相沉積係化學氣相沉積。
  26. 如請求項25之用途,其中該化學氣相沉積係電漿輔助化學氣相沉積。
  27. 如請求項15之組合物,更包含至少一種選自於由載體氣體及氧化劑所組成之群的成員。
  28. 如請求項27之組合物,其中該成員包含至少一種選自於由氦及氧所組成之群的成員。
  29. 如請求項15之組合物,更包含至少一種硬化添加劑。
  30. 如請求項29之組合物,其中該硬化添加劑包含至少一種四烷氧基矽烷。
  31. 一種藉由如請求項1至14之任一項的方法形成之膜,其中該膜結構包含-CH2-亞甲基及-CH2CH2-伸乙基跨橋之至少一種。
TW107130278A 2017-08-30 2018-08-30 烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法 TWI676632B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762552040P 2017-08-30 2017-08-30
US62/552040 2017-08-30

Publications (2)

Publication Number Publication Date
TW201920212A TW201920212A (zh) 2019-06-01
TWI676632B true TWI676632B (zh) 2019-11-11

Family

ID=65527919

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107130278A TWI676632B (zh) 2017-08-30 2018-08-30 烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法

Country Status (8)

Country Link
US (2) US11884689B2 (zh)
EP (1) EP3676417A4 (zh)
JP (2) JP7323511B2 (zh)
KR (1) KR102442026B1 (zh)
CN (1) CN111051568A (zh)
SG (1) SG11202001492TA (zh)
TW (1) TWI676632B (zh)
WO (1) WO2019046449A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10978293B2 (en) * 2018-03-28 2021-04-13 Meidensha Corporation Oxide film formation method
WO2019246061A1 (en) * 2018-06-19 2019-12-26 Versum Materials Us, Llc Silicon compounds and methods for depositing films using same
KR102675052B1 (ko) * 2020-01-07 2024-06-12 오씨아이 주식회사 실리콘 질화막 식각 용액 및 이를 사용한 반도체 소자의 제조 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100052115A1 (en) * 2008-09-03 2010-03-04 American Air Liquide, Inc. Volatile Precursors for Deposition of C-Linked SiCOH Dielectrics
US20150364321A1 (en) * 2014-06-16 2015-12-17 Air Products And Chemicals, Inc. Alkyl-Alkoxysilacyclic Compounds and Methods for Depositing Films Using Same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
JP5324734B2 (ja) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体材料とその製造方法
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
JP2011040629A (ja) * 2009-08-13 2011-02-24 Tosoh Corp スピロ構造を有する環状シロキサン化合物を用いてなる膜及びその製法
JP2011111399A (ja) * 2009-11-25 2011-06-09 Tosoh Corp スピロ型シクロトリシロキサン誘導体、その製造方法、それを用いた製膜法及び膜
US8753985B2 (en) 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
KR101759891B1 (ko) * 2015-06-23 2017-07-21 (주)디엔에프 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100052115A1 (en) * 2008-09-03 2010-03-04 American Air Liquide, Inc. Volatile Precursors for Deposition of C-Linked SiCOH Dielectrics
US20150364321A1 (en) * 2014-06-16 2015-12-17 Air Products And Chemicals, Inc. Alkyl-Alkoxysilacyclic Compounds and Methods for Depositing Films Using Same

Also Published As

Publication number Publication date
JP2022153428A (ja) 2022-10-12
JP7323511B2 (ja) 2023-08-08
KR20200035493A (ko) 2020-04-03
TW201920212A (zh) 2019-06-01
CN111051568A (zh) 2020-04-21
WO2019046449A1 (en) 2019-03-07
US20240182499A1 (en) 2024-06-06
JP2020532867A (ja) 2020-11-12
US20200354386A1 (en) 2020-11-12
EP3676417A4 (en) 2021-06-02
EP3676417A1 (en) 2020-07-08
US11884689B2 (en) 2024-01-30
SG11202001492TA (en) 2020-03-30
KR102442026B1 (ko) 2022-09-07

Similar Documents

Publication Publication Date Title
US10395920B2 (en) Alkyl-alkoxysilacyclic compounds
TWI729417B (zh) 矽化合物及使用其沉積膜的方法
TWI676632B (zh) 烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法
US20210339280A1 (en) Silacyclic compounds and methods for depositing silicon-containing films using same
CN110952074B (zh) 硅化合物和使用硅化合物沉积膜的方法
US11043374B2 (en) Silacycloalkane compounds and methods for depositing silicon containing films using same
JP6993394B2 (ja) ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法