TWI664073B - 稀土氧化物系抗電漿腐蝕薄膜塗層 - Google Patents

稀土氧化物系抗電漿腐蝕薄膜塗層 Download PDF

Info

Publication number
TWI664073B
TWI664073B TW103121416A TW103121416A TWI664073B TW I664073 B TWI664073 B TW I664073B TW 103121416 A TW103121416 A TW 103121416A TW 103121416 A TW103121416 A TW 103121416A TW I664073 B TWI664073 B TW I664073B
Authority
TW
Taiwan
Prior art keywords
protective layer
ceramic
thin film
hardness
zro
Prior art date
Application number
TW103121416A
Other languages
English (en)
Other versions
TW201504044A (zh
Inventor
孫語南
卡農哥比拉賈P
菲路茲朵爾維希德
邱湯姆
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201504044A publication Critical patent/TW201504044A/zh
Application granted granted Critical
Publication of TWI664073B publication Critical patent/TWI664073B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Turbine Rotor Nozzle Sealing (AREA)
  • Laminated Bodies (AREA)

Abstract

物件包含主體和至少一保護層,保護層位於主體的至少一表面上。至少一保護層係厚度小於約20微米的薄膜,且包含選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物所組成群組的陶瓷,陶瓷化合物包含Y4Al2O9與Y2O3-ZrO2固體溶液。

Description

稀土氧化物系抗電漿腐蝕薄膜塗層 【相關申請案】
本專利申請案根據專利法法規主張西元2013年6月20日申請的美國臨時專利申請案第61/837,595號的權益。
本發明的實施例大體係關於具有抗電漿薄膜保護層的腔室部件。
在半導體產業中,裝置由一些製造製程製造,以製造尺寸持續縮小的結構。諸如電漿蝕刻和電漿清洗製程等一些製造製程係使基板接觸高速電漿流,藉以蝕刻或清洗基板。電漿具高腐蝕性,並可能腐蝕處理腔室和其他接觸電漿的表面。
一種物件,包含:主體;及第一保護層,位於主體的至少一表面上,其中第一保護層係厚度小於約20微米的薄膜,第一保護層包含選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物所組成群組的第一陶瓷, 陶瓷化合物包含Y4Al2O9與Y2O3-ZrO2固體溶液。
一種製造物件的方法,包含:提供物件;及進行至少一離子輔助沉積(IAD)或物理氣相沉積(PVD),以沉積第一保護層至物件的至少一表面上,其中第一保護層係厚度小於約20微米的薄膜,第一保護層包含選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物所組成群組的第一陶瓷,陶瓷化合物包含Y4Al2O9與Y2O3-ZrO2固體溶液。
一種用於處理腔室的腔室部件,腔室部件係由一製程所製備,該製程包含:進行至少一離子輔助沉積(IAD)或物理氣相沉積(PVD),以沉積第一保護層至腔室部件的至少一表面上,其中第一保護層係厚度小於約20微米的薄膜,第一保護層包含選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物所組成群組的第一陶瓷,陶瓷化合物包含Y4Al2O9與Y2O3-ZrO2固體溶液;及進行至少一IAD或PVD,以沉積第二保護層至第一保護層上,其中第二保護層的厚度小於約20微米且包含不同於第一陶瓷的第二陶瓷,第二陶瓷選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物所組成的群組,陶瓷化合物包含Y4Al2O9與Y2O3-ZrO2固體溶液。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧噴淋頭基底
106‧‧‧內部體積
108‧‧‧側壁
110‧‧‧底部
116、118‧‧‧襯層
126‧‧‧排氣口
128‧‧‧泵系統
130‧‧‧噴淋頭
132‧‧‧氣體輸送孔
133‧‧‧GDP
136‧‧‧保護層
138‧‧‧黏著劑
144‧‧‧基板
146‧‧‧環
148‧‧‧支撐組件
150‧‧‧ESC
152‧‧‧基座
158‧‧‧氣體面板
162‧‧‧裝設板
164‧‧‧基底
166‧‧‧靜電定位盤
168、170‧‧‧導管
172‧‧‧流體源
174‧‧‧隔熱器
176‧‧‧加熱元件/加熱器
178、182、184、186‧‧‧電源
180‧‧‧電極
188‧‧‧匹配電路
190、192‧‧‧溫度感測器
195‧‧‧控制器
200、250‧‧‧物件
205、255‧‧‧主體
208、258‧‧‧保護層
300‧‧‧物件
305‧‧‧主體
308、330‧‧‧保護層
400‧‧‧物件
405‧‧‧主體
406‧‧‧保護層堆疊
408、410‧‧‧保護層
500‧‧‧物件
505‧‧‧主體
506‧‧‧保護層堆疊
508、510、515、518‧‧‧保護層
600‧‧‧製程
605、610、615、620、625、630‧‧‧方塊
702‧‧‧沉積材料
703‧‧‧高能粒子
715‧‧‧保護層
750‧‧‧材料源
755‧‧‧高能粒子源
本發明以舉例方式說明,並無限定意圖,其中各附圖以相同的元件符號代表相仿的元件。應注意本文提及的「一」或「一個」實施例不必然指稱同一實施例,而是指至 少一個。
第1圖圖示一處理腔室實施例的截面。
第2A圖至第5圖圖示示例性物件的剖面側視圖,物件的表面具有保護層堆疊。
第6圖圖示一製程實施例,用以形成一或更多保護層於物件上。
第7A圖圖示沉積機制,此沉積機制可應用到各種採用高能粒子的沉積技術,例如離子輔助沉積(IAD)。
第7B圖圖示IAD沉積設備的示意圖。
第8圖至第9圖圖示根據本發明實施例形成的薄膜保護層的腐蝕速率。
第10圖至第11圖圖示根據本發明實施例形成的薄膜保護層的粗糙度輪廓。
本發明的實施例提供物件,例如用於處理腔室的腔室部件,物件的一或更多表面具有薄膜保護層。保護層的厚度小於約20微米且提供抗電漿腐蝕性,以保護物件。保護層可利用離子輔助沉積(IAD)或物理氣相沉積(PVD)形成於物件上。薄膜保護層可用作厚膜保護層上的頂塗層,厚膜保護層已由如電漿噴塗技術形成。在一些實施例中,包含二或更多薄膜保護層的薄膜保護層堆疊形成於物件上。在此實施例中,各薄膜保護層可由IAD或PVD形成,且厚度可為約20微米或以下。薄膜保護層可為Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12或包含Y4Al2O9與Y2O3-ZrO2 固體溶液的陶瓷化合物。薄膜保護層可提供較佳抗腐蝕性,從而改善物件的使用壽命,同時降低維護及製造成本。
第1圖為半導體處理腔室100的截面圖,處理腔室具有一或更多腔室部件,腔室部件塗覆上根據本發明實施例的薄膜保護層。處理腔室100可用於提供腐蝕電漿環境的製程。例如,處理腔室100可為電漿蝕刻器、電漿蝕刻反應器、電漿清洗器等用腔室。可包括薄膜保護層的腔室部件實例包括基板支撐組件148、靜電夾盤(ESC)150、環(例如處理套環或單環)、腔壁、基底、氣體分配板、噴淋頭、襯層、襯層套組、屏蔽、電漿屏、流量均衡器、冷卻基底、腔室觀察孔、腔室蓋等。薄膜保護層可包括Y3Al5O12(YAG)、Y4Al2O9(YAM)、Er2O3、Gd2O3、Er3Al5O12(EAG)、Gd3Al5O12(GAG)及/或包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物,此將詳述於後。薄膜保護層亦可包括Y2O3與Y2O3系陶瓷、Er2O3系陶瓷、Gd2O3系陶瓷和其他稀土氧化物。
薄膜保護層可為施用於不同陶瓷上面的IAD或PVD塗層,包括氧化物系陶瓷、氮化物系陶瓷和碳化物系陶瓷。氧化物系陶瓷實例包括SiO2(石英)、Al2O3、Y2O3等。碳化物系陶瓷實例包括SiC、Si-SiC等。氮化物系陶瓷實例包括AlN、SiN等。IAD或PVD塗佈靶材可為煅燒粉末、預形塊(例如由生坯沖壓、熱壓等形成)、燒結體(例如密度為50%-100%)、機器加工體(例如為陶瓷、金屬或金屬合金)或預熔體(密度為100%)。基板亦可為金屬基板,例如鋁(Al)、鈦(Ti)、不鏽鋼或陽極處理鋁。
如所述,根據一實施例,基板支撐組件148具有薄膜保護層136。然應理解諸如上列的任何其他腔室部件亦可包括薄膜保護層。
在一實施例中,處理腔室100包括腔室主體102和噴淋頭130,用以圍住內部體積106。噴淋頭包括噴淋頭基底和噴淋頭氣體分配板。或者,在一些實施例中,噴淋頭130可以蓋子與噴嘴取代。腔室主體102可由鋁、不鏽鋼或其他適合材料製成。腔室主體102通常包括側壁108和底部110。任一噴淋頭130(或蓋子及/或噴嘴)、側壁108及/或底部110可包括薄膜保護層。
外部襯層116可設置鄰接側壁108,以保護腔室主體102。外部襯層116可製有及/或塗覆上薄膜保護層。在一實施例中,外部襯層116由氧化鋁製成。
可於腔室主體102中定義排氣口126,排氣口耦接內部體積106與泵系統128。泵系統128可包括一或更多泵和節流閥,用以排空及調節處理腔室100的內部體積106內的壓力。
噴淋頭130可支撐在腔室主體102的側壁108上。噴淋頭130(或蓋子)可打開供進入處理腔室100的內部體積106,並於關閉時密封處理腔室100。氣體面板158可耦接至處理腔室100,以經由噴淋頭130或蓋子與噴嘴提供處理及/或清洗氣體至內部體積106。噴淋頭130用於介電質蝕刻用處理腔室(蝕刻介電材料)。噴淋頭130包括氣體分配板(GDP)133,GDP 133具有多個氣體輸送孔132遍及GDP 133。噴淋 頭130可包括接合鋁基底或陽極處理鋁基底的GDP 133。GDP 133可由矽(Si)或碳化矽(SiC)製成,或可為陶瓷,例如Y2O3、Al2O3、YAG等。
就導體蝕刻用處理腔室(蝕刻導電材料)而言,可使用蓋子、而非噴淋頭。蓋子可包括適配蓋中心孔的中心噴嘴。蓋子可為陶瓷,例如Al2O3、Y2O3、YAG或包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物。噴嘴亦可為陶瓷,例如Y2O3、YAG或包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物。蓋子、噴淋頭基底104、GDP 133及/或噴嘴可塗覆上薄膜保護層。
可用於在處理腔室100中處理基板的處理氣體實例包括含鹵素氣體,例如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3與SiF4等和其他氣體,例如O2或N2O。載氣的實例包括N2、He、Ar和不與處理氣體作用的其他氣體(例如不反應氣體)。基板支撐組件148設在處理腔室100的內部體積106中的噴淋頭130或蓋子下方。處理期間,基板支撐組件148托住基板144。環146(例如單環)可覆蓋一部分的靜電夾盤150,及避免覆蓋部分在處理期間接觸電漿。在一實施例中,環146可為矽或石英。
內部襯層118可塗覆於基板支撐組件148周圍。內部襯層118可為耐含鹵素氣體的材料,例如上述外部襯層116所述。在一實施例中,內部襯層118由和外部襯層116一樣的材料製成。此外,內部襯層118可塗覆上薄膜保護層。
在一實施例中,基板支撐組件148包括支撐基座152 的裝設板162和靜電夾盤150。靜電夾盤150進一步包括導熱基底164和靜電定位盤166,黏著劑138接合靜電定位盤與導熱基底,在一實施例中,黏著劑為矽酮黏著劑。在所示實施例中,靜電定位盤166的上表面覆蓋薄膜保護層136。在一實施例中,薄膜保護層136置於靜電定位盤166的上表面。在另一實施例中,薄膜保護層136置於靜電夾盤150的整個露出表面,包括導熱基底164和靜電定位盤166的外側和側緣。裝設板162耦接至腔室主體102的底部110,且包括通道供設施(例如流體、電源線、感測器引線等)通往導熱基底164和靜電定位盤166。
導熱基底164及/或靜電定位盤166可包括一或更多選擇性埋置加熱元件176、埋置隔熱器174及/或導管168、170,以控制基板支撐組件148的側向溫度輪廓。導管168、170可流體耦接至流體源172,使溫度調節流體循環通過導管168、170。在一實施例中,埋置隔熱器174設在導管168、170之間。加熱器176由加熱器電源178調節。導管168、170和加熱器176可用於控制導熱基底164的溫度,進而加熱及/或冷卻靜電定位盤166和待處理基板(例如晶圓)144。可利用複數個溫度感測器190、192,監測靜電定位盤166和導熱基底164的溫度,溫度感測器由控制器195監控。
靜電定位盤166可進一步包括多個氣體通道,例如溝槽、臺面和其他表面特徵結構,氣體通道可形成於定位盤166的上表面及/或薄膜保護層136。氣體通道可經由定位盤166中的鑽孔流體耦接至熱傳(或背側)氣源,例如氦(He)。 操作時,可以控制壓力提供背側氣體至氣體通道,以加強靜電定位盤166與基板144間的熱傳。
靜電定位盤166包括至少一夾持電極180,夾持電極受控於夾持電源182。電極180(或設於定位盤166或基底164的其他電極)可經由匹配電路188進一步耦接至一或更多射頻(RF)電源184、186,以維持處理腔室100內由處理及/或其他氣體形成的電漿。電源184、186通常能產生頻率約50千赫至約3千兆赫、功率高達約10000瓦的RF訊號。
第2A圖至第5圖圖示物件(例如腔室部件)的剖面側視圖,物件被一或更多薄膜保護層覆蓋。參照第2A圖,物件200的至少部分基底或主體205塗覆薄膜保護層208。物件200可為腔室部件,例如基板支撐組件、靜電夾盤(ESC)、環(例如處理套環或單環)、腔壁、基底、氣體分配板或噴淋頭、襯層、襯層套組、屏蔽、電漿屏、流量均衡器、冷卻基底、腔室觀察孔、腔室蓋等。物件200的主體205可為金屬、陶瓷、金屬-陶瓷複合物、聚合物或聚合物-陶瓷複合物。
各種腔室部件可由不同材料組成。例如,靜電夾盤可由陶瓷組成,例如Al2O3(氧化鋁)、AlN(氮化鋁)、TiO(氧化鈦)、TiN(氮化鈦)或接合至陽極處理鋁基底的SiC(碳化矽)。Al2O3、AlN和陽極處理鋁的抗電漿腐蝕性很差。當接觸具氟化學品及/或還原化學品的電漿環境時,靜電夾盤的靜電定位盤將展現較差的晶圓夾持力,導致在處理約50射頻小時(RFHr)後,增加He洩漏率、晶圓前側與背側微粒產生及晶圓上金屬污染。一射頻小時係處理一小時。
導體蝕刻製程用電漿蝕刻器的蓋子可為燒結陶瓷,例如Al2O3,因為Al2O3具有高抗彎強度和高熱導率。然接觸氟化學品的Al2O3會在晶圓上形成AlF微粒和鋁金屬污染。一些腔室蓋在面對電漿側具有厚膜保護層,以減少微粒產生和金屬污染,及延長蓋子壽命。然厚膜塗佈技術大多有很長的前置時間。此外,就大部分的厚膜塗佈技術而言,需進行特殊表面準備,以將待塗佈物件(例如蓋子)備好接收塗層。長前置時間和塗佈準備步驟會提高成本及降低生產力及妨礙整修。此外,厚膜塗層大多具有內在裂縫和孔隙,以致降低晶圓上缺陷性能。
處理套環和單環用於密封及/或保護其他腔室部件,且通常由石英或矽製成。該等環可設在支撐基板(例如晶圓)周圍,以確保均勻的電漿密度(及均勻地蝕刻)。然石英和矽在各種蝕刻化學品(例如電漿蝕刻化學品)作用下有很高的腐蝕速率。此外,接觸電漿化學品時,環會造成微粒污染。處理套環和單環亦可由燒結陶瓷(例如YAG)及/或包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物組成。
進行介電質蝕刻製程用蝕刻器的噴淋頭通常由接合至SiC面板的陽極處理鋁製成。當噴淋頭接觸包括氟的電漿化學品時,因電漿與陽極處理鋁基底相互作用而形成AlF。此外,陽極處理鋁基底的高腐蝕速率將引起發弧,最後將縮短清洗噴淋頭的平均時間。
腔室觀察孔(亦稱作終點窗口)係透明部件,此通常由石英或藍寶石製成。各種光學感測器可受觀察孔保護, 且經由觀察孔獲得光學感測器讀值。此外,觀察孔能讓使用者於處理期間目視檢查或觀察晶圓。石英和藍寶石均有很差的抗電漿腐蝕性。當電漿化學品腐蝕及使觀察孔變粗糙時,觀察孔的光學性質將改變。例如,觀察孔可能變混濁及/或通過觀察孔的光學訊號可能變歪斜。此會損害光學感測器收集準確讀值的能力。然厚膜保護層不適合用於觀察孔,因為該等塗層會堵塞觀察孔。
以上實例只提及幾個腔室部件,腔室部件的性能可利用所述實施例提及的薄膜保護層改善。
回溯第2A圖,物件200的主體205可包括一或更多表面特徵結構,例如第2A圖所示臺面。就靜電夾盤而言,表面特徵結構可包括臺面、密封帶、氣體流道、氦氣孔等。就噴淋頭而言,表面特徵結構可包括接合線、數百或數千個氣體分配孔、氣體分配孔周圍的凹部或凸塊等。其他腔室部件可具有其他表面特徵結構。
形成於主體205上的薄膜保護層208可共形順應主體205的表面特徵結構。如所示,薄膜保護層208保持相對主體205上表面的形狀(例如浮印臺面形狀)。此外,薄膜塗層宜夠薄,而不致堵塞噴淋頭的孔洞或靜電夾盤的He孔。在一實施例中,薄膜保護層208的厚度小於約20微米。在進一步實施例中,薄膜保護層的厚度為約0.5微米至約7微米。
薄膜保護層208係沉積陶瓷層,此可利用離子輔助沉積(IAD)製程或物理氣相沉積(PVD)製程來形成於物件200的主體205上。可進行的IAD製程一例為電子束離子輔 助沉積(EB-IAD)。IAD或PVD沉積的薄膜保護層208具有較小的膜應力(例如相較於電漿噴塗或濺射引起的膜應力)。較小膜應力可使主體205的下表面非常平坦,且就直徑12吋的主體而言,整個主體的曲率小於約50微米。此外,IAD或PVD沉積的薄膜保護層208可具有小於1%的孔隙度,且在一些實施例中為小於約0.1%。因此,IAD或PVD沉積的保護層為緻密結構,此在應用到腔室部件方面具有性能優勢。此外,IAD或PVD沉積的保護層208可在不先粗糙化主體205上表面或進行其他耗時表面準備步驟的情況下沉積。由於粗糙化主體會降低主體205的崩潰電壓,故能在不先粗糙化主體205的情況下塗鋪薄膜保護層208有益於一些應用(例如用於靜電夾盤)。
可用於形成薄膜保護層208的陶瓷實例包括Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12或包含Y4Al2O9與Y2O3-ZrO2固體溶液(Y2O3-ZrO2固溶體)的陶瓷化合物。其他Er系及/或Gd系抗電漿稀土氧化物亦可用於形成薄膜保護層208。在一實施例中,薄膜保護層係由35莫耳%的Y2O3與65莫耳%的Al2O3組成的YAG。在另一實施例中,陶瓷塗層係由30-40莫耳%的Y2O3與60-70莫耳%的Al2O3組成的YAG。在一實施例中,陶瓷化合物包括62.93莫耳%的Y2O3、23.23莫耳%的ZrO2和13.94莫耳%的Al2O3。在另一實施例中,陶瓷化合物包括50-75莫耳%的Y2O3、10-30莫耳%的ZrO2和10-30莫耳%的Al2O3。在其他實施例中,其他分布亦可用於陶瓷化合物。上述任一陶瓷可包括微量的其 他材料,例如ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物。
表1顯示92% Al2O3(氧化鋁)基板的材料性質和各種塗覆92% Al2O3基板的薄膜保護層。如所示,氧化鋁基板的崩潰電壓為363伏特/密耳(V/mil)。反之,5微米(μm)、包含Y4Al2O9與Y2O3-ZrO2固體溶液的IAD沉積陶瓷化合物塗層的崩潰電壓為2500V(遠高於氧化鋁的正規化值:363V/mil)。5μm的IAD沉積YAG塗層的崩潰電壓為6800V。5μm的IAD沉積Er2O3塗層的崩潰電壓為527V。5μm的IAD 沉積EAG塗層的崩潰電壓為900V。
氧化鋁在室溫下的體積電阻率為約0.01×1016(0.01E16)歐姆.公分(Ω.cm)。陶瓷化合物薄膜保護層在室溫下的體積電阻率為約4.1E16Ω.cm,YAG薄膜保護層在室溫下的體積電阻率為約11.3E16Ω.cm。
氧化鋁的介電常數為約9.2,陶瓷化合物薄膜的介電常數為約9.83,YAG薄膜的介電常數為約9.76,Er2O3薄膜的介電常數為約9.67,EAG薄膜的介電常數為約9.54。氧化鋁的損失正切為約5E-4,陶瓷化合物薄膜的損失正切為約4E-4,YAG薄膜的損失正切為約4E-4,Er2O3薄膜的損失正切為約4E-4,EAG薄膜的損失正切為約4E-4。氧化鋁的熱導率為約18W/m-K,陶瓷化合物薄膜的熱導率為約19.9W/m-K,YAG薄膜的熱導率為約20.1W/m-K,Er2O3薄膜的熱導率為約19.4W/m-K,EAG薄膜的熱導率為約19.2W/m-K。
在一實施例中,氧化鋁基板的起始粗糙度為約8微吋,所有薄膜保護層的起始粗糙度可大致不變。如所示,沉積任何薄膜保護層後,特徵結構高度亦可大致不變,例如內部密封帶(ISB)臺面高度和外部密封帶(OSB)臺面高度。就陶瓷化合物薄膜而言,薄膜保護層附著氧化鋁基板的強度為大於28兆帕(MPa),就YAG薄膜而言為大於32MPa。藉由測量用以分離薄膜保護層與基板的力量,可測定附著強度。厄米性測量使用薄膜保護層所達成的密封能力。如所示,使用氧化鋁所達成的He洩漏率為約1E-6立方公尺/秒(cm3/s),使用陶瓷化合物所達成的He洩漏率為約1.2E-9, 使用YAG所達成的He洩漏率為約4.4E-10,使用Er2O3所達成的He洩漏率為約5.5E-9,使用EAG所達成的He洩漏率為約9.5E-10。低He洩漏率表示密封改善。各示例性薄膜保護層的He洩漏率比Al2O3基板低。
Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物各具高硬度,故於電漿處理期間可耐磨耗。如所示,氧化鋁的維氏硬度(5公斤)為約12.14千兆帕(GPa),陶瓷化合物的硬度為約7.825GPa,YAG的硬度為約8.5GPa,Er2O3的硬度為約5.009GPa,EAG的硬度為約9.057GPa。氧化鋁的測量磨耗率為約0.2奈米/射頻小時(nm/RFhr),陶瓷化合物的磨耗率為約0.14nm/RFhr,Er2O3的磨耗率為約0.113nm/RFhr,EAG的磨耗率為約0.176nm/RFhr。
注意在一些實施例中,Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物可改質使上述材料性質和特性變化高達30%。故所述該等材料性質的數值應視為示例性達成數值,所述陶瓷薄膜保護層不應解釋成限定於提供數值。
第2B圖圖示根據一實施例,具有主體255的物件250的剖面側視圖,主體被薄膜保護層258覆蓋。如所示,主體255可無特徵結構。在一實施例中,在沉積薄膜保護層258前,研磨主體255。特徵結構不在主體255,而是特徵結構形成於薄膜保護層258中。例如,可遮蔽薄膜保護層258,接著蝕刻或珠擊移除薄膜保護層258的未遮蔽部分。特徵結構亦 可藉由遮蔽基板、接著塗鋪薄塗層而形成。形成的特徵結構可包括臺面、流道、密封環、露出接合線(例如噴淋頭的接合線)等。此外,可於薄膜保護層258中鑽孔,例如利用雷射鑽孔。若特徵結構形成於薄膜保護層258,則薄膜保護層的厚度較佳應夠厚,以容納特徵結構。例如,若12μm的臺面形成於薄膜保護層,則薄膜保護層258的厚度應大於12μm。在其他實施例中,一些特徵結構可形成於主體255,其他特徵結構可形成於薄膜保護層258。
第3圖圖示根據一實施例,具有厚保護層330與薄膜保護層308的物件300的剖面側視圖。厚保護層可為Y3Al5O12、Y4Al2O9、Y2O3或包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物。其他抗電漿陶瓷亦可用於厚保護層330。
厚保護層330可為厚膜保護層,厚膜保護層已經熱噴塗(例如電漿噴塗)於主體305上。可在電漿噴塗厚膜保護層於上前,粗糙化主體305的上表面。粗糙化例如可利用珠擊主體305而進行。粗糙化主體的上表面可提供定錨點,以於電漿噴塗厚膜保護層與主體305間產生機械接合而有更好的附著性。在一些實施例中,厚膜保護層的噴塗厚度可高達約200微米或更厚,且可磨成約50微米的最終厚度。電漿噴塗厚膜保護層的孔隙度可為約2%-4%。
或者,厚保護層330可為接合至主體305的大塊燒結陶瓷。厚保護層330例如可提供做為厚度約200微米的薄陶瓷晶圓。
可利用IAD或PVD,將薄膜保護層308塗鋪於厚保 護層330上。薄膜保護層308可當作頂塗層並做為抗腐蝕阻障層,及密封厚保護層330的露出表面(例如密封厚保護層330的內在表面裂縫和孔隙)。
第4圖圖示根據一實施例,具有薄膜保護層堆疊406的物件400的剖面側視圖,薄膜保護層堆疊沉積在物件400的主體405上。薄膜保護層堆疊406中的薄膜保護層408、410各自可為Y3Al5O12(YAG)、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物之一。在一實施例中,二相鄰薄膜保護層不使用相同的陶瓷材料。然在另一實施例中,相鄰層由相同的陶瓷組成。
第5圖圖示根據另一實施例,具有薄膜保護層堆疊506的物件500的剖面側視圖,薄膜保護層堆疊沉積在物件500的主體505上。物件500類似物件400,除了薄膜保護層堆疊506具有四個薄膜保護層508、510、515、518。
薄膜保護層堆疊(例如所述者)可具有任何數量的薄膜保護層。堆疊中的薄膜保護層可全具相同厚度,或可具不同厚度。各薄膜保護層的厚度可小於約20微米,在一些實施例中為小於約10微米。在一實例中,第一層408的厚度為3微米,第二層410的厚度為3微米。在另一實例中,第一層508為2微米厚的YAG層,第二層510為1微米厚的化合物陶瓷層,第三層515為1微米厚的YAG層,第四層518為1微米厚的化合物陶瓷層。
使用陶瓷層的數量和陶瓷層的組成可依據預定應用及/或待塗佈物件類型選擇。IAD和PVD形成的EAG和YAG 薄膜保護層通常具有無定形結構。反之,IAD和PVD沉積的化合物陶瓷和Er2O3層通常具有結晶或奈米結晶結構。結晶與奈米結晶陶瓷層通常比無定形陶瓷層更抗腐蝕。然在一些情況下,具結晶結構或奈米結晶結構的薄膜陶瓷層會遭受偶發的垂直裂縫(大致朝膜厚方向且大致垂直塗佈表面行進)。垂直裂縫可能因晶格失配而起,並可能成為電漿化學品的攻擊點。每次加熱及冷卻物件,薄膜保護層與塗佈基板的熱膨脹係數失配將在薄膜保護層上造成應力。應力會集中在垂直裂縫,導致薄膜保護層自塗佈基板剝離。反之,若無垂直裂縫,則應力大致均勻分散於薄膜各處。故在一實施例中,薄膜保護層堆疊406中的第一層408係無定形陶瓷,例如YAG或EAG,薄膜保護層堆疊406中的第二層410係結晶或奈米結晶陶瓷,例如陶瓷化合物或Er2O3。在此實施例中,第二層410比第一層408更抗電漿。藉由使第二層410形成在第一層408上、而非直接在主體405上,第一層408可做為緩衝,以減小後續層的晶格失配。如此可增加第二層410的壽命。
在另一實例中,主體、Y3Al5O12(YAG)、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物各具不同的熱膨脹係數。二鄰接材料的熱膨脹係數失配越大,該等材料之一最後越可能破裂、剝離或失去其與其他材料的鍵結。可以最小化鄰接層(或層與主體405、505)的熱膨脹係數失配的方式形成保護層堆疊406、506。例如,主體505可為氧化鋁,EAG的熱膨脹係數為最接近氧化鋁的熱膨脹係數,其次為YAG的熱膨脹 係數,其次為化合物陶瓷的熱膨脹係數。故在一實施例中,第一層508可為EAG,第二層510可為YAG,第三層515可為化合物陶瓷。
在又一實例中,保護層堆疊506中的層可為兩種不同陶瓷交替層。例如,第一層508和第三層515可為YAG,第二層510和第四層518可為化合物陶瓷。若用於交替層的其一材料係無定形,用於交替層的另一材料係結晶或奈米結晶,則交替層可提供類似上述優點。
在一些實施例中,薄膜保護層堆疊406、506中的一或更多層係利用熱處理形成的過渡層。若主體405、505係陶瓷坯體,則可進行高溫熱處理,以促進薄膜保護層與主體間交互擴散。此外,可進行熱處理,以促進相鄰薄膜保護層間或厚保護層與薄膜保護層間交互擴散。注意過渡層可為非多孔層。過渡層可當作二陶瓷間的擴散結合,及改善相鄰陶瓷間的附著性。此有助於防止保護層在電漿處理期間破裂、剝離或剝落。
熱處理可以高達約1400℃-1600℃熱處理多達約24小時(例如在一實施例中為3-6小時)。此可在第一薄膜保護層與一或更多鄰接陶瓷坯體、厚保護層或第二薄膜保護層間形成交互擴散層。若陶瓷坯體係Al2O3且保護層由化合物陶瓷Y4Al2O9(YAM)與固體溶液Y2-xZrxO3(Y2O3-ZrO2固體溶液)組成,則將形成Y3Al5O12(YAG)介面層。同樣地,熱處理可在Er2O3與Al2O3間形成EAG過渡層。熱處理亦可在Y2O3與Al2O3間形成YAG過渡層。熱處理亦可在Gd2O3與Al2O3 間形成GAG過渡層。熱處理Al2O3上的氧化釔穩定氧化鋯(YSZ)可形成化合物陶瓷Y4Al2O9(YAM)與固體溶液Y2-xZrxO3的過渡層。其他過渡層可形成在其他鄰接陶瓷之間。
第6圖圖示製程600的實施例,用以形成薄膜保護層於物件的主體上,例如腔室部件。在製程600的方塊605中,提供物件。在方塊610中,決定是否沉積厚膜保護層至物件上。若欲形成厚膜保護層,則方法進行方塊615。否則,方法繼續進行方塊620。
在方塊615中,進行熱噴塗製程(例如電漿噴塗製程),以沉積厚膜保護層至物件上。在一些實施例中,進行熱噴塗製程前,粗糙化物件主體。厚膜保護層可為任何抗電漿陶瓷。一些厚膜保護層實例包括Y3Al6O12、Y4Al2O9、Y2O3、YSZ或包含Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物。就一些應用而言,形成厚膜保護層後,於厚膜保護層表面形成表面特徵結構。例如,若物件為ESC,則可形成臺面和He孔。在替代實施例中,抗電漿陶瓷盤或其他陶瓷結構可接合至物件主體、而不噴塗厚膜保護層。
在方塊620中,進行IAD或PVD,以沉積薄膜保護層至物件主體上。若在方塊615中形成厚膜保護層,則薄膜保護層可形成在厚膜保護層上做為頂塗層。薄膜保護層可為Y3Al6O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al6O12、Gd3Al6O12或Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物。薄膜保護層的沉積速率可為約1-8埃/秒,並可藉由調整沉積參數而改變。薄膜保護層可非常順應共形且厚度均勻,及對待沉積於 上的主體/基板有良好的附著力。
在方塊625中,決定是否沉積任何附加薄膜保護層。若欲沉積附加薄膜保護層,則製程繼續進行方塊630。在方塊630中,另一薄膜保護層形成在第一薄膜保護層上。其他薄膜保護層可由不同於第一薄膜保護層陶瓷的陶瓷組成。在一實施例中,其他薄膜保護層為Y3Al6O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al6O12、Gd3Al6O12或Y4Al2O9與Y2O3-ZrO2固體溶液的陶瓷化合物之一。方法接著返回方塊625。若在方塊625中不施加附加薄膜保護層,則結束製程。沉積任一薄膜保護層後,可於該薄膜保護層中形成表面特徵結構。
第7A圖圖示沉積機制,此沉積機制可應用到各種採用高能粒子的沉積技術,例如離子輔助沉積(IAD)。示例性IAD方法包括併入離子轟擊的沉積製程,例如蒸鍍(例如活化反應蒸鍍(ARE)),及在存有離子轟擊的情況下濺射,以形成所述抗電漿塗層。任何IAD方法可在存有反應氣體物種的情況下進行,例如O2、N2、鹵素等。
如所示,在存有高能粒子703(例如離子)的情況下堆積沉積材料702,以形成薄膜保護層715。沉積材料702包括原子、離子、自由基或上述物質混合物。形成時,高能粒子703會撞擊及壓實薄膜保護層715。
在一實施例中,IAD用於形成前述薄膜保護層715。第7B圖圖示IAD沉積設備的示意圖。如所示,材料源750提供流動沉積材料702,高能粒子源755提供流動高能粒子703,在整個IAD製程過程中,二者均撞擊材料源750。高能 粒子源755可為氧或其他離子源。高能粒子源755亦可提供其他類型的高能粒子,例如惰性自由基、中子原子和奈米級微粒,奈米級微粒出自微粒產生源(例如出自電漿、反應氣體或出自提供沉積材料的材料源)。用於提供沉積材料702的材料源(例如靶材主體)750可為大塊燒結陶瓷,此對應和組成薄膜保護層715一樣的陶瓷。例如,材料源可為大塊燒結陶瓷化合物坯體或大塊燒結YAG、Er2O3、Gd2O3、Er3Al5O12或Gd3Al5O12。IAD可採用一或更多電漿或射束,以提供材料和高能粒子源。沉積抗電漿塗層期間,亦可提供反應物種。在一實施例中,高能粒子703包括至少一不反應物種(例如Ar)或反應物種(例如O)。在進一步實施例中,亦可於形成抗電漿塗層期間引入諸如CO與鹵素(Cl、F、Br等)的反應物種,以進一步提高選擇性移除最微弱接合薄膜保護層715的沉積材料的傾向。
利用IAD製程,可獨立於其他沉積參數,由高能離子(或其他粒子)源755個別控制高能粒子703。根據能量(例如速度)、密度和高能離子通量的入射角,可操縱薄膜保護層的組成、結構、結晶定向和晶粒大小。可調整的附加參數為沉積時的物件溫度和沉積時間。可把離子能粗略分成低能離子輔助和高能離子輔助。比起低能離子輔助,高能離子輔助可以較高速度投射離子。通常,採用高能離子輔助可獲得較佳性能。可把沉積時的基板(物件)溫度粗略分成低溫(在一實施例中為約120℃-150℃,此通常為室溫)和高溫(在一實施例中為約270℃)。
表2顯示利用IAD與各種沉積參數形成的多個示例性薄膜保護層。表列出由Y4Al2O9與Y2O3-ZrO2固體溶液形成的五個不同薄膜保護層實例。第一示例性化合物陶磁薄膜保護層的厚度為5微米,並以低能離子輔助的IAD形成,沉積溫度為270℃,沉積速率為2埃/秒(A/s)。X光繞射顯示第一示例性化合物陶磁薄膜保護層具有結晶結構。第一示例性化合物陶磁薄膜保護層亦具有4.11GPa的硬度,目視檢查顯示順應底下基板的良好共形性和一些垂直裂縫與一些尖頭。
第二示例性化合物陶磁薄膜保護層的厚度為6微米,並以低能離子輔助的IAD形成,沉積溫度為270℃,最初2微米的沉積速率為1A/s,其後4微米的沉積速率為2A/s。X光繞射顯示第二示例性化合物陶磁薄膜保護層具有奈米結晶結構(其中部分為結晶,部分為無定形)。用作密封時,第二示例性化合物陶磁薄膜保護層能維持低至5E-6cm3/s的真空度。目視檢查第二示例性化合物陶磁薄膜保護層顯示良好的共形性和比第一示例性化合物陶磁薄膜保護層少的垂直裂縫。
第三示例性化合物陶磁薄膜保護層的厚度為5微米,並以低能離子輔助的IAD形成,沉積溫度為270℃,沉積速率為1A/s。X光繞射顯示第三示例性化合物陶磁薄膜保護層具有奈米結晶結構。用作密封時,第三示例性化合物陶磁薄膜保護層能維持低至6.3E-6cm3/s的真空度。目視檢查第三示例性化合物陶磁薄膜保護層顯示良好的共形性和比第一示例性化合物陶磁薄膜保護層少的垂直裂縫。
第四示例性化合物陶磁薄膜保護層的厚度為5微米,並以高能離子輔助的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1A/s,其後4微米為2A/s。X光繞射顯示第三示例性化合物陶磁薄膜保護層具有近乎無定形結構。用作密封時,第三示例性化合物陶磁薄膜保護層能維持低至1.2E-9cm3/s的真空度。目視檢查第四示例性化合物陶磁薄膜保護層顯示良好的共形性、平滑表面和很少的垂直裂縫。此外,第四示例性化合物陶磁薄膜保護層的硬度為7.825 GPa。
第五示例性化合物薄膜保護層係以和第四示例性化合物薄膜保護層一樣的參數形成,但沉積溫度為室溫(約120℃-150℃)。第五示例性化合物薄膜保護層的性質類似第四示例性化合物薄膜保護層。
第一示例性YAG薄膜保護層的厚度為5微米,並以低能離子輔助的IAD形成,沉積溫度為270℃,沉積速率為2.5A/s。X光繞射顯示第一YAG陶磁薄膜保護層具有無定形結構。第一YAG薄膜保護層亦具有5.7GPa的硬度,目視檢查顯示良好的共形性、最少裂縫與平滑表面。
第二示例性YAG薄膜保護層的厚度為5微米,並以高能離子輔助的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1A/s,其後4微米為2A/s。X光繞射顯示第二YAG薄膜保護層具有無定形結構。第二YAG薄膜保護層亦具有8.5GPa的硬度,目視檢查顯示良好的共形性、較第一YAG薄膜少的裂縫與平滑表面。
具化合物陶瓷與YAG層交替的示例性薄膜保護層堆疊的厚度為5微米,並以低能離子輔助的IAD形成,沉積溫度為270℃,沉積速率為2A/s。X光繞射顯示交替層呈無定形(就YAG層而言)和結晶或奈米結晶(就化合物陶瓷層而言)。目視檢查顯示化合物陶瓷層有較少的垂直裂縫。
第一示例性Er2O3薄膜保護層的厚度為5微米,並以低能離子輔助的IAD形成,沉積溫度為270℃,沉積速率為2A/s。X光繞射顯示第一Er2O3陶瓷薄膜保護層具有結晶 結構。目視檢查顯示良好的共形性和垂直裂縫。
第二示例性Er2O3薄膜保護層的厚度為5微米,並以高能離子輔助的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1A/s,其後4微米的沉積速率為2A/s。X光繞射顯示第二Er2O3陶瓷薄膜保護層具有結晶結構。目視檢查顯示良好的共形性和比第一Er2O3陶瓷薄膜保護層少的垂直裂縫。
第一示例性EAG薄膜保護層的厚度為7.5微米,並以高能離子輔助的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1A/s,其後的沉積速率為2A/s。X光繞射顯示第一EAG陶瓷薄膜保護層具有無定形結構,層的硬度為8.485GPa。目視檢查顯示良好的共形性與最少裂縫。
第二示例性EAG薄膜保護層的厚度為7.5微米,並以高能離子輔助的IAD形成,沉積溫度為120℃-150℃,最初1微米的沉積速率為1A/s,其後的沉積速率為2A/s。X光繞射顯示第二EAG陶瓷薄膜保護層具有無定形結構,層的硬度為9.057GPa。目視檢查顯示良好的共形性和比第一EAG陶瓷薄膜保護層少的裂縫。
第8圖至第9圖圖示根據本發明實施例形成的薄膜保護層的腐蝕速率。第8圖圖示薄膜保護層接觸CH4/Cl2電漿化學品時的腐蝕速率。如所示,相較於Al2O3,IAD沉積薄膜保護層的抗腐蝕性改善很多。例如,純度92%的氧化鋁的腐蝕速率為約18奈米/射頻小時(nm/RFHr),純度99.8%的氧化鋁的腐蝕速率為約56nm/RFHr。反之,IAD沉積化合物陶 磁薄膜保護層的腐蝕速率為約3nm/RFHr,IAD沉積YAG薄膜保護層的腐蝕速率為約1nm/RFHr。
第9圖圖示薄膜保護層接觸H2/NF3電漿化學品時的腐蝕速率。如所示,相較於Al2O3,IAD沉積薄膜保護層的抗腐蝕性改善很多。例如,純度92%的氧化鋁的腐蝕速率為約190nm/RFHr,純度99.8%的氧化鋁的腐蝕速率為約165nm/RFHr。反之,IAD沉積YAG薄膜保護層的腐蝕速率為約52nm/RFHr。同樣地,利用具低能離子的IAD沉積的化合物陶磁薄膜保護層的腐蝕速率為約45nm/RFHr,利用具高能離子的IAD沉積的化合物陶磁薄膜保護層的腐蝕速率為約35nm/RFHr。利用具高沉積溫度(例如約270℃)的IAD沉積的EAG薄膜保護層的腐蝕速率為約95nm/RFHr,利用具低沉積溫度(例如約120℃-150℃)的IAD沉積的EAG薄膜保護層的腐蝕速率為約70nm/RFHr。利用具高能離子的IAD沉積的Er2O3薄膜保護層的腐蝕速率為約35nm/RFHr。
第10圖至第11圖圖示根據本發明實施例形成的薄膜保護層的粗糙度輪廓。第10圖圖示第8圖薄膜保護層在接觸CH4/Cl2電漿化學品100RFHrs前後的表面粗糙度輪廓。如所示,IAD沉積的薄膜保護層顯示在接觸CH4/Cl2電漿化學品100RFHrs後,表面粗糙度呈最小變化。
第11圖圖示第9圖薄膜保護層在接觸H2/NF3電漿化學品35RFHrs前後的表面粗糙度輪廓。如所示,IAD沉積的薄膜保護層顯示在接觸H2/NF3電漿化學品35RFHrs後,表面粗糙度呈最小變化。
以上說明提及眾多特定細節,例如特定系統、部件、方法等實例,以對本發明的數個實施例有更徹底的理解。然熟諳此技術者將明白本發明的至少一些實施例可不按該等特定細節實踐。在其他情況下,不詳述已知部件或方法,或是以簡易方塊圖表示,以免讓本發明變得晦澀難懂。故提及的特定細節僅為舉例而已。特定實施方式可由該等示例性細節修改而得,且仍視為落在本發明的範圍內。
整份說明書提及的「一實施例」或「一個實施例」意指該實施例描述的特定特徵、結構或特性係包括在至少一實施例內。故說明書各處出現的如「在一實施例中」或「在一個實施例中」等用語不必然指稱同一實施例。此外,「或」一詞擬指包容性「或」、而非排除性「或」。本文所用「約」或「約莫」一詞擬指所示標稱值的精確度在±30%以內。
雖然本文所示及所述方法操作係呈特定順序,但各方法操作順序可改變成讓某些操作按相反順序進行,或使某些操作至少部分與其他操作同時進行。在另一實施例中,可以間歇及/或交替方式進行不同操作的指令或次操作。
應理解以上敘述僅為舉例說明,而無限定意圖。熟諳此技術者在閱讀及理解本文後將能明白許多其他實施例。因此,本發明的保護範圍應視後附申請專利範圍和申請專利範圍主張的全部均等物範圍所界定者為準。

Claims (20)

  1. 一種物件,包含:一主體;以及一第一保護層,位於該主體的至少一表面上,其中該第一保護層係一薄膜,該薄膜包含一第一陶瓷,該第一陶瓷選自由下列所組成的一群組:Y3Al5O12,具有8.5GPa+/-高達30%的一硬度與下列至少一者:11.3E16Ω.cm+/-高達30%的一體積電阻率、9.76+/-高達30%的一介電常數或20.1W/m-K+/-高達30%的一熱導率、Er2O3,具有5GPa+/-高達30%的一硬度、Er3Al5O12,具有9GPa+/-高達30%的一硬度和一陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液,該陶瓷化合物具有7.8GPa+/-高達30%的一硬度與下列至少一者:4.1E16Ω.cm+/-高達30%的一體積電阻率、9.83+/-高達30%的一介電常數或19.9W/m-K+/-高達30%的一熱導率,其中該第一保護層具有小於1%的一孔隙度。
  2. 如請求項1所述之物件,其中該第一保護層包含Y3Al5O12或包含Y4Al2O9與該Y2O3-ZrO2固體溶液的該陶瓷化合物,且其中:該Y3Al5O12具有11.3E16Ω.cm+/-高達10%的一體積電阻率、9.76+/-高達10%的一介電常數、20.1W/m-K+/-高達10%的一熱導率以及8.5GPa+/-高達10%的一硬度;以及包含Y4Al2O9與該Y2O3-ZrO2固體溶液的該陶瓷化合物具有4.1E16Ω.cm+/-高達10%的一體積電阻率、9.83+/-高達10%的一介電常數、19.9W/m-K+/-高達10%的一熱導率以及7.8GPa+/-高達10%的一硬度。
  3. 如請求項1所述之物件,其中該第一保護層包含Er3Al5O12,該第一保護層具有9.54+/-高達10%的一介電常數、19.2W/m-K+/-高達10%的一熱導率以及9GPa+/-高達10%的一硬度。
  4. 如請求項1所述之物件,其中:該物件包含一腔室部件,該腔室部件選自由一腔室蓋、一噴嘴、一噴淋頭基底、一噴淋頭氣體分配板(GDP)、一腔室觀察孔、一處理套環、一屏蔽、一電漿屏、一流量均衡器、一腔壁和一襯層套組所組成的一群組;及該主體包含Al2O3、AlN、Al、陽極處理鋁、鈦、不鏽鋼、石英、藍寶石、Si或SiC的至少一者。
  5. 如請求項1所述之物件,進一步包含:一保護層堆疊,位於該主體的該至少一表面上,該保護層堆疊包含至少該第一保護層和一第二保護層,該第二保護層覆蓋該第一保護層,其中該第二保護層係一薄膜,該薄膜包含不同於該第一陶瓷的一第二陶瓷,該第二陶瓷選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和包含Y4Al2O9與一Y2O3-ZrO2固體溶液的該陶瓷化合物所組成的一群組。
  6. 如請求項5所述之物件,其中:該保護層堆疊中的該第一保護層具有一無定形結構且包含Y3Al5O12或Er3Al5O12;以及該保護層堆疊中的該第二保護層具有一結晶或奈米結晶結構且包含Er2O3、Gd2O3或包含Y4Al2O9與一Y2O3-ZrO2固體溶液的該陶瓷化合物。
  7. 如請求項1所述之物件,其中該第一保護層的一第一粗糙度約莫和該主體的一第二粗糙度一樣,且其中該第一保護層的一厚度小於約20微米。
  8. 一種物件,包含:一主體;以及一保護層堆疊,位於該主體的至少一表面上,該保護層堆疊包含至少一第一保護層與一第二保護層,該第二保護層覆蓋該第一保護層的至少一部份,其中該第一保護層係一薄膜,該薄膜包含一第一陶瓷,該第一陶瓷選自由下列所組成的一群組:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和一陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液,其中該第一保護層具有小於1%的一孔隙度,其中該第二保護層係一薄膜,該薄膜包含不同於該第一陶瓷的一第二陶瓷,該第二陶瓷選自由下列所組成的一群組:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和一陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液,以及其中該主體具有一第一熱膨脹係數值,該第一保護層具有一第二熱膨脹係數值,以及該第二保護層具有一第三熱膨脹係數值,其中該第二熱膨脹係數值介於該第一熱膨脹係數值與該第三熱膨脹係數值之間。
  9. 一種物件,包含:一主體;一第一保護層,位於該主體的至少一表面上,其中該第一保護層係一厚膜,該厚膜包含一第一陶瓷,該第一陶瓷選自由下列所組成的一群組:Y3Al5O12、Y4Al2O9、Y2O3和一陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液;以及一第二保護層,覆蓋該第一保護層的至少一部份,其中該第二保護層係一薄膜,且其中該第二保護層包含一第二陶瓷,該第二陶瓷選自由下列所組成的一群組:Y3Al5O12,具有8.5GPa+/-高達30%的一硬度與下列至少一者:11.3E16Ω.cm+/-高達30%的一體積電阻率、9.76+/-高達30%的一介電常數或20.1W/m-K+/-高達30%的一熱導率、Er2O3,具有5GPa+/-高達30%的一硬度、Er3Al5O12,具有9GPa+/-高達30%的一硬度和該陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液,該陶瓷化合物具有7.8GPa+/-高達30%的一硬度與下列至少一者:4.1E16Ω.cm+/-高達30%的一體積電阻率、9.83+/-高達30%的一介電常數或19.9W/m-K+/-高達30%的一熱導率,其中該第二保護層具有小於1%的一孔隙度。
  10. 一種物件,包含:一主體;以及一第一保護層,位於該主體的至少一表面上,其中該第一保護層係一薄膜,該薄膜包含一第一陶瓷,該第一陶瓷選自由下列所組成的一群組:Er2O3和Er3Al5O12,其中該第一保護層具有小於1%的一孔隙度,以及其中該第一保護層包含複數個表面特徵結構形成於該第一保護層的一表面上,其中該複數個表面特徵結構包含下列至少一者:臺面、密封帶、氣體流道、氦氣孔、氣體分配孔、氣體分配孔周圍的凹部或凸塊、或一接合線。
  11. 一種製造一物件的方法,包含:提供該物件;以及進行離子輔助沉積(IAD)或物理氣相沉積(PVD)的至少一者,以沉積一第一保護層至該物件的至少一表面上,其中該第一保護層係一薄膜,該薄膜包含一第一陶瓷,該第一陶瓷選自由下列所組成的一群組:Y3Al5O12,具有8.5GPa+/-高達30%的一硬度與下列至少一者:11.3E16Ω.cm+/-高達30%的一體積電阻率、9.76+/-高達30%的一介電常數或20.1W/m-K+/-高達30%的一熱導率、Er2O3,具有5GPa+/-高達30%的一硬度、Er3Al5O12,具有9GPa+/-高達30%的一硬度和一陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液,該陶瓷化合物具有7.8GPa+/-高達30%的一硬度與下列至少一者:4.1E16Ω.cm+/-高達30%的一體積電阻率、9.83+/-高達30%的一介電常數或19.9W/m-K+/-高達30%的一熱導率,其中該第一保護層具有小於1%的一孔隙度。
  12. 如請求項11所述之方法,其中該第一保護層包含Y3Al5O12或包含Y4Al2O9與該Y2O3-ZrO2固體溶液的該陶瓷化合物,且其中:該Y3Al5O12具有11.3E16Ω.cm+/-高達10%的一體積電阻率、9.76+/-高達10%的一介電常數、20.1W/m-K+/-高達10%的一熱導率以及8.5GPa+/-高達10%的一硬度;以及包含Y4Al2O9與該Y2O3-ZrO2固體溶液的該陶瓷化合物具有4.1E16Ω.cm+/-高達10%的一體積電阻率、9.83+/-高達10%的一介電常數、19.9W/m-K+/-高達10%的一熱導率以及7.8GPa+/-高達10%的一硬度。
  13. 如請求項11所述之方法,其中該第一保護層包含Er3Al5O12,該第一保護層具有9.54+/-高達10%的一介電常數、19.2W/m-K+/-高達10%的一熱導率以及9GPa+/-高達10%的一硬度。
  14. 如請求項11所述之方法,其中:該物件包含一腔室部件,該腔室部件選自由一腔室蓋、一噴嘴、一噴淋頭基底、一噴淋頭氣體分配板(GDP)、一腔室觀察孔、一處理套環、一屏蔽、一電漿屏、一流量均衡器、一腔壁和一襯層套組所組成的一群組;該物件包含Al2O3、AlN、Al、陽極處理鋁、鈦、不鏽鋼、石英、藍寶石、Si或SiC的至少一者;以及該第一保護層的一厚度小於約20微米。
  15. 如請求項11所述之方法,進一步包含:進行IAD或PVD的至少一者,以沉積一第二保護層至該第一保護層的至少一部份上,其中該第二保護層係一薄膜,該薄膜包含不同於該第一陶瓷的一第二陶瓷,該第二陶瓷選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和包含Y4Al2O9與一Y2O3-ZrO2固體溶液的該陶瓷化合物所組成的一群組。
  16. 如請求項15所述之方法,其中:該第一保護層具有一無定形結構且包含Y3Al5O12或Er3Al5O12;以及該第二保護層具有一結晶或奈米結晶結構且包含Er2O3、Gd2O3或包含Y4Al2O9與一Y2O3-ZrO2固體溶液的該陶瓷化合物。
  17. 如請求項15所述之方法,其中該物件具有一第一熱膨脹係數值,該第一保護層具有一第二熱膨脹係數值,該第二保護層具有一第三熱膨脹係數值,其中該第二熱膨脹係數值介於該第一熱膨脹係數值與該第三熱膨脹係數值之間。
  18. 如請求項11所述之方法,進一步包含:在進行該IAD或該PVD的至少一者後,遮蔽該物件,及進行薄膜沉積或蝕刻多個未遮蔽的特定區域的至少一者,以在該第一保護層中形成複數個表面特徵結構,其中該複數個表面特徵結構包含下列至少一者:臺面、密封帶、氣體流道、氦氣孔、氣體分配孔、氣體分配孔周圍的凹部或凸塊、或一接合線。
  19. 一種製造一物件的方法,包含:提供一主體;進行一熱噴塗製程,以沉積一第一保護層至該主體的至少一表面上,其中該第一保護層係一厚膜,該厚膜包含一第一陶瓷,該第一陶瓷選自由下列所組成的一群組:Y3Al5O12、Y4Al2O9、Y2O3和一陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液;以及進行離子輔助沉積(IAD)或物理氣相沉積(PVD)的至少一者,以沉積一第二保護層覆蓋該第一保護層的至少一部份,其中該第二保護層係一薄膜,且其中該第二保護層包含一第二陶瓷,該第二陶瓷選自由下列所組成的一群組:Y3Al5O12,具有8.5GPa+/-高達30%的一硬度與下列至少一者:11.3E16Ω.cm+/-高達30%的一體積電阻率、9.76+/-高達30%的一介電常數或20.1W/m-K+/-高達30%的一熱導率、Er2O3,具有5GPa+/-高達30%的一硬度、Er3Al5O12,具有9GPa+/-高達30%的一硬度和該陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液,該陶瓷化合物具有7.8GPa+/-高達30%的一硬度與下列至少一者:4.1E16Ω.cm+/-高達30%的一體積電阻率、9.83+/-高達30%的一介電常數或19.9W/m-K+/-高達30%的一熱導率,其中該第二保護層具有小於1%的一孔隙度。
  20. 一種用於一處理腔室的腔室部件,該腔室部件係由一製程所製備,該製程包含:進行離子輔助沉積(IAD)或物理氣相沉積(PVD)的至少一者,以沉積一第一保護層至該腔室部件的至少一表面上,其中該第一保護層係一薄膜,該薄膜包含一第一陶瓷,該第一陶瓷選自由下列所組成的一群組:Y3Al5O12,具有8.5GPa+/-高達30%的一硬度與下列至少一者:11.3E16Ω.cm+/-高達30%的一體積電阻率、9.76+/-高達30%的一介電常數或20.1W/m-K+/-高達30%的一熱導率、Er2O3,具有5GPa+/-高達30%的一硬度、Er3Al5O12,具有9GPa+/-高達30%的一硬度和一陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液,該陶瓷化合物具有7.8GPa+/-高達30%的一硬度與下列至少一者:4.IE16Ω.cm+/-高達30%的一體積電阻率、9.83+/-高達30%的一介電常數或19.9W/m-K+/-高達30%的一熱導率;以及進行IAD或PVD的至少一者,以沉積一第二保護層至該第一保護層的至少一部份上,其中該第二保護層係一薄膜,該薄膜包含不同於該第一陶瓷的一第二陶瓷,該第二陶瓷選自由下列所組成的一群組:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和該陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固體溶液,其中該第一保護層具有小於1%的一孔隙度。
TW103121416A 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層 TWI664073B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361837595P 2013-06-20 2013-06-20
US61/837,595 2013-06-20
US14/306,583 2014-06-17
US14/306,583 US9850568B2 (en) 2013-06-20 2014-06-17 Plasma erosion resistant rare-earth oxide based thin film coatings

Publications (2)

Publication Number Publication Date
TW201504044A TW201504044A (zh) 2015-02-01
TWI664073B true TWI664073B (zh) 2019-07-01

Family

ID=52105282

Family Applications (5)

Application Number Title Priority Date Filing Date
TW108118330A TWI734119B (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層
TW112103828A TW202325541A (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層
TW103121416A TWI664073B (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層
TW110141086A TWI795981B (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層
TW110124003A TWI748928B (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW108118330A TWI734119B (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層
TW112103828A TW202325541A (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW110141086A TWI795981B (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層
TW110124003A TWI748928B (zh) 2013-06-20 2014-06-20 稀土氧化物系抗電漿腐蝕薄膜塗層

Country Status (6)

Country Link
US (5) US9850568B2 (zh)
JP (4) JP6496308B2 (zh)
KR (3) KR102294960B1 (zh)
CN (2) CN105247662B (zh)
TW (5) TWI734119B (zh)
WO (1) WO2014205212A1 (zh)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
KR20180100734A (ko) * 2015-02-13 2018-09-11 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
WO2017149205A1 (en) * 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
WO2018175647A1 (en) * 2017-03-21 2018-09-27 Component Re-Engineering Company, Inc. Ceramic material assembly for use in highly corrosive or erosive semiconductor processing applications
US20190078200A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
US11401611B2 (en) * 2017-11-09 2022-08-02 Solution Spray Technologies, LLC Thermal barrier coatings with CMAS resistance
KR102040910B1 (ko) 2018-02-05 2019-11-27 충북대학교 산학협력단 탈부착형 모듈을 이용한 IoT 환경 구축 방법
TWI709653B (zh) * 2018-02-15 2020-11-11 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
JP7319425B2 (ja) * 2018-05-15 2023-08-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用部品
JP7068921B2 (ja) 2018-05-15 2022-05-17 東京エレクトロン株式会社 部品の形成方法及びプラズマ処理装置
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
WO2020231665A1 (en) * 2019-05-13 2020-11-19 Applied Materials, Inc. Titanium liner to reduce metal contamination
US11289357B2 (en) * 2019-06-27 2022-03-29 Applied Materials, Inc. Methods and apparatus for high voltage electrostatic chuck protection
WO2021002339A1 (ja) * 2019-07-03 2021-01-07 時田シーブイディーシステムズ株式会社 複合膜、部品及び製造方法
TWI768256B (zh) * 2019-10-29 2022-06-21 行政院原子能委員會核能研究所 摻雜型金屬氧化物薄膜的製作方法
US20210183620A1 (en) * 2019-12-13 2021-06-17 Applied Materials, Inc. Chamber with inductive power source
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
JP7115582B2 (ja) * 2020-04-30 2022-08-09 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
TWI777504B (zh) * 2020-04-30 2022-09-11 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
JP7140222B2 (ja) 2020-04-30 2022-09-21 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
TWI778587B (zh) * 2020-04-30 2022-09-21 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
CN113707526B (zh) * 2020-05-20 2024-05-24 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
JP7476039B2 (ja) 2020-09-02 2024-04-30 キオクシア株式会社 半導体装置の検査装置、及び、半導体装置の検査方法
TW202237397A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202238998A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TWI781593B (zh) * 2021-04-21 2022-10-21 翔名科技股份有限公司 耐電漿腐蝕的保護層與其形成方法
US20240021795A1 (en) 2021-08-13 2024-01-18 Lg Energy Solution, Ltd. Anode active material, anode slurry, anode, and secondary battery
KR20240035883A (ko) * 2021-08-31 2024-03-18 교세라 가부시키가이샤 내플라즈마 적층체, 그 제조 방법, 및 플라즈마 처리 장치
KR102535560B1 (ko) * 2022-10-14 2023-05-26 주식회사 코미코 내플라즈마성 코팅막의 제조방법
KR102674395B1 (ko) 2023-12-04 2024-06-12 주식회사 디에프텍 이온빔 소스를 이용하여 내플라즈마 특성 향상을 위한 코팅 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1045461A (ja) * 1996-07-31 1998-02-17 Kyocera Corp 耐食性部材
TW200946331A (en) * 2008-02-26 2009-11-16 Applied Materials Inc Ceramic coating comprising yttrium which is resistant to a reducing plasma

Family Cites Families (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH0775893A (ja) 1993-09-03 1995-03-20 Hitachi Ltd 構造物の補修方法および予防保全方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
KR100471728B1 (ko) 1996-04-12 2005-03-14 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
DE69920152T2 (de) 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
JP4505169B2 (ja) 1999-09-29 2010-07-21 東京エレクトロン株式会社 多重領域抵抗ヒータ
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001058828A1 (fr) 2000-02-07 2001-08-16 Ibiden Co., Ltd. Substrat ceramique pour dispositif de production ou d'examen de semi-conducteurs
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
BR0110528A (pt) 2000-05-02 2004-03-09 Univ Johns Hopkins Método de fabricação de uma folha de camada múltipla reativa independentemente
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1642994B8 (en) 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
WO2002009167A2 (en) 2000-07-20 2002-01-31 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
WO2002040732A1 (en) 2000-11-15 2002-05-23 G.T. Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
RU2004101636A (ru) 2001-08-02 2005-06-10 3М Инновейтив Пропертиз Компани (US) Материалы на основе оксида алюминия, оксида иттрия, оксида циркония/оксида гафния и способы их изготовления и использования
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) * 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
TWI241284B (en) 2002-06-06 2005-10-11 Ngk Insulators Ltd A method of producing sintered bodies, a method of producing shaped bodies, shaped bodies, corrosion resistant members and a method of producing ceramic member
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004107718A (ja) * 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR101168422B1 (ko) 2002-11-20 2012-07-25 신에쓰 가가꾸 고교 가부시끼가이샤 내열성 피복 부재의 제조 방법
TW200423195A (en) * 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
CN100401478C (zh) 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
JP4045990B2 (ja) * 2003-03-26 2008-02-13 株式会社デンソー コーティング方法及びコーティング用治具
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7645526B2 (en) 2003-09-16 2010-01-12 Shin-Etsu Quartz Products, Ltd. Member for plasma etching device and method for manufacture thereof
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
EP1524682B1 (en) 2003-10-17 2011-10-05 Tosoh Corporation Component for vacuum apparatus, production method thereof and apparatus using the same
KR100756776B1 (ko) 2003-10-31 2007-09-07 가부시끼가이샤 도꾸야마 질화 알루미늄 접합체 및 그의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
BRPI0508204A (pt) 2004-03-24 2007-07-17 Massachusetts Inst Technology método de remoção de depósitos de uma superfìcie
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US20060165994A1 (en) 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
AU2005333196B2 (en) 2004-10-01 2009-10-01 American Superconductor Corp. Thick superconductor films with improved performance
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7838083B1 (en) * 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7790216B2 (en) * 2005-04-19 2010-09-07 Zimmer Technology, Inc. Method for producing a zirconia-layered orthopedic implant component
WO2006130759A2 (en) 2005-05-31 2006-12-07 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
JP5382677B2 (ja) 2005-06-17 2014-01-08 国立大学法人東北大学 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4571561B2 (ja) 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
KR101344990B1 (ko) 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
ATE518016T1 (de) 2006-05-12 2011-08-15 Fundacion Inasmet Verfahren zum erhalt von keramikbeschichtungen und erhaltene keramikbeschichtungen
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) * 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
WO2008044555A1 (fr) 2006-10-06 2008-04-17 Asahi Tech Co., Ltd. élément résistant à la corrosion et son procédé de fabrication
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
WO2008088071A1 (ja) 2007-01-17 2008-07-24 Toto Ltd. セラミック部材および耐蝕性部材
MX2009009745A (es) 2007-03-12 2009-09-23 Saint Gobain Ceramics Elementos de ceramica de alta resistencia y metodos para hacer y usar los mismos.
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US8062759B2 (en) 2007-12-27 2011-11-22 General Electric Company Thermal barrier coating systems including a rare earth aluminate layer for improved resistance to CMAS infiltration and coated articles
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
KR100969248B1 (ko) 2008-08-04 2010-07-14 한국해양연구원 해양 생물표본 촬영장치 및 방법
JP2011530833A (ja) * 2008-08-12 2011-12-22 アプライド マテリアルズ インコーポレイテッド 静電チャックアセンブリ
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US8667583B2 (en) 2008-09-22 2014-03-04 Microsoft Corporation Collecting and analyzing malware data
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
KR20110088549A (ko) 2008-11-04 2011-08-03 프랙스에어 테크놀로지, 인코포레이티드 반도체 응용을 위한 열 분무 코팅
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
FR2940278B1 (fr) 2008-12-24 2011-05-06 Snecma Propulsion Solide Barriere environnementale pour substrat refractaire contenant du silicium
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101750841B1 (ko) 2009-02-05 2017-06-26 오엘리콘 멧코 아게, 볼렌 기재 표면의 코팅 또는 처리를 위한 플라즈마 코팅 시스템 및 그 방법
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
US8137743B2 (en) 2009-05-08 2012-03-20 Fuchita Nanotechnology Ltd. Method for forming zirconia film
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
DE112010003392T5 (de) 2009-08-26 2012-06-06 Toyota Jidosha Kabushiki Kaisha Brennstoffzellensystem und Verfahren zum Betreiben eines Brennstoffzellensystems
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
WO2011066314A1 (en) 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
KR101400598B1 (ko) 2010-03-30 2014-05-27 엔지케이 인슐레이터 엘티디 반도체 제조 장치용 내식성 부재 및 그 제법
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120040100A1 (en) 2010-06-29 2012-02-16 Los Alamos National Security, Llc Solution deposition planarization method
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR101981766B1 (ko) 2011-06-02 2019-05-23 어플라이드 머티어리얼스, 인코포레이티드 정전기 척 aln 유전체 수리
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
CN104126028B (zh) 2011-12-19 2017-02-22 普莱克斯 S.T.技术有限公司 生产热障和环境障涂层的含水浆料及制备和施用其的方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
WO2013140668A1 (ja) 2012-03-22 2013-09-26 トーカロ株式会社 フッ化物溶射皮膜の形成方法およびフッ化物溶射皮膜被覆部材
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1045461A (ja) * 1996-07-31 1998-02-17 Kyocera Corp 耐食性部材
TW200946331A (en) * 2008-02-26 2009-11-16 Applied Materials Inc Ceramic coating comprising yttrium which is resistant to a reducing plasma

Also Published As

Publication number Publication date
CN111900084A (zh) 2020-11-06
US10119188B2 (en) 2018-11-06
JP6820359B2 (ja) 2021-01-27
US11680308B2 (en) 2023-06-20
US10501843B2 (en) 2019-12-10
US9850568B2 (en) 2017-12-26
JP2016528380A (ja) 2016-09-15
KR102586972B1 (ko) 2023-10-06
US20180073125A1 (en) 2018-03-15
JP6496308B2 (ja) 2019-04-03
KR102422715B1 (ko) 2022-07-18
JP2023145534A (ja) 2023-10-11
US20200087776A1 (en) 2020-03-19
KR20160022361A (ko) 2016-02-29
TW202325541A (zh) 2023-07-01
CN105247662A (zh) 2016-01-13
US20210317563A1 (en) 2021-10-14
US20180087144A1 (en) 2018-03-29
TWI795981B (zh) 2023-03-11
WO2014205212A1 (en) 2014-12-24
CN111900084B (zh) 2022-07-26
TWI734119B (zh) 2021-07-21
KR20210107172A (ko) 2021-08-31
JP2019108612A (ja) 2019-07-04
TW202138188A (zh) 2021-10-16
US11053581B2 (en) 2021-07-06
KR102294960B1 (ko) 2021-08-26
KR20220104281A (ko) 2022-07-26
TW201936389A (zh) 2019-09-16
US20140377504A1 (en) 2014-12-25
TWI748928B (zh) 2021-12-01
TW202206275A (zh) 2022-02-16
JP7368398B2 (ja) 2023-10-24
TW201504044A (zh) 2015-02-01
JP2021073372A (ja) 2021-05-13
CN105247662B (zh) 2020-08-28

Similar Documents

Publication Publication Date Title
TWI664073B (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
CN107916399B (zh) 稀土氧化物的顶部涂层的离子辅助沉积
US9916998B2 (en) Substrate support assembly having a plasma resistant protective layer
TWI656572B (zh) 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積