TWI654341B - Dense oxide coated component of a plasma processing chamber and method of manufacture thereof - Google Patents

Dense oxide coated component of a plasma processing chamber and method of manufacture thereof

Info

Publication number
TWI654341B
TWI654341B TW103111313A TW103111313A TWI654341B TW I654341 B TWI654341 B TW I654341B TW 103111313 A TW103111313 A TW 103111313A TW 103111313 A TW103111313 A TW 103111313A TW I654341 B TWI654341 B TW I654341B
Authority
TW
Taiwan
Prior art keywords
aluminum
dense oxide
layer
processing apparatus
pure
Prior art date
Application number
TW103111313A
Other languages
Chinese (zh)
Other versions
TW201504479A (en
Inventor
石洪
許臨
約翰 麥可 克恩斯
威廉 查爾斯
約翰 達芬提
希瓦卡米 拉曼內森
羅素 奧蒙德
羅伯特G 歐尼爾
湯姆 史帝文生
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201504479A publication Critical patent/TW201504479A/en
Application granted granted Critical
Publication of TWI654341B publication Critical patent/TWI654341B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/026Anodisation with spark discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/12Anodising more than once, e.g. in different baths
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/16Pretreatment, e.g. desmutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12479Porous [e.g., foamed, spongy, cracked, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12542More than one such component
    • Y10T428/12549Adjacent to each other
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12583Component contains compound of adjacent metal
    • Y10T428/1259Oxide

Abstract

一種形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,該方法包含冷噴塗一純鋁層於該鋁元件之一表面上到預定的厚度。一緻密氧化物之塗布係接著利用電漿電解氧化處理形成於該純鋁層上,其中該電漿電解氧化處理使該純鋁層經受微電漿放電,從而形成緻密的氧化物塗布於該鋁元件之表面上的該純鋁層上。A method of forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus, the method comprising cold spraying a layer of pure aluminum onto a surface of the aluminum component to a predetermined thickness. The coating of the uniform dense oxide is then formed on the pure aluminum layer by plasma electrolytic oxidation treatment, wherein the plasma electrolytic oxidation treatment subjects the pure aluminum layer to micro-plasma discharge, thereby forming a dense oxide coated on the aluminum. The layer of pure aluminum on the surface of the component.

Description

電漿處理腔室之塗布有緻密氧化物的元件及其製造方法Electrostatic processing chamber coated with dense oxide component and method of manufacturing same

本發明關於半導體電漿處理腔室之元件,且更具體地,用於半導體電漿處理腔室之元件的障壁塗布。The present invention relates to components of a semiconductor plasma processing chamber and, more particularly, to barrier coating of components of a semiconductor plasma processing chamber.

在半導體材料處理之領域中,包含真空處理腔室之半導體電漿處理腔室係用於,例如,蝕刻和沉積,例如在基板上所進行的各種材料之電漿蝕刻或電漿增強化學氣相沉積(PECVD)。這些處理的一部份利用在此等處理腔室中之腐蝕性和侵蝕性處理氣體及電漿。理想的情形為使腔室元件之磨損、及微粒及/或在腔室中受處理之基板的金屬污染最小化。因此,理想的情況是當此等設備之暴露至電漿及/或暴露至處理氣體的元件暴露至此等氣體和電漿時,可耐受腐蝕和侵蝕。In the field of semiconductor material processing, a semiconductor plasma processing chamber comprising a vacuum processing chamber is used, for example, for etching and deposition, such as plasma etching or plasma enhanced chemical vaporization of various materials performed on a substrate. Deposition (PECVD). Portions of these processes utilize corrosive and aggressive process gases and plasmas in such processing chambers. The ideal situation is to minimize wear of the chamber components, and metal contamination of the particles and/or the substrate being processed in the chamber. Therefore, it is desirable to withstand corrosion and erosion when the devices exposed to the plasma and/or the components exposed to the process gas are exposed to such gases and plasmas.

本文揭露了一種形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法。該方法包含將純鋁層冷噴塗於該鋁元件之一表面上到預定的厚度。利用一電漿電解氧化處理形成一緻密氧化物之塗布於該純鋁層上,其中該電漿電解氧化處理使該純鋁層經受微電漿放電,從而形成緻密的氧化物塗布於該鋁元件之表面上的該純鋁層上。Disclosed herein is a method of forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus. The method includes cold spraying a layer of pure aluminum onto one surface of the aluminum component to a predetermined thickness. Applying a plasma electrolytic oxidation treatment to form a uniform dense oxide coated on the pure aluminum layer, wherein the plasma electrolytic oxidation treatment subjects the pure aluminum layer to micro-plasma discharge, thereby forming a dense oxide coated on the aluminum component On the surface of the pure aluminum layer.

本文更揭露一種半導體電漿處理設備。該半導體電漿處理設備包含一電漿處理腔室、一處理氣體源、以及一射頻能量源,其中半導體基板係於該電漿處理腔室中進行處理;該處理氣體源係與該電漿處理腔室流體連接以將一處理氣體供應至該電漿處理腔室中;該射頻能量源係適用以在該處理腔室中將該處理氣體激發為電漿狀態。該半導體電漿處理設備包含至少一具有緻密氧化層之鋁元件。A semiconductor plasma processing apparatus is further disclosed herein. The semiconductor plasma processing apparatus includes a plasma processing chamber, a processing gas source, and a radio frequency energy source, wherein the semiconductor substrate is processed in the plasma processing chamber; the processing gas source is coupled to the plasma processing The chamber is fluidly coupled to supply a process gas to the plasma processing chamber; the RF energy source is adapted to excite the process gas into a plasma state in the processing chamber. The semiconductor plasma processing apparatus includes at least one aluminum component having a dense oxide layer.

本文亦揭露一種對於在半導體電漿處理設備中之半導體基板進行電漿處理之方法,該方法包含使用具有緻密氧化物塗布的至少一鋁元件。該方法包含供應來自該處理氣體供應源的處理氣體至電漿處理腔室中、使用射頻能量源施加射頻能量至該處理氣體以在該電漿處理腔室中生成電漿、以及對於在該電漿處理腔室中之半導體基板進行電漿處理。Also disclosed herein is a method of plasma treating a semiconductor substrate in a semiconductor plasma processing apparatus, the method comprising using at least one aluminum component coated with a dense oxide. The method includes supplying a process gas from the process gas supply source to a plasma processing chamber, applying radio frequency energy to the process gas using a radio frequency energy source to generate plasma in the plasma processing chamber, and for The semiconductor substrate in the slurry processing chamber is subjected to a plasma treatment.

本發明揭露一種半導體電漿處理腔室之包含緻密氧化物塗布的鋁元件。本發明所討論之鋁元件上的緻密氧化物塗布係為堅硬,並相對於物理及/或化學磨損能耐侵蝕和腐蝕。在半導體基板的處理期間,存在於電漿處理腔室中之腐蝕性氣體和電漿可導致物理及/或化學磨損的發生。較佳地緻密氧化物塗布具有外障壁層於其上,例如氧化釔障壁層。A dense oxide coated aluminum component of a semiconductor plasma processing chamber is disclosed. The dense oxide coating on the aluminum component discussed herein is rigid and resistant to corrosion and corrosion relative to physical and/or chemical wear. Corrosive gases and plasmas present in the plasma processing chamber can cause physical and/or chemical wear to occur during processing of the semiconductor substrate. Preferably, the dense oxide coating has an outer barrier layer thereon, such as a yttria barrier layer.

緻密氧化物塗布係利用電漿電解氧化(PEO)處理形成,該處理提供堅硬、緻密、且耐腐蝕的氧化物塗布。如本文所使用,「鋁元件」係由鋁或鋁合金製成之元件。另外,如本文所使用,「純鋁」係指具有至少99.9%重量份的鋁之材料,更佳地材料具有至少99.93%重量份的鋁之材料。亦如本文所使用,用語「約」是指±10%。緻密氧化物塗布形成保護層於鋁元件之表面上,俾使如鐵雜質之可在鋁元件中發現之污染物,將在電漿處理腔室內之污染最小化。例如鐵污染之污染可能會由於在半導體電漿處理期間鋁元件處理上之暴露。該半導體電漿處理腔室較佳地包含一真空室,且可為半導體電漿處理設備的電漿蝕刻或沉積腔室(在本文中簡稱為「電漿腔室」)。在以下描述中提出許多具體細節,以提供對本實施例之透徹理解。然而,對熟習本技藝者將顯而易見地,本發明可在缺少這些具體細節的部份或所有者的情況下實施。在其他情況下,眾所周知的處理操作不加以詳述,以免非必要地使本實施例失焦。The dense oxide coating is formed using a plasma electrolytic oxidation (PEO) process that provides a hard, dense, and corrosion resistant oxide coating. As used herein, an "aluminum component" is an element made of aluminum or an aluminum alloy. Further, as used herein, "pure aluminum" means a material having at least 99.9% by weight of aluminum, more preferably at least 99.93% by weight of aluminum. Also as used herein, the term "about" means ±10%. The dense oxide coating forms a protective layer on the surface of the aluminum component, minimizing contamination of the plasma processing chamber such as iron impurities that can be found in the aluminum component. For example, contamination from iron contamination may be due to exposure to aluminum components during semiconductor plasma processing. The semiconductor plasma processing chamber preferably includes a vacuum chamber and may be a plasma etch or deposition chamber (referred to herein simply as a "plasma chamber") of the semiconductor plasma processing apparatus. In the following description, numerous specific details are set forth to provide a thorough understanding of the embodiments. It will be apparent, however, to those skilled in the art that the invention may be practice In other instances, well known processing operations are not described in detail to avoid unnecessarily defocusing the present embodiment.

本文所述之鋁元件可包含一具有至少一鋁表面的基板,如鋁或鋁合金基板;以及在基板上形成一外層的緻密氧化物塗布。一層純鋁係冷噴塗於該鋁元件上以形成緻密的氧化物。該純鋁層可在其暴露之表面上具有一天然存在的氧化物。接著,採用電漿電解氧化(PEO)法,緻密氧化物塗布係形成於該純鋁層上並生長至所需的厚度。較佳地,待以緻密氧化物塗布塗布之元件為在電漿腔室內實用的鋁元件,例如腔室壁或腔室襯套。受塗佈之鋁表面可為一暴露至電漿或暴露至處理氣體之表面,如鋁基板之外表面。緻密的氧化物塗布可施加至鋁基板的一或更多,或全部的外表面上。可替代地,緻密的氧化物塗布可施加至鋁基板的內表面,如氣體通道。The aluminum component described herein can comprise a substrate having at least one aluminum surface, such as an aluminum or aluminum alloy substrate; and a dense oxide coating that forms an outer layer on the substrate. A layer of pure aluminum is cold sprayed onto the aluminum component to form a dense oxide. The layer of pure aluminum may have a naturally occurring oxide on its exposed surface. Next, using a plasma electrolytic oxidation (PEO) process, a dense oxide coating is formed on the pure aluminum layer and grown to a desired thickness. Preferably, the component to be coated with the dense oxide coating is an aluminum component that is practical in a plasma chamber, such as a chamber wall or a chamber liner. The coated aluminum surface can be a surface that is exposed to the plasma or exposed to the processing gas, such as the outer surface of the aluminum substrate. Dense oxide coating can be applied to one or more, or all, of the outer surface of the aluminum substrate. Alternatively, a dense oxide coating can be applied to the inner surface of the aluminum substrate, such as a gas channel.

在例如蝕刻處理之電漿處理期間,處理氣體可為含鹵素物種,例如,Cx Fy (x ≥ 1, y ≥ 1), Cx Hy Fz (x ≥ 1, y ≥ 1, z ≥ 1), HBr, NF3 , HBr, Cl2 , 及BCl3 ,這些物種相對於鋁和鋁合金表面係為腐蝕性,其中此腐蝕可能會釋放例如鐵之有害雜質,此雜質可污染在腔室中進行處理的半導體基板。因此,緻密氧化物塗布可較佳地施加至鋁或鋁合金的表面上形成可減少鋁及鋁合金表面的腐蝕之硬緻密塗布,從而減少在處理腔室中之鐵污染。During plasma processing such as etching, the process gas may be a halogen-containing species, for example, C x F y (x ≥ 1, y ≥ 1), C x H y F z (x ≥ 1, y ≥ 1, z ≥ 1), HBr, NF 3 , HBr, Cl 2 , and BCl 3 , these species are corrosive with respect to the surface of aluminum and aluminum alloys, where the corrosion may release harmful impurities such as iron, which may contaminate the cavity A semiconductor substrate that is processed in a chamber. Thus, dense oxide coating can be preferably applied to the surface of an aluminum or aluminum alloy to form a hard, dense coating that reduces corrosion of the aluminum and aluminum alloy surfaces, thereby reducing iron contamination in the processing chamber.

包含緻密氧化物塗布之元件可用於執行包含半導體基板的電漿蝕刻和材料沉積(例如,ALD、PECVD等)之各種處理的設備中,該等處理係用於製造各種基板,包括例如半導體晶圓、平面顯示器基板,及其相似物等等。根據設備的類型和結構,具有至少一個鋁或鋁合金表面的元件,且可選擇的至少一電漿暴露或處理暴露之表面可塗布緻密的氧化物,例如,腔室壁、腔室襯套、擋板、氣體分配板、氣體分配環、夾持機構(例如,靜電夾盤和鋁散熱片)、邊緣環、氣體噴嘴、緊固件、整流罩、約束環、及其相似物等等。該等元件可包含塗有耐腐蝕的緻密氧化物塗布之一或更多表面。在一些實施例中,該元件的整個外表面​​可包含PEO塗布。An element comprising a dense oxide coating can be used in an apparatus for performing various processes including plasma etching and material deposition (eg, ALD, PECVD, etc.) of a semiconductor substrate for manufacturing various substrates including, for example, a semiconductor wafer , flat panel display substrates, and the like. Depending on the type and configuration of the device, an element having at least one aluminum or aluminum alloy surface, and optionally at least one plasma exposed or treated exposed surface may be coated with a dense oxide, such as a chamber wall, a chamber liner, Baffles, gas distribution plates, gas distribution rings, clamping mechanisms (eg, electrostatic chucks and aluminum fins), edge rings, gas nozzles, fasteners, fairings, confinement rings, and the like. The elements may comprise one or more surfaces coated with a corrosion resistant dense oxide coating. In some embodiments, the entire outer surface of the element can comprise a PEO coating.

具有緻密氧化物塗布之鋁元件100的示例性實施例係顯示於圖1A。如圖所示,元件100包含具有鋁或鋁合金表面112的基板110,以及在表面112上之純鋁層130。緻密氧化物塗布120係形成於該純鋁層130上,俾使使用PEO處理所形成之緻密的氧化物塗布構成元件100之外表面124。基板110可較佳地完全由鋁或鋁合金(例如,Al 6061-T6)製成,或可替代地可以由鋁及其它導電材料、介電材料、或絕緣體之合成物製成,其中,至少基板110之表面112係由鋁或鋁合金製成。若基板110完全為鋁或鋁合金,則可被鍛造、擠壓、或鑄鋁。較佳地,該鋁合金可選自鋁業協會的1XXX,2XXX,3XXX,4XXX,5XXX,6XXX,7XXX和8XXX系列之鋁合金。較佳地,接收純鋁層之基板110的表面112係非陽極氧化。在替代性實施例中,在純鋁層130透過冷噴塗沉積前,鋁或鋁合金表面112可被陽極氧化及/或粗糙化。在進一步之較佳的實施例中,鋁或鋁合金表面112可以被研磨或機械處理。An exemplary embodiment of a dense oxide coated aluminum component 100 is shown in FIG. 1A. As shown, element 100 includes a substrate 110 having an aluminum or aluminum alloy surface 112, and a layer of pure aluminum 130 on surface 112. A dense oxide coating 120 is formed on the pure aluminum layer 130, and the dense oxide formed by the PEO treatment is applied to the outer surface 124 of the constituent member 100. The substrate 110 may preferably be made entirely of aluminum or an aluminum alloy (eg, Al 6061-T6), or alternatively may be made of aluminum and other conductive materials, dielectric materials, or composites of insulators, wherein at least The surface 112 of the substrate 110 is made of aluminum or an aluminum alloy. If the substrate 110 is entirely aluminum or an aluminum alloy, it can be forged, extruded, or cast aluminum. Preferably, the aluminum alloy may be selected from the aluminum alloys of the 1XXX, 2XXX, 3XXX, 4XXX, 5XXX, 6XXX, 7XXX and 8XXX series of aluminum alloy associations. Preferably, the surface 112 of the substrate 110 receiving the pure aluminum layer is non-anodized. In an alternative embodiment, the aluminum or aluminum alloy surface 112 may be anodized and/or roughened prior to deposition of the pure aluminum layer 130 by cold spray. In a further preferred embodiment, the aluminum or aluminum alloy surface 112 can be ground or mechanically treated.

純鋁層130係透過在鋁基板110的鋁表面112上冷噴塗純鋁而形成。冷噴塗為利用壓縮氣體的超音速射流,以在高速下加快近室溫之粉末微粒(在此較佳地為高純度的鋁)的動態噴塗處理,其中以大約450至1,500 m/s之間的速度行進之微粒與基板撞擊(在此為使用PEO處理加以塗布之鋁元件)以產生塗布。在一實施例中,該等微粒在撞擊時塑性地變形並鞏固於基板110上。冷噴塗亦可稱為氣體動力噴塗、超聲噴塗、及/或動力噴塗。冷噴塗處理的基礎是微粒的氣體動態加速度(從高純度金屬粉末)到達超音速之速度(450-1500 m/s),因此產生高動能,從而在撞擊時發生固態塑性變形和融合。例如,已鍛(完全加工)之純鋁可具有介於約40和45布氏硬度標度值,而冷噴塗純鋁可具有介於約55和60的布氏硬度標度值。在一實施例中,此可透過使用縮張第拉瓦噴嘴、高壓(高達500 psi或3.5 MPa)和例如氦氣,氬氣或氮氣之壓縮氣體的流速(高達 90m3 /小時)來實現。在另一實施例中,氣體可被預熱至(低於鋁的熔點,較佳地低於120℃)以增加塗布材料之粒子的速度。在一實施例中,金屬結合材料(此處為高純度鋁)的微粒可具有為約1至約50微米之粒徑,以及為從約2.5g/ cm3 至約20g/cm3 之粒子密度。The pure aluminum layer 130 is formed by cold-spraying pure aluminum on the aluminum surface 112 of the aluminum substrate 110. Cold spraying is a supersonic jet using compressed gas to accelerate the dynamic spraying treatment of powder particles (here preferably high purity aluminum) at near room temperature at high speed, wherein between about 450 and 1,500 m/s The velocity-traveling particles collide with the substrate (here, the aluminum component coated with PEO treatment) to produce a coating. In one embodiment, the particles are plastically deformed and consolidated on the substrate 110 upon impact. Cold spray can also be referred to as aerodynamic spray, ultrasonic spray, and/or power spray. The basis of the cold spray treatment is the dynamic acceleration of the gas (from high-purity metal powder) to the supersonic speed (450-1500 m/s), thus producing high kinetic energy, which causes solid plastic deformation and fusion upon impact. For example, pure aluminum that has been forged (fully processed) may have a Brinell hardness scale value of about 40 and 45, while cold sprayed pure aluminum may have a Brinell hardness scale value of between about 55 and 60. In one embodiment, this can be accomplished by using a tensor lava nozzle, high pressure (up to 500 psi or 3.5 MPa), and a flow rate of compressed gas such as helium, argon or nitrogen (up to 90 m 3 /hr). In another embodiment, the gas may be preheated (below the melting point of aluminum, preferably below 120 °C) to increase the velocity of the particles of the coating material. In one embodiment, the particles of the metal bond material (here high purity aluminum) may have a particle size of from about 1 to about 50 microns and a particle density of from about 2.5 g/cm 3 to about 20 g/cm 3 . .

惰性氣體係通常使用以作為與金屬粉末形成氣體-粉末混合物的氣體。根據本文之實施例的惰性氣體包含但不限於氬氣、氦氣、或相對非反應性氮氣或其二或更多種之混合物。在特殊情況下,也可使用空氣。若符合安全法規時,氫或氫與其它氣體的混合物之使用亦可納入考量且由於氫之非常高的音速可有利地運用。事實上氫的音速比氦大30%,而氦的音速為氮的約3倍。空氣的音速在20℃及1個大氣壓(atm)時為344 m/s,而具有較低分子量的氫(約2.016,相較於空氣之28.96的分子量)具有1308 m/s的音速。冷噴塗至鋁元件上之純鋁層具有低孔隙度。較佳地冷噴塗至鋁元件上之純鋁層具有小於約1%的孔隙率,更佳地,冷噴塗至鋁元件上之純鋁層具有小於約0.5%的孔隙率。An inert gas system is generally used as a gas which forms a gas-powder mixture with the metal powder. The inert gas according to embodiments herein includes, but is not limited to, argon, helium, or relatively non-reactive nitrogen or a mixture of two or more thereof. In special cases, air can also be used. The use of hydrogen or a mixture of hydrogen and other gases can also be taken into account if safety regulations are met and can be advantageously used due to the very high sonic speed of hydrogen. In fact, the speed of sound of hydrogen is 30% larger than that of 氦, and the speed of sound of 氦 is about 3 times that of nitrogen. The sonic velocity of air is 344 m/s at 20 ° C and 1 atm, while hydrogen with a lower molecular weight (about 2.016, compared to the molecular weight of 28.96 for air) has a sonic velocity of 1308 m/s. The pure aluminum layer cold sprayed onto the aluminum component has a low porosity. Preferably, the layer of pure aluminum that is cold sprayed onto the aluminum component has a porosity of less than about 1%, and more preferably, the layer of pure aluminum that is cold sprayed onto the aluminum component has a porosity of less than about 0.5%.

緻密氧化物塗布係透過將鋁元件的冷噴塗純鋁層接受電漿電解氧化(PEO)處理而形成。PEO處理包含在高電壓下(即>200V)將該鋁元件浸沒在濕式化學電解槽中,其中該鋁元件形成電化電池的第一電極。作為反向電極且完成電化電池之第二電極係較佳地為電解槽之一壁。電解槽係較佳地為如KOH之稀釋的鹼溶液。當施加至鋁元件及純鋁層上之電壓超過該純鋁層之外表面上天然發生的氧化物膜之介電斷損電位時,施加於電解槽的電極之間的電壓在鋁元件的純鋁層上形成緻密的氧化物塗布。在電解槽中的介電質破壞引起在純鋁層的表面和孔隝內之微電漿放電,其中純鋁層之孔隙內的電弧使孔隙自我回復,從而降低了純鋁層之孔隙率。此外,由於微電漿放電引起純鋁層之局部熔化,微電漿放電使自然發生的氧化物層生長並形成緻密的氧化物塗布。純鋁層在電解槽內之熔化形成了熔融的Al2 O3 ,其中熔融的Al2 O3 可以填充純鋁層之孔隙且可從純鋁層的孔隙流過。熔融的Al2 O3 接著固化成緻密的氧化物塗布(即Al2 O3 ),自行密封下方純Al層的孔隙並從而降低了純鋁層的孔隙率。形成於純Al層上之緻密的氧化物塗布具有小於冷噴塗純鋁層的孔隙率。例如,如果純鋁層係冷噴塗沉積為具有小於約1%之孔隙率,則用PEO處理形成的緻密氧化物塗布之孔隙率係較佳地小於約0.5%,或者,若純鋁層係冷噴塗沉積為具有小於約0.5%之孔隙率,則用PEO處理形成的緻密氧化物塗布之孔隙率係較佳低於約0.2%。較佳地,緻密的氧化物塗布係形成至由Al2 O3 所形成之緻密的α-結晶,如剛玉或藍寶石。The dense oxide coating is formed by subjecting a cold sprayed pure aluminum layer of an aluminum component to a plasma electrolytic oxidation (PEO) treatment. The PEO treatment involves immersing the aluminum component in a wet chemical cell at a high voltage (ie, >200 V), wherein the aluminum component forms the first electrode of the electrochemical cell. The second electrode as the counter electrode and completing the electrochemical cell is preferably one of the walls of the electrolytic cell. The electrolytic cell is preferably an alkaline solution such as KOH diluted. When the voltage applied to the aluminum component and the pure aluminum layer exceeds the dielectric breakdown potential of the naturally occurring oxide film on the surface of the pure aluminum layer, the voltage applied between the electrodes of the electrolytic cell is pure in the aluminum component A dense oxide coating is formed on the aluminum layer. Dielectric breakdown in the cell causes microplasma discharge in the surface of the pure aluminum layer and in the pores, where the arc within the pores of the pure aluminum layer causes the pores to self-recover, thereby reducing the porosity of the pure aluminum layer. In addition, due to the partial melting of the pure aluminum layer caused by the micro-plasma discharge, the micro-plasma discharge causes the naturally occurring oxide layer to grow and form a dense oxide coating. The melting of the pure aluminum layer in the electrolytic cell forms molten Al 2 O 3 , wherein the molten Al 2 O 3 can fill the pores of the pure aluminum layer and can flow through the pores of the pure aluminum layer. The molten Al 2 O 3 is then solidified into a dense oxide coating (i.e., Al 2 O 3 ), which seals the pores of the underlying pure Al layer and thereby reduces the porosity of the pure aluminum layer. The dense oxide coating formed on the pure Al layer has a porosity that is less than the cold sprayed pure aluminum layer. For example, if the pure aluminum layer is cold spray deposited to have a porosity of less than about 1%, the dense oxide coated porosity formed by the PEO treatment is preferably less than about 0.5%, or if the pure aluminum layer is cold. The spray deposited to have a porosity of less than about 0.5%, the porosity of the dense oxide coating formed by treatment with PEO is preferably less than about 0.2%. Preferably, the dense oxide coating is formed into a dense alpha-crystal formed from Al 2 O 3 , such as corundum or sapphire.

純鋁層130係較佳地冷噴塗至鋁元件到達一預定的厚度。較佳地該預定的厚度為約0.05至3 mm,更佳地約0.1至0.3 mm。緻密氧化物塗布120係接著使用PEO處理形成於純鋁層130上。使用PEO處理所形成之緻密氧化物塗布的表面粗糙度(Ra)係正比於該緻密氧化物塗布之厚度。如本文所使用,用語“表面粗糙度”係以算術平均數值(RA)表示以測量表面粗糙度。緻密氧化物塗布的表面粗糙度係為使用PEO處理所形成之緻密氧化物塗布的厚度約1/10。因此,表面粗糙度可藉由使用PEO處理將緻密氧化物塗布形成至預定的厚度而加以控制。較佳地緻密氧化物塗布為約0.02至0.2 mm厚,其中該緻密氧化物塗布形成時的表面粗糙度為約2至20 microns。The pure aluminum layer 130 is preferably cold sprayed to the aluminum component to a predetermined thickness. Preferably, the predetermined thickness is from about 0.05 to 3 mm, more preferably from about 0.1 to 0.3 mm. A dense oxide coating 120 is then formed on the pure aluminum layer 130 using a PEO process. The surface roughness (Ra) of the dense oxide coating formed using PEO treatment is proportional to the thickness of the dense oxide coating. As used herein, the term "surface roughness" is expressed as an arithmetic mean value (RA) to measure surface roughness. The surface roughness of the dense oxide coating is about 1/10 of the thickness of the dense oxide coating formed using the PEO treatment. Therefore, the surface roughness can be controlled by coating a dense oxide to a predetermined thickness using a PEO treatment. Preferably, the dense oxide coating is about 0.02 to 0.2 mm thick, wherein the surface roughness of the dense oxide coating is about 2 to 20 microns.

圖1B顯示鋁元件100具有緻密氧化物塗布的又一實施例。如圖所示,元件100包含具有鋁或鋁合金表面112的基板110,以及純鋁層130於表面112上。緻密氧化物塗布120係形成於純鋁層130上,俾使使用PEO處理形成之緻密氧化物塗布120形成元件100之一表面124。一可附加的障壁層140係較佳地沉積在緻密氧化物塗布120的表面124上。較佳地,該障壁層140係以陶瓷材料,如氧化釔所形成。較佳地,氧化釔為一純氧化釔。 純氧化釔較佳地具有至少約99%之純度,且更佳地具有約99.95%至約100%之純度。 此結構之細節可在共同受讓的美國專利第8293335號中找到,其係全數併入於此作為參考。基板110可較佳地完全由鋁或鋁合金(如AL 6061)製成,或可替代地可由鋁和其他導電材料、介電材料、或絕緣體之合成物製成,其中至少基板110之表面112係由鋁或鋁合金製成。若基板110完全為鋁或鋁合金,則可被鍛造、擠壓、或鑄鋁。較佳地,該鋁合金可選自鋁業協會的1XXX,2XXX,3XXX,4XXX,5XXX,6XXX,7XXX和8XXX系列之鋁合金。FIG. 1B shows yet another embodiment of aluminum component 100 having a dense oxide coating. As shown, element 100 includes a substrate 110 having an aluminum or aluminum alloy surface 112, and a layer of pure aluminum 130 on surface 112. The dense oxide coating 120 is formed on the pure aluminum layer 130, and the dense oxide coating 120 formed using the PEO treatment forms one surface 124 of the element 100. An additional barrier layer 140 is preferably deposited on the surface 124 of the dense oxide coating 120. Preferably, the barrier layer 140 is formed of a ceramic material such as yttria. Preferably, the cerium oxide is a pure cerium oxide. Pure cerium oxide preferably has a purity of at least about 99%, and more preferably from about 99.95% to about 100%. The details of this construction can be found in commonly assigned U.S. Patent No. 8,293,335, the entire disclosure of which is incorporated herein by reference. The substrate 110 may preferably be made entirely of aluminum or an aluminum alloy (such as AL 6061), or alternatively may be made of aluminum and other conductive materials, dielectric materials, or a composite of insulators, wherein at least the surface 112 of the substrate 110 It is made of aluminum or aluminum alloy. If the substrate 110 is entirely aluminum or an aluminum alloy, it can be forged, extruded, or cast aluminum. Preferably, the aluminum alloy may be selected from the aluminum alloys of the 1XXX, 2XXX, 3XXX, 4XXX, 5XXX, 6XXX, 7XXX and 8XXX series of aluminum alloy associations.

障壁層140可直接形成於緻密氧化物塗布120上,而不需事先使表面124粗糙化。在一個替代性實施例中,沉積障壁層140前可將表面124粗糙化。在一較佳實施例中,緻密氧化物塗布120在不需事先使表面124粗糙化的情況下提供合適的附著,從而省去額外的處理步驟。較佳地,緻密氧化物塗布120和障壁層140具有夠高的粘合強度以粘著至基板110之各自的表面112、124,該等表面上係形成塗布俾使當在元件100上進行拉伸粘結強度測試時,緻密氧化物塗布120和障壁層140在粘結性上未通過(即,在元件之基板主體),而非粘合性(即,在基板/塗布之接合面)。為確保障壁層140至緻密氧化物塗布120之良好的粘附,在障壁層140的沉積之前,表面124應徹底從氧化鏽皮清除。此清洗可透過將元件100在清潔溶液中攪拌而進行,例如稀釋之鹽酸、硫酸、脫脂溶劑的溶液、或蒸餾水或去離子水。The barrier layer 140 can be formed directly on the dense oxide coating 120 without prior roughening of the surface 124. In an alternative embodiment, surface 124 may be roughened prior to depositing barrier layer 140. In a preferred embodiment, the dense oxide coating 120 provides suitable attachment without the need to roughen the surface 124 in advance, thereby eliminating additional processing steps. Preferably, the dense oxide coating 120 and the barrier layer 140 have a high enough bond strength to adhere to the respective surfaces 112, 124 of the substrate 110, which are coated to form a coating on the component 100. In the tensile bond strength test, the dense oxide coating 120 and the barrier layer 140 did not pass adhesively (i.e., at the substrate body of the component), rather than the adhesion (i.e., at the substrate/coated joint). To ensure good adhesion of the barrier layer 140 to the dense oxide coating 120, the surface 124 should be thoroughly removed from the scale before the deposition of the barrier layer 140. This cleaning can be carried out by stirring the element 100 in a cleaning solution, such as a solution of diluted hydrochloric acid, sulfuric acid, a degreasing solvent, or distilled or deionized water.

塗布有緻密氧化物的元件之實施例可用在半導體電漿處理設備的電漿蝕刻腔室或沉積腔室中,例如介電蝕刻腔室、電容耦合電漿蝕刻腔室、感應耦合電漿蝕刻腔室,PECVD(電漿增強化學氣相沉積)腔室、和ALD(原子層沉積)腔室。在這些腔室中,基板表面可暴露至電漿及/或處理氣體。在某些蝕刻處理中,這些處理氣體可為含鹵素物種,例如,Cx Fy 、Cx Hy Fz、HBr、NF3 、HBr、Cl2 、及BCl3 ,這些物種相對於某些材料,如鋁和鋁合金表面,係為腐蝕性,其中此腐蝕可能會釋放有害的鐵污染物。然而,使用PEO處理形成的緻密氧化物塗布可防止暴露至電漿和暴露至處理氣體的鋁或鋁合金表面受到電漿及處理氣體之腐蝕作用的影響,從而減少鐵污染。此外,PEO處理可以減少可能存在於純鋁層中之有害的污染物及/或雜質。例如,PEO處理可減少如在純鋁層中可見之鐵雜質之雜質,當隨著PEO處理將純鋁層轉換為緻密的氧化物塗布時,一些雜質從純鋁層擴散出並進入電解槽的溶液中。緻密氧化物塗布可用以提供,例如,緻密的氧化物塗布,如氧化鋁、剛玉或藍寶石,其中緻密的氧化物塗布係較佳地為α-氧化鋁晶相。電漿處理設備中之暴露於電漿及/或暴露於處理氣體的鋁或鋁合金表面包含緻密氧化物塗布,且更佳地包含由例如氧化釔的材料所形成之障壁層。Embodiments of elements coated with a dense oxide can be used in a plasma etch chamber or deposition chamber of a semiconductor plasma processing apparatus, such as a dielectric etch chamber, a capacitively coupled plasma etch chamber, an inductively coupled plasma etch chamber Room, PECVD (plasma enhanced chemical vapor deposition) chamber, and ALD (atomic layer deposition) chamber. In these chambers, the surface of the substrate can be exposed to plasma and/or process gases. In some etching processes, these process gases may be halogen-containing species, such as C x F y , C x H y Fz, HBr, NF 3 , HBr, Cl 2 , and BCl 3 , relative to certain materials. For example, aluminum and aluminum alloy surfaces are corrosive, and this corrosion may release harmful iron contaminants. However, the dense oxide coating formed using the PEO treatment prevents the surface of the aluminum or aluminum alloy exposed to the plasma and exposed to the processing gas from being affected by the corrosive action of the plasma and the processing gas, thereby reducing iron contamination. In addition, PEO treatment can reduce harmful contaminants and/or impurities that may be present in the pure aluminum layer. For example, PEO treatment can reduce impurities such as iron impurities visible in the pure aluminum layer. When the pure aluminum layer is converted into a dense oxide coating with PEO treatment, some impurities diffuse out of the pure aluminum layer and enter the electrolytic cell. In solution. Dense oxide coating can be used to provide, for example, a dense oxide coating such as alumina, corundum or sapphire, wherein the dense oxide coating is preferably an alpha-alumina crystal phase. The surface of the aluminum or aluminum alloy exposed to the plasma and/or exposed to the process gas in the plasma processing apparatus comprises a dense oxide coating, and more preferably a barrier layer formed of a material such as yttria.

雖然緻密氧化物塗布適用於任何類型的具有鋁或鋁合金表面之元件,但為了便於說明,將參照在共同受讓的美國公開申請案第2009/0200269號中所描述之設備進行更詳細地描述,其係全數併入於此作為參考。While dense oxide coating is suitable for use with any type of component having an aluminum or aluminum alloy surface, for ease of illustration, it will be described in more detail with reference to the apparatus described in commonly assigned U.S. Published Application No. 2009/0200269. , the entire disclosure of which is incorporated herein by reference.

圖2顯示電漿處理設備之可調節間隙電容耦合電漿(CCP)蝕刻腔室200(「腔室」)的示例性實施例。腔室200包含腔室外罩202、安裝至腔室外罩202之天花板228的上部電極組件225、安裝至腔室外罩202的地板205之下部電極組件215;該下部電極組件係間隔開並實質上平行於上部電極組件225之下表面、圍繞上部電極組件225和下部電極組件215之間的間隙232之約束環組件206、上部腔室壁204、腔室襯套252、及包圍上部電極組件225的頂部部分之腔室頂部230。在一替代性實施例中,環形護罩可取代約束環組件206,俾使環形護罩包圍上部電極組件225和下部電極組件215之間的間隙232。2 shows an exemplary embodiment of an adjustable gap capacitively coupled plasma (CCP) etch chamber 200 ("chamber") of a plasma processing apparatus. The chamber 200 includes a chamber outer cover 202, an upper electrode assembly 225 mounted to the ceiling 228 of the chamber cover 202, and an underlying electrode assembly 215 mounted to the floor 205 of the chamber cover 202; the lower electrode assembly is spaced apart and substantially parallel The confinement ring assembly 206, the upper chamber wall 204, the chamber liner 252, and the top of the upper electrode assembly 225 surrounding the lower surface of the upper electrode assembly 225, surrounding the gap 232 between the upper electrode assembly 225 and the lower electrode assembly 215 Part of the chamber top 230. In an alternative embodiment, an annular shroud can be substituted for the confinement ring assembly 206 such that the annular shroud encloses a gap 232 between the upper electrode assembly 225 and the lower electrode assembly 215.

上部電極組件225可較佳地包含上部噴淋頭電極224和支撐板226。上部電極組件225亦可選擇性地包含外部電極224a,外部電極224a形成圍繞上部噴淋頭電極224之台階以及一可選擇的支撐環226a形成圍繞支撐板226之台階。上部噴淋頭電極224和支撐板226包含複數氣體通道,該等通道係用於將處理氣體分配至界定於上部噴淋頭電極224和下部電極組件215之間的間隙232中。上部電極組件225可進一步可選擇地包含一氣體分配系統,例如一或更多包含複數氣體通道之擋板(未繪示),該等氣體通道用以將處理氣體分配至界定於上部噴淋頭電極224和下部電極組件215之間的間隙232中。擋板和護罩之細節可在共同受讓的美國專利第8313635號和第6974523號中找到,其係特別併入於此作為參考。上部電極組件225可包含附加之元件,例如射頻墊圈128、加熱元件121、氣體噴嘴122、和其他部分。腔室外罩202具有一閘極(未繪示),基板214係經由該閘極卸載/裝載至腔室200中。例如,基板214可以通過一負載鎖(如描述於共同受讓的美國專利第6899109號中者,其係併入於此作為參考)進入腔室。The upper electrode assembly 225 can preferably include an upper showerhead electrode 224 and a support plate 226. The upper electrode assembly 225 can also optionally include an outer electrode 224a that forms a step around the upper showerhead electrode 224 and an optional support ring 226a that forms a step around the support plate 226. Upper showerhead electrode 224 and support plate 226 include a plurality of gas passages for distributing process gas into a gap 232 defined between upper showerhead electrode 224 and lower electrode assembly 215. The upper electrode assembly 225 can further optionally include a gas distribution system, such as one or more baffles (not shown) including a plurality of gas passages for distributing the process gas to the upper showerhead. In the gap 232 between the electrode 224 and the lower electrode assembly 215. Details of the baffles and shrouds can be found in commonly assigned U.S. Patent Nos. 8,313,635 and 6,974,523, the disclosures of each of each of The upper electrode assembly 225 can include additional components such as a radio frequency washer 128, a heating element 121, a gas nozzle 122, and other portions. The chamber cover 202 has a gate (not shown) through which the substrate 214 is unloaded/loaded into the chamber 200. For example, the substrate 214 can be accessed through a load lock (as described in commonly assigned U.S. Patent No. 6,899,109, incorporated herein by reference).

上部噴淋頭電極224係較佳地由與半導體相容之材料,例如單晶矽或多晶矽所製成。氣體分配板係較佳地由鋁或鋁合金所製成。較佳地,支撐板226及噴淋頭電極224係配置俾使它們可在其中傳導熱和引導射頻電流。暴露至處理氣體的氣體分配板之鋁或鋁合金表面可塗布有緻密氧化物,以提供耐腐蝕之塗布,且係更佳地塗布有緻密氧化物和例如氧化釔之陶瓷障壁層。The upper showerhead electrode 224 is preferably made of a semiconductor compatible material such as single crystal germanium or polycrystalline germanium. The gas distribution plate is preferably made of aluminum or an aluminum alloy. Preferably, support plate 226 and showerhead electrode 224 are configured such that they conduct heat and direct radio frequency current therein. The aluminum or aluminum alloy surface of the gas distribution plate exposed to the process gas may be coated with a dense oxide to provide a corrosion resistant coating, and more preferably coated with a dense oxide and a ceramic barrier layer such as yttria.

為求簡便,圖2僅顯示一個連接至氣體源234的氣體管線236。額外的氣體管線可耦接至上部電極組件225,且氣體可通過上部腔室壁204及/或腔室頂部230的其他部分而提供。較佳地,供應氣體至上部電極組件225的鋁元件包含緻密氧化物PEO塗布。For simplicity, FIG. 2 shows only one gas line 236 that is coupled to gas source 234. Additional gas lines may be coupled to the upper electrode assembly 225 and gas may be provided through the upper chamber wall 204 and/or other portions of the chamber top 230. Preferably, the aluminum component that supplies gas to the upper electrode assembly 225 comprises a dense oxide PEO coating.

注入至間隙232中的處理氣體被激發以產生電漿以處理基板214,該處理氣體穿過約束環組件206,並且進入外部腔室容積268,直到由真空泵單元244排出為止。由於在操作處理期間,外部腔室容積268中的電漿腔室元件可暴露於電漿和反應性處理氣體(自由基、活性物種),形成該等腔室元件之表面的鋁或鋁合金可較佳地包含形成在純鋁層上之緻密氧化物塗布,且更佳地形成在具有障壁層(如氧化釔之障壁層)的純鋁層上之緻密氧化物塗布,沉積在緻密氧化物塗布上,俾使腔室之部件可承受電漿和反應性處理氣體,從而減少可能的鐵污染。The process gas injected into the gap 232 is energized to produce a plasma to process the substrate 214, which passes through the confinement ring assembly 206 and enters the outer chamber volume 268 until it is expelled by the vacuum pump unit 244. Since the plasma chamber elements in the outer chamber volume 268 can be exposed to the plasma and reactive process gases (free radicals, active species) during the processing process, the aluminum or aluminum alloy forming the surface of the chamber elements can Preferably, it comprises a dense oxide coating formed on a layer of pure aluminum, and more preferably a dense oxide coating formed on a pure aluminum layer having a barrier layer such as a barrier layer of yttria, deposited in a dense oxide coating In this way, the components of the chamber can withstand the plasma and reactive process gases, thereby reducing possible iron contamination.

在一實施例中,射頻電源供應器240於操作期間提供射頻電力至下部電極組件215,該射頻電源供應器240經由軸260提供射頻電力至下部電極210。在間隙232中的處理氣體係由傳輸至下部電極210的射頻電力電激發以產生電漿。In an embodiment, the RF power supply 240 provides RF power to the lower electrode assembly 215 during operation, the RF power supply 240 providing RF power to the lower electrode 210 via the shaft 260. The process gas system in gap 232 is electrically excited by radio frequency power transmitted to lower electrode 210 to produce a plasma.

電漿腔室元件,包含例如支撐板226、支撐環226a、一或更多選擇性之擋板的鋁或鋁合金表面的至少一鋁或鋁合金表面、以及包含在下部電極組件215,如下部導電構件、外部導體環、環形護罩、及腔室襯套252、腔室壁204及緊固件之中的至少一鋁或鋁合金表面可塗布有緻密氧化物層。包含在具有鋁或鋁合金表面的半導體電漿處理設備中之任何其它基板亦可具有緻密的氧化物塗布。a plasma chamber component comprising at least one aluminum or aluminum alloy surface of a surface of an aluminum or aluminum alloy such as a support plate 226, a support ring 226a, one or more selective baffles, and a lower electrode assembly 215, such as At least one aluminum or aluminum alloy surface of the conductive member, outer conductor ring, annular shroud, and chamber liner 252, chamber wall 204, and fasteners may be coated with a dense oxide layer. Any other substrate included in a semiconductor plasma processing apparatus having an aluminum or aluminum alloy surface may also have a dense oxide coating.

此外,本文所揭露一種在一電漿處理設備中之半導體基板的電漿處理之方法,其中該電漿處理設備包含至少一含有緻密氧化物塗布之鋁元件在該設備的處理腔室中。該方法包含:供應來自處理氣體源之處理氣體至電漿處理腔室中、利用射頻能量源施加射頻能量至該處理氣體以生成電漿於電漿處理腔室中,以及對於在電漿處理腔室中的半導體基板進行電漿處理。較佳地,該半導體基板的電漿處理為電漿蝕刻處理或沉積處理。Further, disclosed herein is a method of plasma processing of a semiconductor substrate in a plasma processing apparatus, wherein the plasma processing apparatus comprises at least one aluminum component coated with a dense oxide in a processing chamber of the apparatus. The method includes supplying a process gas from a process gas source to a plasma processing chamber, applying radio frequency energy to the process gas using a radio frequency energy source to generate a plasma in the plasma processing chamber, and for processing the plasma processing chamber The semiconductor substrate in the chamber is subjected to plasma treatment. Preferably, the plasma treatment of the semiconductor substrate is a plasma etching treatment or a deposition treatment.

本發明雖已參照其具體實施例作出詳細描述,但對於熟習本領域技術者顯而易見地,在不脫離隨附請求項範圍的情況下,可以進行各種變化和修改,且可採用其均等物。The present invention has been described in detail with reference to the specific embodiments thereof, and it is obvious to those skilled in the art that various changes and modifications can be made without departing from the scope of the appended claims.

100‧‧‧鋁元件100‧‧‧Aluminum components

110‧‧‧基板 110‧‧‧Substrate

112‧‧‧表面 112‧‧‧ surface

120‧‧‧緻密氧化物塗布 120‧‧‧Dense oxide coating

121‧‧‧加熱元件 121‧‧‧ heating element

122‧‧‧氣體噴嘴 122‧‧‧ gas nozzle

124‧‧‧外表面 124‧‧‧ outer surface

128‧‧‧射頻墊圈 128‧‧‧RF washer

130‧‧‧純鋁層 130‧‧‧ pure aluminum layer

140‧‧‧障壁層 140‧‧ ‧ barrier layer

200‧‧‧電漿(CCP)蝕刻腔室 200‧‧‧ Plasma (CCP) etching chamber

202‧‧‧腔室外罩 202‧‧‧Outdoor cover

204‧‧‧上部腔室壁 204‧‧‧ upper chamber wall

205‧‧‧地板 205‧‧‧floor

206‧‧‧約束環組件 206‧‧‧Constrained ring assembly

210‧‧‧下部電極 210‧‧‧lower electrode

214‧‧‧基板 214‧‧‧Substrate

215‧‧‧下部電極組件 215‧‧‧lower electrode assembly

224‧‧‧上部噴淋頭電極 224‧‧‧Upper sprinkler electrode

224a‧‧‧外部電極 224a‧‧‧External electrode

225‧‧‧上部電極組件 225‧‧‧Upper electrode assembly

226‧‧‧支撐板 226‧‧‧support plate

226a‧‧‧支撐環 226a‧‧‧Support ring

228‧‧‧天花板 228‧‧‧ ceiling

230‧‧‧頂部 230‧‧‧ top

232‧‧‧間隙 232‧‧‧ gap

234‧‧‧氣體源 234‧‧‧ gas source

236‧‧‧氣體管線 236‧‧‧ gas pipeline

240‧‧‧射頻電源供應器 240‧‧‧RF power supply

244‧‧‧真空泵單元 244‧‧‧vacuum pump unit

252‧‧‧襯套 252‧‧‧ bushing

260‧‧‧軸 260‧‧‧Axis

268‧‧‧外部腔室容積 268‧‧‧External chamber volume

圖1A、1B繪示電漿處理腔室之具有緻密氧化物塗布之鋁元件的橫截面。1A, 1B illustrate cross sections of a plasma processing chamber with a dense oxide coated aluminum component.

圖2繪示一電容耦合電漿蝕刻腔室的示例性實施例,其中可安裝該塗布有緻密氧化物的鋁元件之實施例。2 illustrates an exemplary embodiment of a capacitively coupled plasma etch chamber in which an embodiment of the aluminum component coated with a dense oxide can be mounted.

Claims (23)

一種形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,包含:將一純鋁層冷噴塗至該鋁元件之一表面上達一預定的厚度;以及利用一電漿電解氧化處理形成一緻密氧化物塗布於該純鋁層上,其中該電漿電解氧化處理使該純鋁層經受微電漿放電,從而形成緻密氧化物塗布於該純鋁層上。 A method for forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus, comprising: cold spraying a pure aluminum layer onto a surface of the aluminum component to a predetermined thickness; and forming a plasma electrolytic oxidation treatment A uniform dense oxide is applied to the layer of pure aluminum, wherein the plasma is electrolytically oxidized to subject the layer of pure aluminum to micro-plasma discharge, thereby forming a dense oxide coated on the layer of pure aluminum. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,其中(a)該純鋁層包含按重量計之至少約百分之99.9的純度和至多約百分之0.1的偶發不純物;或(b)該純鋁層包含按重量計至少約百分之99.93的純度和至多約百分之0.07的偶發不純物。 A method of forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus, as in claim 1, wherein (a) the pure aluminum layer comprises at least about 99.9 percent by weight purity and up to about 0.1% sporadic impurities; or (b) the pure aluminum layer comprises at least about 99.93 by weight purity and up to about 0.07 percent incidental impurities. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,更包含(a)在冷噴塗該純鋁層於該鋁元件之該表面上前陽極氧化該鋁元件;(b)清潔該緻密氧化物塗布;及(c)沉積一純氧化釔障壁層於該緻密氧化物塗布上。 The method for forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus according to the first aspect of the patent application, further comprising (a) anodizing the cold aluminum layer on the surface of the aluminum component before cold-solding An aluminum component; (b) cleaning the dense oxide coating; and (c) depositing a pure yttria barrier layer on the dense oxide coating. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,其中該緻密氧化物塗布包含一在a-氧化鋁晶相之氧化鋁。 A method of forming a uniform dense oxide coated on an aluminum member of a semiconductor processing apparatus according to the first aspect of the patent application, wherein the dense oxide coating comprises an alumina in an a-alumina crystal phase. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,其中該純鋁層係冷噴塗至一為約0.05到3mm之厚度。 A method of forming a uniform dense oxide coated on an aluminum member of a semiconductor processing apparatus as in the first aspect of the patent application, wherein the pure aluminum layer is cold sprayed to a thickness of about 0.05 to 3 mm. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,其中(a)該純鋁層係冷噴塗至一為約0.1到0.3mm之厚度;及/或(b)該緻密氧化物塗布係形成至一為約0.05至0.2mm之厚度。 A method of forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus according to the first aspect of the patent application, wherein (a) the pure aluminum layer is cold sprayed to a thickness of about 0.1 to 0.3 mm; and / Or (b) the dense oxide coating is formed to a thickness of from about 0.05 to 0.2 mm. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,其中該鋁元件係為腔室壁、腔室襯套、擋板、氣體分配板、氣體分配環、夾持機構、邊緣環、氣體噴嘴、緊固件、護罩和約束環其中至少一者。 A method of forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus according to the first aspect of the patent application, wherein the aluminum component is a chamber wall, a chamber liner, a baffle, a gas distribution plate, and a gas distribution At least one of a ring, a clamping mechanism, an edge ring, a gas nozzle, a fastener, a shroud, and a restraining ring. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,其中(a)該純鋁層具有小於約百分之1的孔隙率;及/或(b)該緻密氧化物塗布具有小於約百分之0.5的孔隙率。 A method of forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus, as in claim 1, wherein (a) the pure aluminum layer has a porosity of less than about 1 percent; and/or (b) The dense oxide coating has a porosity of less than about 0.5 percent. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,其中(a)該純鋁層具有小於約百分之0.5的孔隙率;及/或(b)該緻密氧化物塗布具有小於約百分之0.2的孔隙率。 A method of forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus, as in claim 1, wherein (a) the pure aluminum layer has a porosity of less than about 0.5 percent; and/or (b) The dense oxide coating has a porosity of less than about 0.2 percent. 如申請專利範圍第1項之形成一緻密氧化物塗布於一半導體處理設備之鋁元件上的方法,其中該鋁元件係半導體基板於其中經受電漿蝕刻之電漿蝕刻設備的腔室壁。 A method of forming a uniform dense oxide coated on an aluminum component of a semiconductor processing apparatus as in the first aspect of the patent application, wherein the aluminum component is a chamber wall of a plasma etching apparatus in which the semiconductor substrate is subjected to plasma etching. 一種半導體處理設備之鋁元件,包含:一純鋁層,位於該半導體處理設備之該鋁元件之一表面上;和位於該純鋁層上之一緻密氧化物塗布,其中該緻密氧化物塗布已透過使用電漿電解氧化法而形成,且其中該電漿電解氧化法使該純鋁層經受微電漿放電,從而形成該緻密氧化物塗布於該鋁元件上;且其中,該純鋁層具有約0.05至3mm的厚度且該緻密氧化物塗布具有約0.02至0.2mm之間的厚度。 An aluminum component of a semiconductor processing apparatus comprising: a layer of pure aluminum on a surface of one of the aluminum components of the semiconductor processing apparatus; and a uniform dense oxide coating on the layer of pure aluminum, wherein the dense oxide coating has Formed by using a plasma electrolytic oxidation process, and wherein the plasma electrolytic oxidation process subjects the pure aluminum layer to micro-plasma discharge, thereby forming the dense oxide coated on the aluminum component; and wherein the pure aluminum layer has A thickness of about 0.05 to 3 mm and the dense oxide coating has a thickness of between about 0.02 and 0.2 mm. 如申請專利範圍第11項之半導體處理設備之鋁元件,更包含在該緻密氧化物塗布上的一純氧化釔障壁層,其中該純氧化釔障壁層具有至少百分之99的純度。 The aluminum component of the semiconductor processing apparatus of claim 11 further comprising a pure yttria barrier layer coated on the dense oxide, wherein the pure yttria barrier layer has a purity of at least 99%. 如申請專利範圍第11項之半導體處理設備之鋁元件,其中該鋁元件係為腔室壁、腔室襯套、擋板、氣體分配板、氣體分配環、夾持機構、邊緣環、氣體噴嘴、緊固件、護罩和約束環其中至少一者。 The aluminum component of the semiconductor processing apparatus of claim 11, wherein the aluminum component is a chamber wall, a chamber liner, a baffle, a gas distribution plate, a gas distribution ring, a clamping mechanism, an edge ring, a gas nozzle At least one of a fastener, a shroud, and a restraining ring. 如申請專利範圍第11項之半導體處理設備之鋁元件,其中(a)該純鋁層具有小於約百分之1的孔隙率;及(b)該緻密氧化物塗布具有小於約百分之0.5的孔隙率。 The aluminum component of the semiconductor processing apparatus of claim 11, wherein (a) the pure aluminum layer has a porosity of less than about 1 percent; and (b) the dense oxide coating has less than about 0.5 percent. Porosity. 如申請專利範圍第11項之半導體處理設備之鋁元件,其中(a)該純鋁層具有小於約百分之0.5的孔隙率;及(b)該緻密氧化物塗布具有小於約百分之0.2的孔隙率。 The aluminum component of the semiconductor processing apparatus of claim 11, wherein (a) the pure aluminum layer has a porosity of less than about 0.5 percent; and (b) the dense oxide coating has less than about 0.2 percent. Porosity. 如申請專利範圍第11項之半導體處理設備之鋁元件,其中該純鋁層具有為約0.1到0.3mm之厚度。 The aluminum component of the semiconductor processing apparatus of claim 11, wherein the pure aluminum layer has a thickness of about 0.1 to 0.3 mm. 如申請專利範圍第11項之半導體處理設備之鋁元件,其中該純鋁層為具有按重量計之至少百分之99.9的純度和至多百分之0.1的偶發不純物之純鋁層。 The aluminum component of the semiconductor processing apparatus of claim 11, wherein the pure aluminum layer is a pure aluminum layer having a purity of at least 99.9 percent by weight and up to 0.1 percent of incidental impurities. 如申請專利範圍第11項之半導體處理設備之鋁元件,其中該緻密氧化物塗布具有約2至20microns的表面粗糙度。 The aluminum component of the semiconductor processing apparatus of claim 11, wherein the dense oxide coating has a surface roughness of about 2 to 20 microns. 如申請專利範圍第11項之半導體處理設備中之鋁元件,其中該鋁元件係半導體基板於其中經受電漿蝕刻之電漿蝕刻設備的腔室壁。 The aluminum component of the semiconductor processing apparatus of claim 11, wherein the aluminum component is a chamber wall of a plasma etching apparatus in which the semiconductor substrate is subjected to plasma etching. 一種半導體處理設備之鋁元件,包含:一純鋁層,位於該鋁元件之一表面上,該純鋁層係配置成在該半導體處理設備中之一半導體基板的處理期間暴露於電漿或處理氣體;和位於該純鋁層上之一緻密氧化物塗布,其中該緻密氧化物塗布已透過使用電漿電解氧化法而形成,且其中該電漿電解氧化法使該純鋁層經受微電漿放電,從而形成該緻密氧化物塗布於該鋁元件上;其中該純鋁層係具有按重量計之至少百分之99.9的純度和至多百分之0.1的偶發不純物之純鋁層。 An aluminum component of a semiconductor processing apparatus comprising: a layer of pure aluminum on a surface of the aluminum component, the pure aluminum layer configured to be exposed to plasma or treated during processing of one of the semiconductor processing devices a gas; and a uniform dense oxide coating on the pure aluminum layer, wherein the dense oxide coating has been formed by using a plasma electrolytic oxidation method, and wherein the plasma electrolytic oxidation method subjects the pure aluminum layer to micro-plasma Discharging, thereby forming the dense oxide onto the aluminum component; wherein the pure aluminum layer is a pure aluminum layer having a purity of at least 99.9 percent by weight and up to 0.1 percent of incidental impurities. 如申請專利範圍第20項之半導體處理設備之鋁元件,其中(a)該純鋁層具有約0.05至3mm的厚度;及(b)該緻密氧化物塗布具有約0.02至0.2mm之間的厚度。 The aluminum component of the semiconductor processing apparatus of claim 20, wherein (a) the pure aluminum layer has a thickness of about 0.05 to 3 mm; and (b) the dense oxide coating has a thickness of between about 0.02 and 0.2 mm. . 如申請專利範圍第20項之半導體處理設備之鋁元件,更包含在該緻密氧化物塗布上的一純氧化釔障壁層,其中該純氧化釔障壁層具有至少百分之99的純度。 The aluminum component of the semiconductor processing apparatus of claim 20, further comprising a pure yttria barrier layer coated on the dense oxide, wherein the pure yttria barrier layer has a purity of at least 99%. 如申請專利範圍第20項之半導體處理設備之鋁元件,其中該緻密氧化物塗布具有約2至20microns的表面粗糙度。 The aluminum component of the semiconductor processing apparatus of claim 20, wherein the dense oxide coating has a surface roughness of about 2 to 20 microns.
TW103111313A 2013-03-27 2014-03-26 Dense oxide coated component of a plasma processing chamber and method of manufacture thereof TWI654341B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/851,605 2013-03-27
US13/851,605 US9123651B2 (en) 2013-03-27 2013-03-27 Dense oxide coated component of a plasma processing chamber and method of manufacture thereof

Publications (2)

Publication Number Publication Date
TW201504479A TW201504479A (en) 2015-02-01
TWI654341B true TWI654341B (en) 2019-03-21

Family

ID=51621265

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103111313A TWI654341B (en) 2013-03-27 2014-03-26 Dense oxide coated component of a plasma processing chamber and method of manufacture thereof

Country Status (4)

Country Link
US (2) US9123651B2 (en)
JP (1) JP2014194080A (en)
KR (1) KR102245044B1 (en)
TW (1) TWI654341B (en)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101171682B1 (en) * 2010-04-19 2012-08-07 아주대학교산학협력단 A method for Nitriding Surface of Aluminum or Aluminum Alloy by Cold Spray Method
US9335296B2 (en) 2012-10-10 2016-05-10 Westinghouse Electric Company Llc Systems and methods for steam generator tube analysis for detection of tube degradation
CN103794460B (en) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 The coating improved for performance of semiconductor devices
JP6449224B2 (en) 2013-03-14 2019-01-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated High purity aluminum topcoat on substrate
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US10132268B2 (en) * 2013-10-30 2018-11-20 Aisin Seiki Kabushiki Kaisha Piston and method for manufacturing piston
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
JP2016540889A (en) * 2013-11-21 2016-12-28 インテグリス・インコーポレーテッド Surface coating for chamber parts used in plasma systems
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10269544B2 (en) * 2014-11-24 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gas ring for plasma system and method of manufacturing the same
DK178658B1 (en) * 2015-02-04 2016-10-17 Tresu As chamber Rachel
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
CN105926015A (en) * 2016-05-12 2016-09-07 安徽纯启动力机械有限公司 Electrochemical surface hardening treatment process of aluminum alloy die casting
TWI594356B (en) * 2016-10-26 2017-08-01 台灣積體電路製造股份有限公司 Load lock chamber, edge bevel removal device and semicondcutor manufacturing equipment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US20180195196A1 (en) * 2017-01-06 2018-07-12 Mks Instruments, Inc. Protective oxide coating with reduced metal concentrations
KR101877017B1 (en) * 2017-01-09 2018-07-12 한국과학기술연구원 Semiconductor reactor and method of forming coating layer on metallic substrate for semiconductor reactor
KR101815810B1 (en) * 2017-06-27 2018-01-05 강동원 A Coating Method For a Plasma Block and a Plasma Block Coated by the Same
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN110468402A (en) * 2018-05-11 2019-11-19 中国科学院金属研究所 A kind of cold spraying preparation Y2O3The improved method of ceramic coating
CN110484895B (en) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 Chamber assembly and reaction chamber
US20210292893A1 (en) * 2018-07-26 2021-09-23 Lam Research Corporation Surface coating for plasma processing chamber components
JP2020141123A (en) * 2019-02-27 2020-09-03 Toto株式会社 Member for semiconductor manufacturing device, semiconductor manufacturing device having the same, and display manufacturing device
US11142829B2 (en) * 2019-02-27 2021-10-12 Toto Ltd. Semiconductor manufacturing apparatus member, and display manufacturing apparatus and semiconductor manufacturing apparatus comprising semiconductor manufacturing apparatus member
US11935662B2 (en) 2019-07-02 2024-03-19 Westinghouse Electric Company Llc Elongate SiC fuel elements
KR102523509B1 (en) 2019-09-19 2023-04-18 웨스팅하우스 일렉트릭 컴퍼니 엘엘씨 Apparatus and Method of Use for Performing In Situ Adhesion Testing of Cold Spray Deposits
WO2022055813A1 (en) * 2020-09-10 2022-03-17 Lam Research Corporation Spinel coating for plasma processing chamber components
US20220403531A1 (en) * 2021-06-17 2022-12-22 Applied Materials, Inc. Conformal yttrium oxide coating
CN117795641A (en) * 2021-08-09 2024-03-29 朗姆研究公司 Yttrium Aluminum Perovskite (YAP) based coatings for semiconductor process chamber components

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070207267A1 (en) 2006-02-08 2007-09-06 Laube David P Disposable liners for etch chambers and etch chamber components
US20120031765A1 (en) 2009-04-03 2012-02-09 Keronite International Ltd Process for the enhanced corrosion protection of valve metals

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684549B2 (en) * 1988-06-08 1994-10-26 株式会社日本アルミ Method for manufacturing aluminum base material provided with thermal spray coating
ATE242345T1 (en) * 1997-12-17 2003-06-15 Isle Coat Ltd METHOD FOR PRODUCING HARD PROTECTIVE COATINGS ON ITEMS MADE OF ALUMINUM ALLOYS
US6197178B1 (en) 1999-04-02 2001-03-06 Microplasmic Corporation Method for forming ceramic coatings by micro-arc oxidation of reactive metals
JP3510993B2 (en) * 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
FR2808291B1 (en) 2000-04-26 2003-05-23 Mofratech ELECTROLYTIC OXIDATION PROCESS FOR OBTAINING A CERAMIC COATING ON THE SURFACE OF A METAL
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
JP4628578B2 (en) * 2001-04-12 2011-02-09 トーカロ株式会社 Low temperature sprayed coating coated member and method for producing the same
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4181069B2 (en) * 2004-02-27 2008-11-12 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP4765328B2 (en) 2004-04-16 2011-09-07 東京エレクトロン株式会社 Processing device for workpiece
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US7207373B2 (en) * 2004-10-26 2007-04-24 United Technologies Corporation Non-oxidizable coating
JP2006336096A (en) * 2005-06-06 2006-12-14 Nippon Light Metal Co Ltd Surface-treated aluminum material, method for manufacturing the same, and method for reducing crack in anodized coating in surface-treated aluminum material
CN101218376A (en) * 2005-06-17 2008-07-09 国立大学法人东北大学 Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
CA2540340C (en) * 2006-03-16 2009-04-21 Jeffrey Yi-Fu Yang Surface modification of aluminum alloy products for micro-arc oxidation processes
US8282807B2 (en) * 2006-12-28 2012-10-09 National University Corporation Tohoku University Metal member having a metal oxide film and method of manufacturing the same
TWI371505B (en) * 2007-01-12 2012-09-01 Kobe Steel Ltd Anodic oxidation coating remover composition and method of removing anodic oxidation coatings
WO2008157281A2 (en) 2007-06-13 2008-12-24 Alcoa Inc. Coated metal article and method of manufacturing same
KR101625516B1 (en) 2008-02-08 2016-05-30 램 리써치 코포레이션 Plasma processing apparatus and method of processing a semiconductor substrate in the same
GB2458507A (en) * 2008-03-20 2009-09-23 Tecvac Ltd Oxidation of non ferrous metal components
US20110005922A1 (en) * 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
JP2011228329A (en) * 2010-04-15 2011-11-10 Fujifilm Corp Manufacturing method for gas supply electrode
US8888982B2 (en) * 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
CN107731648B (en) 2010-10-28 2020-02-14 应用材料公司 High purity aluminum coating hard anodization
GB2499560B (en) * 2011-02-08 2014-01-22 Cambridge Nanotherm Ltd Insulated metal substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070207267A1 (en) 2006-02-08 2007-09-06 Laube David P Disposable liners for etch chambers and etch chamber components
US20120031765A1 (en) 2009-04-03 2012-02-09 Keronite International Ltd Process for the enhanced corrosion protection of valve metals

Also Published As

Publication number Publication date
US9546432B2 (en) 2017-01-17
US9123651B2 (en) 2015-09-01
KR102245044B1 (en) 2021-04-27
US20150337450A1 (en) 2015-11-26
KR20140118871A (en) 2014-10-08
TW201504479A (en) 2015-02-01
US20140295670A1 (en) 2014-10-02
JP2014194080A (en) 2014-10-09

Similar Documents

Publication Publication Date Title
TWI654341B (en) Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9337002B2 (en) Corrosion resistant aluminum coating on plasma chamber components
JP6581978B2 (en) High purity metal top coat for semiconductor manufacturing components
JP6711592B2 (en) Plasma resistant coating for plasma chamber parts
JP5313227B2 (en) Aluminum plating component of semiconductor material processing apparatus and method of manufacturing the component
US20140315392A1 (en) Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
CN101065510B (en) Process chamber component with layered coating and method
JP3510993B2 (en) Plasma processing container inner member and method for manufacturing the same
WO2013084902A1 (en) Plasma processing apparatus and plasma processing method
JP2004332081A (en) Plasma resistant member, and its production method
WO2007108549A1 (en) Plasma processing apparatus and plasma processing method
US20090183835A1 (en) Etching process apparatus and member for etching process chamber
JP2007227443A (en) Plasma etching apparatus and method of forming inner wall in plasma processing chamber
JP2012036487A (en) Yttria-containing film and forming method thereof, and semiconductor manufacturing apparatus and plasma treatment apparatus
JP2004003022A (en) Plasma treatment container inside member
JPH10321559A (en) Manufacture of semiconductor device
JP5168543B2 (en) Inside the plasma processing vessel
JP2010156009A (en) Method for forming thermal spray coating in plasma etching apparatus
JP2002241971A (en) Plasma resistant member
KR20070090531A (en) Plasma etching apparatus and inner wall forming method of plasma precessing chamber
JP2004296753A (en) Plasma exposure component and its surface treatment method as well as plasma processing device
JP2008172270A (en) Plasma-exposed component and plasma treatment device
JP2005311120A (en) Inductively-coupled plasma generator and dry etching system using it
JP2007119924A (en) High-purity spray-coated member to be installed inside plasma treatment container and method for manufacturing the same