TWI650798B - 半導體元件結構及其形成方法 - Google Patents

半導體元件結構及其形成方法 Download PDF

Info

Publication number
TWI650798B
TWI650798B TW105135669A TW105135669A TWI650798B TW I650798 B TWI650798 B TW I650798B TW 105135669 A TW105135669 A TW 105135669A TW 105135669 A TW105135669 A TW 105135669A TW I650798 B TWI650798 B TW I650798B
Authority
TW
Taiwan
Prior art keywords
layer
gate electrode
metal
electrode structure
nitride
Prior art date
Application number
TW105135669A
Other languages
English (en)
Other versions
TW201729238A (zh
Inventor
葉啟瑞
王智麟
郭康民
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201729238A publication Critical patent/TW201729238A/zh
Application granted granted Critical
Publication of TWI650798B publication Critical patent/TWI650798B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭露提供了一種半導體元件結構及其形成方法。半導體元件結構包括半導體基底及位於半導體基底之上的金屬閘電極結構。半導體元件結構還包括位於半導體基底之上的絕緣層,其圍繞金屬閘電極結構。半導體元件結構更包括位於金屬閘電極結構的第一頂表面之上的第一金屬氮化物層,其與該金屬閘電極結構直接接觸。第一金屬氮化物層包括金屬閘電極結構之一氮化物材料。

Description

半導體元件結構及其形成方法
本揭露書係有關於半導體元件結構及其形成方法,且特別是有關於具有閘極堆疊之半導體元件結構。
半導體元件在例如是個人電腦、手機、數位相機、及其他電子裝置的各種電子裝置中使用。半導體元件通常通過以下步驟來製造:在半導體基底之上依次沉積絕緣或介電層、導電層及半導體材料層;然後使用微影技術來圖案化各種材料層以在半導體基底上形成電路構件及元件。
然而,儘管現有的半導體製造製程對於其預期目的一般都是夠用的,但是隨著元件繼續按比例縮小,它們不是在所有方面都完全令人滿意。
本揭露書之實施例提供一種半導體元件結構,包括:一半導體基底;一金屬閘電極結構,位於該半導體基底之上;一絕緣層,位於該半導體基底之上,且圍繞該金屬閘電極結構;以及一第一金屬氮化物層,位於該金屬閘電極結構的一第一頂表面之上,且與該金屬閘電極結構直接接觸,其中該第一金屬氮化物層包括該金屬閘電極結構之一氮化物材料。
本揭露書之實施例提供一種半導體元件結構,包 括:一半導體基底;一金屬閘電極結構,位於該半導體基底之上;一絕緣層,位於該半導體基底之上,且圍繞該金屬閘電極結構,其中該絕緣層露出了該金屬閘電極結構之一側壁的一第一部分;以及一金屬氮化物層,位於該金屬閘電極結構之一第一頂表面之上,且與該金屬閘電極結構直接接觸,其中該金屬氮化物層包括該金屬閘電極結構之一氮化物材料。
本揭露書之實施例提供一種半導體元件結構的形成方法,包括:於一半導體基底之上形成一金屬閘電極結構及一絕緣層,其中該絕緣層圍繞該金屬閘電極結構;以及氮化該金屬閘電極結構的一第一頂部部分以在該金屬閘電極結構之上形成一金屬氮化物層。
100‧‧‧半導體元件結構
101‧‧‧半導體基底
102‧‧‧隔離結構
104‧‧‧閘極介電層
106‧‧‧虛置閘極
108‧‧‧開口
110‧‧‧間隔物層
110N‧‧‧氮化物層
112‧‧‧摻雜區域
114‧‧‧應力源
116‧‧‧間隔物氧化層
118‧‧‧蝕刻停止層
118N‧‧‧氮化物層
120‧‧‧絕緣層
120N‧‧‧氮化物層
122‧‧‧功函數金屬層
122N‧‧‧金屬氮化物層
122s‧‧‧側壁
124‧‧‧閘電極層
124a‧‧‧閘電極結構
124b‧‧‧底表面
124N‧‧‧金屬氮化物層
124s‧‧‧側壁
130‧‧‧蝕刻停止層
132‧‧‧開口
132a‧‧‧側壁
132b‧‧‧底表面
134‧‧‧頂表面
140‧‧‧保護層
150‧‧‧介電間隔襯墊層
152‧‧‧頂表面
160‧‧‧金屬矽化物結構
170‧‧‧導電層
170a‧‧‧接觸結構
172‧‧‧頂表面
200、300、400‧‧‧半導體元件結構
G‧‧‧閘極堆疊
R‧‧‧凹槽
S1、S2、S3、S4、S5、S6、S7、S8、S9、S10‧‧‧頂表面
T1、T2、T3、T4、T5‧‧‧厚度
第1A-1K圖是根據一些實施例之半導體元件結構的數個階段的製程剖面圖。
第2A-2C圖是根據一些實施例之半導體元件結構的數個階段的製程剖面圖。
第3A-3B圖是根據一些實施例之半導體元件結構的數個階段的製程剖面圖。
第4A-4C圖是根據一些實施例之半導體元件結構的數個階段的製程剖面圖。
以下的揭露內容提供許多不同的實施例或範例,以實施本案的不同特徵。而本揭露書以下的揭露內容是敘述各 個構件及其排列方式的特定範例,以求簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書以下的內容敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。再者,在以下敘述提及在第二製程前進行第一製程,可包括第二製程於第一製程之後立刻進行之實施例,且亦可包括附加製程於第一製程與第二製程之間進行的實施例。另外,本揭露書中不同範例可能使用重複的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如“在…之下”、“下方”、“較下部”、“上方”、“較上部”及類似的用語等。除了圖式所繪示的方位之外,空間相關用語用以涵蓋使用或操作中的裝置的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
本揭露書之一些實施例敘述如下。可於這些實施例中所述的步驟之前、期間、及/或之後進行其他附加的處理。所敘述之一些步驟可在不同的實施例中被置換或排除。可於半導體元件結構中增加附加的構件。以下所述之一些構件,可於不同的實施例中被置換或排除。雖然,所敘述之一些實施例係 具有特定的處理順序,然而這些處理亦可改以其他符合邏輯的順序進行。
本揭露書之一些實施例敘述如下。可於這些實施例中所述的步驟之前、期間、及/或之後進行其他附加的處理。所敘述之一些步驟可在不同的實施例中被置換或排除。可於半導體元件結構中增加附加的構件。以下所述之一些構件,可於不同的實施例中被置換或排除。雖然,所敘述之一些實施例係具有特定的處理順序,然而這些處理亦可改以其他符合邏輯的順序進行。
第1A-1K圖是根據一些實施例之半導體元件結構100的數個階段的製程剖面圖。如第1A圖所示,提供了半導體基底101。半導體基底101可為半導體晶圓(例如是矽晶圓)或半導體晶圓的一部分。
在一些實施例中,半導體基底101由包括單晶(single crystal)、多晶(polycrystal)、或非晶態(amorphous)結構的矽或鍺的元素半導體材料所製成。在其他一些實施例中,半導體基底101由例如是碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、例如是SiGe或GaAsP的合金半導體、或前述之組合的化合物半導體所製成。半導體基底101還可包括多層半導體、絕緣體上覆半導體(semiconductor-on-insulator,SOI)(例如是絕緣體上覆矽或絕緣體上覆鍺)、或前述之組合。
根據一些實施例,在半導體基底101中形成隔離結構102以定義出半導體基底101上的數個主動區(active regions),並且使相鄰元件(例如電晶體)彼此電隔離。根據一些實施例, 隔離結構102包括介電材料,例如是氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(FSG)、低k介電材料、其他合適的材料、或前述之組合。根據一些實施例,隔離結構102通過採用例如是半導體局部氧化(local oxidation of semiconductor,LOCOS)、淺溝槽隔離(STI)、或其他相似隔離技術而形成。
在一些實施例中,隔離結構102的形成包括:通過實施微影製程來圖案化半導體基底101;在半導體基底101中蝕刻出溝槽;以及用介電材料填充溝槽。在一些實施例中,經過填充的溝槽具有多層結構,例如是熱氧化襯墊層填充有氮化矽或氧化矽。
根據一些實施例,如第1A圖所示,在半導體基底101之上形成閘極介電層104及虛置閘極(dummy gate)106以定義出半導體基底101中的主動區。在一些實施例中,閘極介電層104包括高介電常數材料(high-k材料)。根據一些實施例,高介電常數材料包括氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、或前述之組合。
根據一些實施例,高介電常數材料包括金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬-氧化物、過渡金屬-氮化物、過渡金屬-矽酸鹽、金屬的氧氮化物、氧化鋁、氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的材料、或前述之組合。根據一些實施例,在閘極介電層104之上形成虛置閘極106。根據一些實施例,虛置閘極106由多晶矽或其他合適的材料所製成。
根據一些實施例,如第1A圖所示,在閘極106及閘極介電層104的側壁上形成間隔物層(spacer layer)110。根據一些實施例,間隔物層110包括例如是氮化矽、氮氧化矽、或前述之組合的介電材料。
根據一些實施例,如第1A圖所示,在半導體基底101中及虛置閘極106的相對兩側上形成摻雜區域(doped region)112。根據一些實施例,摻雜區域112係採用離子佈植製程而形成。根據一些實施例,摻雜區域112包括重摻雜源極區及重摻雜汲極區。根據一些實施例,在形成間隔物層110之後,形成摻雜區域112。
根據一些實施例,如第1A圖所示,在摻雜區域112中形成應力源(stressor)114。應力源114的形成包括用於移除部分半導體基底101的蝕刻製程及選擇性磊晶成長(selective epitaxial growth,SEG)製程。根據一些實施例,取決於所期望得到的金屬氧化物半導體(MOS)元件的類型,形成施加壓縮應力到通道區(channel region)的應力源(如SiGe應力源)或形成施加拉伸應力到通道區的應力源(如SiC應力源)。
根據一些實施例,如第1A圖所示,在間隔物層110及應力源114之上形成間隔物氧化層116。根據一些實施例,間隔物氧化層116的形成包括化學氣相沉積製程。間隔物氧化層116填充間隔物層110及應力源114之間的間隙以促進隨後形成的膜順利地覆蓋間隔物層110及應力源114。在一些其他實施例中,沒有形成間隔物氧化層116。
根據一些實施例,如第1A圖所示,在半導體基底 101及虛置閘極106之上形成接觸蝕刻停止層118。根據一些實施例,接觸蝕刻停止層118包括例如是氮化矽的介電材料。根據一些實施例,接觸蝕刻停止層118形成在間隔物層110、虛置閘極106、及半導體基底101之上。在其他一些實施例中,沒有形成接觸蝕刻停止層118。
根據一些實施例,如第1A圖所示,在虛置閘極106與半導體基底101之上沉積絕緣層120。根據一些實施例,絕緣層120包括絕緣材料。根據一些實施例,絕緣材料包括氧化矽、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟矽酸玻璃(FSG)、低介電常數(low-k)材料、多孔介電材料、或前述之組合。根據一些實施例,採用CVD製程、HDPCVD製程、旋塗製程、濺射製程、或前述之組合來形成絕緣層120。
之後,根據一些實施例,如第1B圖所示,對絕緣層120實施平坦化製程直到露出虛置閘極106的頂表面。根據一些實施例,平坦化製程包括化學機械研磨(chemical mechanical polishing,CMP)製程。根據一些實施例,在實施平坦化製程後,絕緣層120具有大抵平坦的表面以促進隨後的製程步驟。
根據一些實施例,然後,移除虛置閘極106。根據一些實施例,移除製程包括濕式蝕刻製程、乾式蝕刻製程、或前述之組合。根據一些實施例,在移除虛置閘極106後,於間隔物層110中形成出了開口108。根據一些實施例,開口108是一溝槽(trench)。
根據一些實施例,如第1C圖所示,在絕緣層120之 上及開口108中的閘極介電層104的之上沉積功函數金屬層122。根據一些實施例,功函數金屬層122為電晶體提供了期望的功函以增進元件性能(包括提高的開啟電壓)。
在形成NMOS電晶體的實施例中,功函數金屬層122可為能夠為元件提供合適的功函數值(例如是等於或小於約4.5eV)的n-型金屬。根據一些實施例,n-型金屬包括金屬、金屬碳化物、金屬氮化物、或前述之組合。例如,n-型金屬由鉭、氮化鉭、或前述之組合所製成。
另一方面,在形成PMOS電晶體的實施例中,功函數金屬層122可為能夠為元件提供合適的功函數值(例如是等於或大於約4.8eV)的p-型金屬。根據一些實施例,p-型金屬包括金屬、金屬碳化物、金屬氮化物、其他合適的材料、或前述之組合。例如,p-型金屬是由鈦、氮化鈦、其他合適的材料、或前述之組合所製成。
根據一些實施例,功函數金屬層122包括鉿、鋯、鈦、鉭、鋁、金屬碳化物、鋁化物、釕或前述之組合。根據一些實施例,金屬碳化物包括碳化鉿或碳化鋯。根據一些實施例,功函數金屬層122通過採用PVD製程、CVD製程、ALD製程、鍍製程(plating process)、其他合適的方法或前述之組合來沉積。
根據一些實施例,如第1C圖所示,在功函數金屬層122之上沉積閘電極層124以填充開口108。根據一些實施例,閘電極層124也被稱為金屬閘電極層。根據一些實施例,閘電極層124包括合適的金屬材料,例如是鋁、鎢、金、鉑、鈷、 其他合適的金屬、前述之合金、或前述之組合。根據一些實施例,閘電極層124通過採用PVD製程、CVD製程、鍍製程、其他相似製程、或前述之組合來沉積。
然後,根據一些實施例,如第1D圖所示,實施平坦化製程以移除開口108外的閘電極層124及功函數金屬層122。根據一些實施例,保留在開口108中的閘電極層124形成閘電極結構124a。
根據一些實施例,閘電極結構124a及功函數金屬層122一起形成閘極堆疊(gate stack)G。根據一些實施例,閘極堆疊G被也被稱為金屬閘極堆疊(metal gate stack)。根據一些實施例,閘極堆疊G由絕緣層120所圍繞。根據一些實施例,在平坦化製程後,閘極堆疊G之頂表面對齊於絕緣層120、接觸蝕刻停止層118、間隔物層110之頂表面。根據一些實施例,平坦化製程包括化學機械研磨(CMP)製程或其他相似製程。
根據一些實施例,如第1E圖所示,對絕緣層120、接觸蝕刻停止層118、間隔物層110、功函數金屬層122、及閘電極結構124a的各個頂部部分(top portions)均實施氮化(nitrification)製程。根據一些實施例,在氮化製程之後,在絕緣層120、接觸蝕刻停止層118、間隔物層110、功函數金屬層122、及閘電極結構124a的頂表面S1、S2、S3、S4、及S5上分別形成氮化物層120N、118N、及110N與金屬氮化物層122N及124N。
根據一些實施例,氮化物層120N、118N、及110N與金屬氮化物層122N及124N分別與絕緣層120、接觸蝕刻停止 層118、間隔物層110、功函數金屬層122、及閘電極結構124a直接接觸。根據一些實施例,氮化物層120N、118N、110N與金屬氮化物層122N及124N依次連接。根據一些實施例,功函數金屬層122覆蓋了閘電極結構124a的側壁124s及底表面124b。
根據一些實施例,金屬氮化物層122N及124N與氮化物層110N、118N、及120N分別是由功函數金屬層122、閘電極結構124a、間隔物層110、接觸蝕刻停止層118、及絕緣層120所形成。在一些實施例中,功函數金屬層122包括導電材料(例如,鉭),且金屬氮化物層122N包括該導電材料的氮化物材料(例如,氮化鉭)。
在一些實施例中,閘電極結構124a包括導電材料(例如,鋁、鎢、金、鉑、或鈷),而金屬氮化物層124N包括該導電材料的氮化物材料(例如,氮化鋁、氮化鎢、氮化金、氮化鉑、或氮化鈷)。在一些實施例中,間隔物層110包括介電材料,並且氮化物層110N包括間隔物層110的氮化物材料(即,氮化的該介電材料)。
在一些實施例中,接觸蝕刻停止層118包括介電材料,並且氮化物層118N包括接觸蝕刻停止層118的氮化物材料。在一些實施例中,絕緣層120包括介電材料(例如,氧化矽),並且氮化物層120N包括絕緣層120的氮化物材料(例如,氮氧化矽)。
根據一些實施例,氮化製程包括對絕緣層120、接觸蝕刻停止層118、間隔物層110、功函數金屬層122、及閘電 極結構124a的頂部部分實施氮電漿製程或氮離子佈植製程。根據一些實施例,在氮電漿製程中使用的功率在約20W至約400W的範圍之間。
根據一些實施例,氮化製程還包括在氮電漿製程或氮離子佈植製程之後,對絕緣層120、接觸蝕刻停止層118、間隔物層110、功函數金屬層122、及閘電極結構124a的頂部部分上實施熱製程。根據一些實施例,熱製程的製程溫度在約200℃至約600℃的範圍之間。
在一些實施例中,氮化物層120N的厚度T1、氮化物層118N的厚度T2、或氮化物層110N的厚度T3係不同於金屬氮化物層124N的厚度T4或金屬氮化物層122N的厚度T5。在一些實施例中,氮化物層120N的厚度T1、氮化物層118N的厚度T2、或氮化物層110N的厚度T3大於金屬氮化物層124N的厚度T4或金屬氮化物層122N的厚度T5。根據一些實施例,厚度T1、T2、或T3與厚度T4或T5之間的差異在約1埃至約99埃的範圍之間。
根據一些實施例,厚度T1、T2、T3、T4、或T5在約1埃至約100埃的範圍之間。根據一些實施例,通過調整氮電漿製程、氮離子佈植製程、及/或熱製程的製程參數可調整厚度T1、T2、T3、T4、及T5。
由於厚度T1、T2、或T3大於厚度或T4或T5,氮化物層120N、118N、及/或110N覆蓋閘電極結構124a及功函數金屬層122的側壁124s及122s。在一些實施例中,氮化層120N、118N、及110N與金屬氮化物層122N及124N的頂表面S6、S7、 S8、S9、S10係彼此對準。即,根據一些實施例,頂表面S6、S7、S8、S9、及S10大抵彼此共面。
根據一些實施例,如第1F圖所示,在氮化物層120N、118N、110N及金屬氮化物層122N及124N之上沉積蝕刻停止層130。在一些實施例中,蝕刻停止層130與氮化物層120N、118N及110N以及金屬氮化物層122N及124N直接接觸。根據一些實施例,蝕刻停止層130由氮化矽或其他合適的材料所製成。
根據一些實施例,由於氮化物層120N形成自絕緣層120,所以氮化物層120N與絕緣層120之間的附著力大於蝕刻停止層130與絕緣層120之間的附著力。根據一些實施例,由於氮化物層120N及蝕刻停止層130均包括氮,所以氮化物層120N與蝕刻停止層130之間的附著力大於絕緣層120與蝕刻停止層130之間的附著力。
相似地,根據一些實施例,氮化物層118N與接觸蝕刻停止層118之間的附著力大於接觸蝕刻停止層118與蝕刻停止層130之間的附著力。根據一些實施例,氮化物層118N與蝕刻停止層130之間的附著力大於接觸蝕刻停止層118與蝕刻停止層130之間的附著力。根據一些實施例,氮化物層110N與間隔物層110(或蝕刻停止層130)之間的附著力大於間隔物層110與蝕刻停止層130之間的附著力。
根據一些實施例,金屬氮化物層122N與功函數金屬層122(或蝕刻停止層130)之間的附著力大於功函數金屬層122與蝕刻停止層130之間的附著力。根據一些實施例,金屬氮化物層124N與閘電極結構124a(或蝕刻停止層130)之間的附 著力大於閘電極結構124a與蝕刻停止層130之間的附著力。
因此,根據一些實施例,氮化物層120N、118N、及110N與金屬氮化物層122N及124N避免了蝕刻停止層130與絕緣層120、接觸蝕刻停止層118、間隔物層110、功函數金屬層122、及閘電極結構124a彼此分層(delamination)。
參照第1F圖,根據一些實施例,在蝕刻停止層130之上形成保護層140。保護層140用以保護蝕刻停止層130在隨後的預-非晶化佈植(pre-amorphized implantation,PAI)製程中免受損壞。保護層140例如包括電漿增進氧化物(plasma-enhanced oxide,PEOX)層。
如第1G圖所示,根據一些實施例,圖案化保護層140、蝕刻停止層130、氮化物層120N、絕緣層120、及接觸蝕刻停止層118以形成露出相應應力源114的接觸開口132。根據一些實施例,使用微影製程及蝕刻製程進行圖案化。
根據一些實施例,氮化物層120N、118N、及110N與金屬氮化物層122N及124N避免了蝕刻停止層130與層120、118、110、122、閘電極結構124a彼此分層。因此,根據一些實施例,氮化物層120N、118N、及110N與金屬氮化物層122N及124N避免了蝕刻製程的蝕刻劑擴散至閘電極結構124a而造成損壞。
如第1H圖所示,根據一些實施例,在保護層140及接觸開口132的側壁132a及底表面132b上順應性地形成介電間隔襯墊(dielectric spacer liner,DSL)層150。DSL層150用於保護側壁132a在隨後的PAI製程中免受損壞。DSL層150由例如 SiOC或其他合適的材料所製成。DSL層150由例如原子層沉積製程或其他合適的製程所形成。在一些實施例中,DSL層150與氮化物層120N直接接觸。
如第1I圖所示,根據一些實施例,實施蝕刻製程以移除開口132的底表面132b之上的DSL層150,因而露出了應力源114的一部分。蝕刻製程例如包括氬電漿蝕刻製程。
隨後,根據一些實施例,實施清潔製程以清除底表面132b之上因DSL層150之蝕刻製程所形成的殘留物。根據一些實施例,清洗製程使用包含NH4OH、H2O2及H2O的清洗液。根據一些實施例,氮化物層120N、118N、110N及金屬氮化物層122N及124N避免了清洗液從側向擴散至閘電極結構124a及功函數金屬層122而損壞閘電極結構124a及功函數金屬層122。
根據一些實施例,實施預-非晶化佈植(PAI)的製程以減少摻質溝道效應(dopant channeling effect),並增進摻質的活化。在一些實施例中,使用矽、鍺、或碳。在其他一些實施例中,使用例如是氖、氬、氪、氙、及/或氡的惰性氣體。根據一些實施例,PAI製程避免了隨後摻雜的摻質穿隧過晶格結構內的空間而到達比預期還深的深度。根據一些實施例,由於PAI製程的作用,應力源114中由開口132所露出的部分被轉變為非晶態。
之後,根據一些實施例,在應力源114上/中實施矽化(salicidation)製程以形成金屬矽化物結構160。根據一些實施例,金屬矽化物結構160包括矽化鎳。在一些實施例中,金屬 矽化物結構160由合適的金屬材料的矽化物材料所製成。
根據一些實施例,合適的金屬材料包括鈷(Co)、鎳(Ni)、鉑(Pt)、鈦(Ti)、鐿(Yb)、鉬(Mo)、鉺(Er)、或前述之組合。根據一些實施例,矽化製程包括在應力源114及DSL層150之上沉積金屬膜(未顯示)以及實施退火製程以使金屬膜與應力源114發生反應。在一些實施例中,不實施矽化製程。
然後,採用例如蝕刻製程來移除金屬膜之未反應的部分。蝕刻製程包括濕式蝕刻製程、乾式蝕刻製程、或前述之組合。在一些實施例中,濕式蝕刻製程使用例如是熱磷酸的蝕刻劑來移除金屬膜之未反應的部分。根據一些實施例,氮化物層120N、118N及110N以及金屬氮化物層122N及124N避免了蝕刻劑擴散至閘電極結構124a及功函數金屬層122而造成損壞。
如第1J圖所示,在應力源114及DSL層150之上沉積導電層170,使之填充開口132,並電連接至金屬矽化物結構160。導電層170通過例如PVD製程或其他合適的製程形成。導電層170由例如鎢或其他合適的導電材料所製成。
如第1K圖所示,根據一些實施例,實施化學機械研磨(CMP)製程以移除保護層140及開口132外部的導電層170及DSL層150。根據一些實施例,在CMP製程之後,保留在開口132中的導電層170形成了接觸結構(contact structures)170a。
根據一些實施例,接觸結構170a穿過蝕刻停止層 130、氮化物層120N、絕緣層120、及接觸蝕刻停止層118而電連接至金屬矽化物結構160及摻雜區域112(即,S/D區)。根據一些實施例,接觸結構170a也被稱為接觸插塞(contact plugs)。
根據一些實施例,在CMP製程之後,接觸結構170a、DSL層150、及蝕刻停止層130的頂表面172、152、及134彼此共面。如第1K圖所示,根據一些實施例,半導體元件結構100基本形成。根據一些實施例,半導體元件結構100是n型金屬氧化物半導體場效應電晶體(MOSFET)或p型MOSFET。根據一些實施例,在閘電極結構124a的相對兩側上形成摻雜區域112。
根據一些實施例,由於氮化物層120N、118N、及110N與金屬氮化物層122N及124N避免了蝕刻劑擴散至閘電極結構124a及功函數金屬層122而造成損壞,半導體元件結構100的產率得到了改善。
根據一些實施例,第2A圖至第2C圖是半導體元件結構200之數個階段的製程剖面圖。根據一些實施例,如第2A圖所示,在第1D圖的步驟後,移除絕緣層120、接觸蝕刻停止層118、及間隔物層110的頂部部分。根據一些實施例,在移除製程後,功函數金屬層122的側壁122s的一部分以及閘電極結構124a的側壁124s的一部分通過絕緣層120、接觸蝕刻停止層118、及間隔物層110而露出。
如第2B圖所示,根據一些實施例,對絕緣層120、接觸蝕刻停止層118、間隔物層110、功函數金屬層122、及閘 電極結構124a的頂部部分實施氮化製程。根據一些實施例,在氮化製程後,分別在功函數金屬層122、閘電極結構124a、間隔物層110、接觸蝕刻停止層118、及絕緣層120之上形成出了金屬氮化物層122N及124N與氮化物層110N、118N、及120N。
根據一些實施例,金屬氮化物層122N及124N以及氮化物層110N、118N、及120N分別形成自功函數金屬層122、閘電極結構124a、間隔物層110、接觸蝕刻停止層118及絕緣層120。
在第1F圖至第1K圖中所示的步驟之後,如第2C圖所示,根據一些實施例,半導體元件結構200基本形成。根據一些實施例,金屬氮化物層124N、金屬氮化物層122N的一部分、及閘電極結構124a的一部分嵌於蝕刻停止層130之中。根據一些實施例,金屬氮化物層122N覆蓋閘電極結構124a的側壁124s。
根據一些實施例,在形成接觸開口132、接觸結構170a、及DSL層150的過程中,氮化物層120N、118N、及110N與金屬氮化物層122N及124N避免蝕刻劑擴散至閘電極結構124a及功函數金屬層122而造成損壞。因此,根據一些實施例,提高了半導體元件結構200的產率。
根據一些實施例,第3A圖至第3B圖是半導體元件結構300之數個階段的製程剖面圖。根據一些實施例,在第1D圖的步驟之後,如第3A圖所示,對絕緣層120、接觸蝕刻停止層118、間隔物層110、功函數金屬層122、及閘電極結構124a的頂部部分實施氮化製程。
根據一些實施例,在氮化製程後,分別在功函數金屬層122、閘電極結構124a、間隔物層110、接觸蝕刻停止層118、及絕緣層120上形成金屬氮化物層122N及124N與氮化物層110N、118N、及120N。
根據一些實施例,金屬氮化物層122N及124N與氮化物層110N、118N及120N分別形成自功函數金屬層122、閘電極結構124a、間隔物層110、接觸蝕刻停止層118、及絕緣層120。
在一些實施例中,氮化物層120N的厚度T1、氮化物層118N的厚度T2、或氮化物層110N的厚度T3小於金屬氮化物層124N的厚度T4或金屬氮化物層122N的厚度T5。根據一些實施例,通過調整氮電漿製程、氮離子佈植製程、及/或熱製程的製程參數來調整厚度T1、T2、T3、T4、及T5。
在一些實施例中,氮化物層120N、118N、110N及金屬氮化物層122N及124N的頂表面S6、S7、S8、S9、及S10彼此對準。即,根據一些實施例,頂表面S6、S7、S8、S9、及S10大抵彼此共面。根據一些實施例,由於厚度T1、T2、或T3小於厚度T4或T5,金屬氮化物層122N及124N延伸進入由絕緣層120(或間隔物層110)及閘電極結構124a圍繞的凹槽R內。
在第1F圖至第1K圖中所示的步驟之後,如第3B圖所示,根據一些實施例,半導體元件結構300基本形成。在形成接觸開口132、接觸結構170a、及DSL層150期間,氮化物層120N、118N及110N與金屬氮化物層122N及124N避免蝕刻劑擴散至閘電極結構124a及功函數金屬層122而造成損壞。因此, 根據一些實施例,提高了半導體元件結構300的產率。
根據一些實施例,第4A圖至第4C圖是半導體元件結構400之數個階段的製程剖面圖。如第4A圖所示,在第1D圖的步驟之後,根據一些實施例,移除閘電極結構124a及功函數金屬層122的頂部部分。根據一些實施例,在移除製程之後,形成了由絕緣層120(或間隔物層110)及閘電極結構124a所圍繞的凹槽R。
如第4B圖所示,根據一些實施例,對絕緣層120、接觸蝕刻停止層118、間隔物層110、功函數金屬層122、及閘電極結構124a的頂部部分實施氮化製程。根據一些實施例,在氮化製程後,在功函數金屬層122、閘電極結構124a、間隔物層110、接觸蝕刻停止層118、及絕緣層120之上分別形成出了金屬氮化物層122N及124N與氮化物層110N、118N、及120N。
根據一些實施例,金屬氮化物層122N及124N以及氮化物層110N、118N、及120N分別形成自功函數金屬層122、閘電極結構124a、間隔物層110、接觸蝕刻停止層118、及絕緣層120。
在第1F圖至第1K圖中所示的步驟之後,如第4C圖所示,根據一些實施例,半導體元件結構400基本形成。在一些實施例中,蝕刻停止層130的一部分填充在凹槽R中。根據一些實施例,凹槽R的形成能夠增加來自用於形成接觸開口132及DSL層150之製程所用蝕刻劑的擴散路徑長度。因此,根據一些實施例,凹槽R的形成避免了蝕刻劑擴散至閘電極結構124a及功函數金屬層122而造成損壞。
根據一些實施例,在形成接觸開口132、接觸結構170a、及DSL層150期間,氮化物層120N、118N、及110N與金屬氮化物層122N及124N避免了蝕刻劑擴散至閘電極結構124a及功函數金屬層122而造成損壞。因此,根據一些實施例,提高了半導體元件結構400的產率。
根據一些實施例,提供了半導體元件的結構及形成同樣的半導體元件結構的方法。用於形成半導體元件結構之方法在金屬閘電極結構的頂表面之上形成了金屬氮化物層。金屬氮化物層避免了蝕刻劑(來自形成接觸開口的製程)擴散至金屬閘電極結構而造成損壞。因此,提高了半導體元件結構的產率。
根據一些實施例,提供了一種半導體元件結構。半導體元件結構包括半導體基底及位於半導體基底之上的金屬閘電極結構。半導體元件結構還包括位於半導體基底之上的絕緣層,其圍繞金屬閘電極結構。半導體元件結構更包括位於金屬閘電極結構的第一頂表面之上的第一金屬氮化物層,其與該金屬閘電極結構直接接觸。第一金屬氮化物層包括金屬閘電極結構之一氮化物材料。
根據一些實施例,提供了一種半導體元件結構。半導體元件結構包括半導體基底及位於半導體基底之上的金屬閘電極結構。半導體元件結構還包括位於半導體基底之上的絕緣層,其圍繞該金屬閘電極結構,且絕緣層露出了金屬閘電極結構之側壁的第一部分。半導體元件結構更包括位於金屬閘電極結構之第一頂表面之上的金屬氮化物層,其與金屬閘電極 結構直接接觸,且金屬氮化物層包括金屬閘電極結構之一氮化物材料。
根據一些實施例,提供了一種半導體元件結構的形成方法。方法包括於半導體基底之上形成金屬閘電極結構及絕緣層。絕緣層圍繞金屬閘電極結構。方法還包括氮化金屬閘電極結構的第一頂部部分以在金屬閘電極結構之上形成金屬氮化物層。
前述內文概述了許多實施例的特徵,以使本技術領域中具有通常知識者可從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
雖然本揭露已以數個較佳實施例揭露如上,然其並非用以限定本揭露,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神及範圍內,當可作任意之更動與潤飾,因此本揭露之保護範圍當視後附之申請專利範圍所界定者為準。

Claims (11)

  1. 一種半導體元件結構,包括:一半導體基底;一金屬閘電極結構,位於該半導體基底之上;一絕緣層,位於該半導體基底之上,且圍繞該金屬閘電極結構;一第一金屬氮化物層,位於該金屬閘電極結構的一第一頂表面之上,且與該金屬閘電極結構直接接觸,其中該第一金屬氮化物層包括該金屬閘電極結構之一氮化物材料;以及一第一氮化物層,位於該絕緣層之上,其中該第一氮化物層包括該絕緣層之一氮化物材料,且該第一氮化物層之最底表面位於該絕緣層之頂表面上。
  2. 如申請專利範圍第1項所述之半導體元件結構,其中該第一金屬氮化物層的一第一厚度不同於該第一氮化物層的一第二厚度。
  3. 如申請專利範圍第1項所述之半導體元件結構,其中該第一金屬氮化物層之一第二頂表面對準於該第一氮化物層之一第三頂表面。
  4. 如申請專利範圍第1項所述之半導體元件結構,其中該半導體基底具有位於該金屬閘電極結構之相對兩側的一源極區及一汲極區,且該半導體元件結構更包括:一第一接觸結構,穿過該第一氮化物層及該絕緣層以電連接至該源極區;以及一第二接觸結構,穿過該第一氮化物層及該絕緣層以電連接至該汲極區。
  5. 如申請專利範圍第1、2、3、或4項所述之半導體元件結構,更包括:一功函數層,位於該金屬閘電極結構的側壁及一底表面之上;以及一第二金屬氮化物層,位於該功函數層之上,且連接至該第一金屬氮化物層,其中該第二金屬氮化物層包括該功函數層之一氮化物材料。
  6. 如申請專利範圍第1、2、3、或4項所述之半導體元件結構,更包括:一間隔物層,位於該金屬閘極電極結構的側壁之上;以及一第二氮化物層,其中該第二氮化物層包括該間隔物層之一氮化物材料,且其中該第二氮化物層之最底表面位於該間隔物層之頂表面上。
  7. 一種半導體元件結構,包括:一半導體基底;一金屬閘電極結構,位於該半導體基底之上;一絕緣層,位於該半導體基底之上,且圍繞該金屬閘電極結構,其中該絕緣層露出了該金屬閘電極結構之一側壁的一第一部分;一金屬氮化物層,位於該金屬閘電極結構之一第一頂表面之上,且與該金屬閘電極結構直接接觸,其中該金屬氮化物層包括該金屬閘電極結構之一氮化物材料;以及一第一氮化物層,位於該絕緣層之上,其中該第一氮化物層包括該絕緣層之一氮化物材料,且該第一氮化物層之最底表面位於該絕緣層之頂表面上。
  8. 如申請專利範圍第7項所述之半導體元件結構,更包括:一間隔物層,位於該金屬閘極電極結構的側壁之上;以及一第二氮化物層,其中該第二氮化物層包括該間隔物層之一氮化物材料,且其中該第二氮化物層之最底表面位於該間隔物層之頂表面上。
  9. 一種半導體元件結構的形成方法,包括:於一半導體基底之上形成一金屬閘電極結構及一絕緣層,其中該絕緣層圍繞該金屬閘電極結構;以及氮化該金屬閘電極結構的一第一頂部部分及該絕緣層之一第二頂部部份以分別在該金屬閘電極結構及該絕緣層之上形成一金屬氮化物層及一第一氮化物層。
  10. 如申請專利範圍第9項所述之半導體元件結構的形成方法,其中該第一頂部部分及該第二頂部部分的氮化包括:對該金屬閘電極結構的該第一頂部部分及該絕緣層之該第二頂部部分實施一氮電漿製程或一氮離子佈植製程。
  11. 如申請專利範圍第9項所述之半導體元件結構的形成方法,更包括:於該金屬閘極電極結構的側壁之上形成一間隔物層;以及在氮化該金屬閘電極結構的該第一頂部部分及該絕緣層之該第二頂部部分期間,氮化該間隔物層的一第三頂部部分以形成一第二氮化物層。
TW105135669A 2015-11-13 2016-11-03 半導體元件結構及其形成方法 TWI650798B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/940,832 2015-11-13
US14/940,832 US9911821B2 (en) 2015-11-13 2015-11-13 Semiconductor device structure and method for forming the same

Publications (2)

Publication Number Publication Date
TW201729238A TW201729238A (zh) 2017-08-16
TWI650798B true TWI650798B (zh) 2019-02-11

Family

ID=58692051

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105135669A TWI650798B (zh) 2015-11-13 2016-11-03 半導體元件結構及其形成方法

Country Status (3)

Country Link
US (3) US9911821B2 (zh)
CN (1) CN106711219B (zh)
TW (1) TWI650798B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108630540B (zh) 2017-03-24 2021-05-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN109148277A (zh) * 2017-06-15 2019-01-04 台湾积体电路制造股份有限公司 半导体装置结构及其制造方法
US10714578B2 (en) 2018-05-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming recesses in source/drain regions and devices formed thereof
US20200203144A1 (en) * 2018-12-21 2020-06-25 Applied Materials, Inc. Methods of cleaning an oxide layer in a film stack to eliminate arcing during downstream processing
CN114141699A (zh) * 2020-09-04 2022-03-04 盛合晶微半导体(江阴)有限公司 半导体结构及其制备方法
CN114141698A (zh) * 2020-09-04 2022-03-04 盛合晶微半导体(江阴)有限公司 半导体结构及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080203501A1 (en) * 2007-02-22 2008-08-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
TW201304012A (zh) * 2011-03-31 2013-01-16 Tokyo Electron Ltd 電漿氮化處理方法、電漿氮化處理裝置及半導體裝置的製造方法
TW201312655A (zh) * 2011-09-02 2013-03-16 Taiwan Semiconductor Mfg 積體電路及其形成方法
US20150221676A1 (en) * 2014-02-04 2015-08-06 International Business Machines Corporation FinFET DEVICE CONTAINING A COMPOSITE SPACER STRUCTURE

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6071826A (en) 1999-02-12 2000-06-06 Taiwan Semiconductor Manufacturing Company Method of manufacturing CMOS image sensor leakage free with double layer spacer
JP2003229568A (ja) * 2002-02-04 2003-08-15 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7160811B2 (en) 2002-10-22 2007-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Laminated silicate glass layer etch stop method for fabricating microelectronic product
US6627502B1 (en) * 2002-10-24 2003-09-30 Taiwan Semiconductor Manufacturing Company Method for forming high concentration shallow junctions for short channel MOSFETs
KR100537277B1 (ko) * 2002-11-27 2005-12-19 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20050151166A1 (en) * 2004-01-09 2005-07-14 Chun-Chieh Lin Metal contact structure and method of manufacture
TWI242289B (en) * 2004-11-22 2005-10-21 Au Optronics Corp Fabrication method of thin film transistor
US8791001B2 (en) * 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
CN103456782B (zh) * 2012-05-28 2016-12-14 中国科学院微电子研究所 半导体器件及其制造方法
US8772109B2 (en) * 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9601593B2 (en) * 2014-08-08 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080203501A1 (en) * 2007-02-22 2008-08-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
TW201304012A (zh) * 2011-03-31 2013-01-16 Tokyo Electron Ltd 電漿氮化處理方法、電漿氮化處理裝置及半導體裝置的製造方法
TW201312655A (zh) * 2011-09-02 2013-03-16 Taiwan Semiconductor Mfg 積體電路及其形成方法
US20150221676A1 (en) * 2014-02-04 2015-08-06 International Business Machines Corporation FinFET DEVICE CONTAINING A COMPOSITE SPACER STRUCTURE

Also Published As

Publication number Publication date
US9911821B2 (en) 2018-03-06
TW201729238A (zh) 2017-08-16
US10868133B2 (en) 2020-12-15
US20200058756A1 (en) 2020-02-20
US20170141205A1 (en) 2017-05-18
US20180197969A1 (en) 2018-07-12
CN106711219B (zh) 2020-01-14
US10461169B2 (en) 2019-10-29
CN106711219A (zh) 2017-05-24

Similar Documents

Publication Publication Date Title
CN104835780B (zh) 半导体结构及其制造方法
TWI650798B (zh) 半導體元件結構及其形成方法
US9947766B2 (en) Semiconductor device and fabricating method thereof
US9368497B2 (en) Fin field-effect transistors and fabrication method thereof
US10297511B2 (en) Fin-FET device and fabrication method thereof
JP5503517B2 (ja) 電界効果トランジスタの製造方法
US9601593B2 (en) Semiconductor device structure and method for forming the same
KR20190024625A (ko) 반도체 디바이스 및 방법
TW201013792A (en) Semiconductor device and fabrication method thereof
TWI469262B (zh) 半導體裝置之製造方法及半導體裝置
CN104916542A (zh) 半导体器件的结构及其制造方法
US9859113B2 (en) Structure and method of semiconductor device structure with gate
WO2012100463A1 (zh) 一种形成半导体结构的方法
TW201543679A (zh) 半導體裝置結構及其製造方法
TWI814888B (zh) 一種製作半導體元件的方法
US9941152B2 (en) Mechanism for forming metal gate structure
US10090397B2 (en) Semiconductor device structure and method for forming the same
CN111211055B (zh) 半导体结构及其形成方法
JP2005303261A (ja) 半導体装置およびその製造方法
TWI571962B (zh) 半導體裝置結構及其形成方法
TW202335060A (zh) 半導體元件及其製作方法