TWI650415B - Removal composition for selectively removing hard mask and methods thereof - Google Patents

Removal composition for selectively removing hard mask and methods thereof Download PDF

Info

Publication number
TWI650415B
TWI650415B TW103135159A TW103135159A TWI650415B TW I650415 B TWI650415 B TW I650415B TW 103135159 A TW103135159 A TW 103135159A TW 103135159 A TW103135159 A TW 103135159A TW I650415 B TWI650415 B TW I650415B
Authority
TW
Taiwan
Prior art keywords
acid
ammonium
mixture
group
scavenging composition
Prior art date
Application number
TW103135159A
Other languages
Chinese (zh)
Other versions
TW201527519A (en
Inventor
華 崔
Original Assignee
義凱西技術公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 義凱西技術公司 filed Critical 義凱西技術公司
Publication of TW201527519A publication Critical patent/TW201527519A/en
Application granted granted Critical
Publication of TWI650415B publication Critical patent/TWI650415B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/28Acidic compositions for etching iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/34Alkaline compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/38Alkaline compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • C23F11/14Nitrogen-containing compounds
    • C23F11/149Heterocyclic compounds containing nitrogen as hetero atom
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本揭露關於一種清除組成物,用於自一半導體基板上相對於低介電常數介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成。該半導體基板包含具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電常數介電材料。該清除組成物包含0.1wt%至90wt%的一氧化劑;0.0001wt%至50wt%的一羧酸鹽;以及補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 The present disclosure relates to a cleaning composition for selectively removing a hard mask from a semiconductor substrate relative to a low-k dielectric material, the hard mask being mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and Ti and W alloys. The semiconductor substrate comprises a low dielectric constant dielectric material having a hard mask thereon having an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W. The scavenging composition comprises from 0.1 wt% to 90 wt% of an oxidizing agent; from 0.0001 wt% to 50 wt% of the monocarboxylate; and a complement of 100 wt% of the remainder of the scavenging composition comprising deionized water.

Description

用於選擇性清除硬遮罩之清除組成物及其方法 Clearing composition for selectively removing hard mask and method thereof 【相關申請案之交互參照/引用併入之聲明】[Recognition of cross-references/cited references in related applications]

本申請案主張美國臨時申請案第61/889,968號(2013年10月11日提出申請)之優先權,其全部內容係以引用方式併入本文中。The present application claims priority to U.S. Provisional Application No. 61/889,968, filed on Oct. 11, 2013, the entire disclosure of which is incorporated herein by reference.

本揭示及主張之發明概念涉及用於自積體電路(IC)元件基板選擇性清除硬遮罩(hard mask)與其他殘留物之組成物與方法,並且更特定的是涉及可用於使用一羧酸鹽化合物自包含低介電常數(low-k)介電材料、TEOS、銅、鈷與其他低介電介電材料之該等基板選擇性清除TiN、TaN、TiNxOy、TiW、Ti與W硬遮罩、及包含前述者之合金的硬遮罩、以及其他殘留物的組成物及方法。 The presently disclosed and claimed inventive concepts relate to compositions and methods for selectively removing hard masks and other residues from an integrated circuit (IC) device substrate, and more particularly to the use of a carboxy group. The acid salt compound selectively removes TiN, TaN, TiNxOy, TiW, Ti and W from such substrates containing low dielectric constant (low-k) dielectric materials, TEOS, copper, cobalt and other low dielectric dielectric materials. A mask, a hard mask comprising the alloy of the foregoing, and a composition and method of other residues.

電漿乾式蝕刻常用來在銅(Cu)/低介電雙鑲嵌製程中製造垂直側壁溝槽與非等向互連通孔。隨著技術節點(technology node)進步到45nm及更小的製程,半導體元件尺寸的縮小使得要達到通孔與溝槽的精準輪廓控制更具挑戰性。積體電路元件公司正在研究利用各式硬遮罩來改善對低介電材料的蝕刻選擇性並藉以獲得更佳的輪廓控制。 Plasma dry etching is commonly used to fabricate vertical sidewall trenches and non-isotropic interconnect vias in a copper (Cu)/low dielectric dual damascene process. As technology nodes progress to 45nm and smaller processes, the shrinking size of semiconductor components makes it more challenging to achieve precise contour control of vias and trenches. Integrated Circuit Components is investigating the use of various hard masks to improve the etch selectivity of low dielectric materials and to achieve better profile control.

為了要獲得高良率與低阻抗,在進行下一個製程步驟之 前,蝕刻期間產生在側壁上的聚合物殘留物與通孔底部的微粒/聚合物殘留物必須加以清除。如果清除組成物(清潔溶液)亦可有效蝕刻硬遮罩以形成中間形態(例如拉回/修圓形態(pulled-back/rounded morphology)),或者完全清除硬遮罩,將會極為有利。拉回/修圓形態可避免底切硬遮罩,從而可使障壁金屬、Cu種晶層與Cu填充能夠可靠沉積。或者,使用相同組成物來完全清除硬遮罩可藉由免除使用化學機械研磨(CMP)屏障而為下游製程步驟(尤其是CMP)帶來許多好處。 In order to achieve high yield and low impedance, proceed to the next process step. Previously, the polymer residue generated on the sidewalls during etching and the particulate/polymer residue at the bottom of the via must be removed. It would be highly advantageous if the removal of the composition (cleaning solution) would also effectively etch the hard mask to form an intermediate form (e.g., pull-back/rounded morphology), or to completely remove the hard mask. The pull back/rounded form avoids the undercut hard mask, which enables reliable deposition of barrier metal, Cu seed layer and Cu fill. Alternatively, the use of the same composition to completely remove the hard mask can bring many benefits to downstream process steps (especially CMP) by eliminating the use of chemical mechanical polishing (CMP) barriers.

幾乎製程中的每一個步驟(例如平坦化步驟、微影步驟 或蝕刻步驟)之後都需要接著進行清除(清潔)製程以清除電漿蝕刻、光阻、氧化劑、研磨物、金屬、及/或其他液體或粒子遺留之殘留物,如果未將其有效清除,則可能汙染元件表面。製造需要銅導體與低介電介電材料(典型為碳摻雜之氧化矽(SiOCH),或多孔性低介電材料)的先進世代元件,產生一個問題,也就是這兩種材料皆可與各種類型之習知清潔劑反應且受其損害。 Almost every step in the process (eg flattening step, lithography step) Or after the etching step), a cleaning (cleaning) process is then required to remove the residue left by the plasma etch, photoresist, oxidant, abrasive, metal, and/or other liquid or particles, if not effectively removed, May contaminate the surface of the component. Manufacturing advanced generation components that require copper conductors and low dielectric dielectric materials (typically carbon doped yttria (SiOCH), or porous low dielectric materials) creates a problem that both materials can Various types of conventional cleaners react and are damaged by them.

低介電介電質尤其可能在清除製程中受損,表現出蝕 刻、多孔性/尺寸變化,並最終在介電性質上有所改變。清除殘留物所需的時間取決於殘留物的本質、產生殘留物之製程(加熱、交聯、蝕刻、焙燒、及/或灰化)、以及可否使用批式或單一晶圓清除製程。有些殘留物可以在非常短的時間內清除,有些殘留物則需要遠遠更長的 清除程序。在與清除組成物接觸的持續期間內,與低介電介電質及銅導體兩者皆具有相容性為理想特性。 Low dielectric dielectrics are particularly likely to be damaged during the cleaning process, exhibiting etch Inscription, porosity/size change, and ultimately a change in dielectric properties. The time required to remove the residue depends on the nature of the residue, the process by which the residue is produced (heating, crosslinking, etching, roasting, and/or ashing), and whether a batch or single wafer removal process can be used. Some residues can be removed in a very short time, and some residues need to be much longer. Clear the program. It is desirable to have compatibility with both low dielectric dielectric and copper conductor for the duration of contact with the scavenging composition.

在後段(BEOL)IC製程(即雙鑲嵌程序)期間,在形成 通孔與溝槽時會使用TiN、TaN、TiNxOy、TiW、Ti及/或W(包括Ti與W之合金)作為硬遮罩,以在乾式蝕刻步驟期間獲得對低介電介電材料的高選擇性。需要能夠選擇性清除TiN、TaN、TiNxOy、TiW、Ti或W、與低介電材料、銅、鈷及其他介電材料相容、且亦會自該形成之雙鑲嵌結構上同時清除不想要之蝕刻殘留物與Cu氧化物的有效清除組成物。除了選擇性清除外,亦為高度理想的是,清除組成物可達成之硬遮罩清除率(Å/min)能長時間維持實質上恆定。 In the latter stage (BEOL) IC process (ie dual damascene procedure), during formation Through holes and trenches, TiN, TaN, TiNxOy, TiW, Ti, and/or W (including alloys of Ti and W) are used as hard masks to achieve high dielectric low dielectric materials during the dry etching step. Selectivity. Need to be able to selectively remove TiN, TaN, TiNxOy, TiW, Ti or W, compatible with low dielectric materials, copper, cobalt and other dielectric materials, and also remove unwanted unwanted from the formed dual damascene structure An effective removal composition of the etch residue and Cu oxide. In addition to selective removal, it is also highly desirable that the hard mask removal rate (Å/min) achievable by the scavenging composition can be maintained substantially constant over time.

由於元件關鍵尺寸的持續縮小以及對於高生產效率與可靠元件性能的相應要求,因此需要此類經改良之清除組成物。 Such improved cleaning compositions are required due to the continued shrinking of critical dimensions of the components and the corresponding requirements for high production efficiency and reliable component performance.

本揭露關於含有一或多種羧酸鹽之改良半導體處理組成物(即濕式清潔化學物或清除組成物),該組成物可自雙鑲嵌結構上高選擇性清除硬遮罩而不會損及佈線冶金與介電材料。這類在雙鑲嵌後段金屬化所製造之半導體基板由多層或多級金屬互連組成,該等金屬互連係由中間層介電質(低介電介電材料)隔離。該清除組成物可自通孔與溝槽表面清除硬遮罩蝕刻殘留物、光阻、聚合性材料、與氧化銅而不會損及底下形成結構之層。該等半導體基板典型包含銅、鈷、低介電介電材料、SiON、SiCN、TEOS與選自TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti與W之合金)的硬遮罩。該清除組 成物包含0.1wt%至90wt%的至少一種氧化劑、0.0001wt%至50wt%的羧酸鹽,以及補足100wt%的該清除組成物之剩餘部分(包含水,例如去離子水)。 The present disclosure relates to an improved semiconductor processing composition (ie, a wet cleaning chemistry or a cleaning composition) containing one or more carboxylate salts, which is capable of selectively removing hard masks from a dual damascene structure without damaging Wiring metallurgy and dielectric materials. Such semiconductor substrates fabricated in the dual damascene back-end metallization consist of multilayer or multi-level metal interconnects that are isolated by an interlayer dielectric (low dielectric dielectric material). The scavenging composition can remove hard mask etch residues, photoresist, polymeric materials, and copper oxide from the via and trench surfaces without damaging the underlying layer. The semiconductor substrates typically comprise copper, cobalt, a low dielectric dielectric material, SiON, SiCN, TEOS and a hard mask selected from the group consisting of TiN, TaN, TiNxOy, TiW, W, Ti (including alloys of Ti and W). The clearing group The composition comprises from 0.1% to 90% by weight of at least one oxidizing agent, from 0.0001% to 50% by weight of the carboxylate, and from 100% by weight of the remainder of the scavenging composition (including water, such as deionized water).

圖1A與1B為半導體晶圓片段的剖面SEM影像,其分別顯示在雙鑲嵌元件製造期間但在與本發明清除組成物接觸之前的溝槽與通孔。 1A and 1B are cross-sectional SEM images of semiconductor wafer segments showing trenches and vias respectively during fabrication of the dual damascene elements but prior to contact with the cleaning composition of the present invention.

圖2A與2B為圖1A與1B所示之此類半導體晶圓片段的剖面SEM影像,其為在與表1之清除組成物1在50℃下接觸90秒鐘之後。 2A and 2B are cross-sectional SEM images of such semiconductor wafer segments of Figs. 1A and 1B after contact with the cleaning composition 1 of Table 1 at 50 ° C for 90 seconds.

圖3A與3B為圖1A與1B所示之此類半導體晶圓片段的剖面SEM影像,其為在與表1之清除組成物2在50℃下接觸90秒鐘之後。 3A and 3B are cross-sectional SEM images of such semiconductor wafer segments of FIGS. 1A and 1B after contact with the cleaning composition 2 of Table 1 at 50 ° C for 90 seconds.

圖4A與4B為圖1A與1B所示之此類半導體晶圓片段的剖面SEM影像,其為在與表1之清除組成物3在53℃下接觸90秒鐘之後。 4A and 4B are cross-sectional SEM images of such semiconductor wafer segments of FIGS. 1A and 1B after contact with the cleaning composition 3 of Table 1 at 53 ° C for 90 seconds.

吾人認知到,本發明組成物之各種組分可能會交互反應,因此任何組成物係表示為各種當添加在一起形成該組成物之組分之量。除非另有特別陳明,任何以百分比給出之組成物皆為已添加至該組成物之組分的重量百分比(wt%)。當將該組成物描述為實質上不含 一特定組分時,一般而言會提供數值範圍以指引所屬技術領域中具有通常知識者了解何謂「實質上不含」,但在所有情況中「實質上不含」涵括該組成物完全不含此特定組分之較佳實施例。 It has been recognized that the various components of the compositions of the present invention may interact interactively, and thus any composition is expressed as an amount of various components that are added together to form the composition. Unless otherwise stated, any composition given as a percentage is the weight percent (wt%) of the components that have been added to the composition. When the composition is described as being substantially free In the case of a particular component, a numerical range is generally provided to guide those of ordinary skill in the art to understand what is "substantially free", but in all cases "substantially free" encompasses that the composition does not Preferred embodiments containing this particular component.

如上所簡述,雙鑲嵌程序係用來在後段金屬化中形成金 屬互連,其接著用來在一半導體基板電互連各種電子組件以形成功能性電路。關於後段金屬化(包含製造多級或多層的金屬互連,其由中間層介電層及/或障壁層所隔離)之論述可在例如美國專利第8,080,475號中找到,其教示係以引用方式全文併入本文中。將新材料(諸如超低介電介電質)結合到微電子元件中,帶來對清除性能之全新要求。同時,縮小元件尺寸會減低對通孔與溝槽之精準尺寸變化的容差。 As briefly mentioned above, the dual damascene program is used to form gold in the subsequent metallization. An interconnect is then used to electrically interconnect various electronic components on a semiconductor substrate to form a functional circuit. A discussion of post-stage metallization, including the fabrication of multi-level or multi-layer metal interconnects, which are isolated by an interlayer dielectric layer and/or barrier layer, can be found, for example, in U.S. Patent No. 8,080,475, the disclosure of which is incorporated herein by reference. The full text is incorporated herein. The incorporation of new materials, such as ultra-low dielectric dielectrics, into microelectronic components brings new demands for cleaning performance. At the same time, reducing the size of the component reduces the tolerance for precise dimensional changes in the via and the trench.

所描述與主張之發明概念來自於一項發現,即自半導體 基板上選擇性清除硬遮罩(其中該硬遮罩係與一低介電介電材料形成重疊關係),可藉由將0.0001wt%至多達50wt%之有效量的一羧酸鹽結合至該清除組成物中而達成。在一較佳實施例中,羧酸鹽濃度為0.001wt%至多達10wt%。另一項好處為添加羧酸鹽會提高硬遮罩(選自TiN、TaN、TiNxOy、TiW、W、Ti,包括Ti與W之合金)的蝕刻率。 The inventive concept of the description and claim comes from a discovery that is from the semiconductor Selectively removing the hard mask on the substrate (wherein the hard mask is in an overlapping relationship with a low dielectric dielectric material) by bonding 0.0001 wt% to as much as 50 wt% of an effective amount of the monocarboxylate to the Achieved by removing the composition. In a preferred embodiment, the carboxylate concentration is from 0.001% by weight up to 10% by weight. Another benefit is that the addition of a carboxylate increases the etch rate of the hard mask (from TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W).

再一項好處在於發現在本揭露之清除組成物中結合羧酸 銨與CDTA會提高蝕刻率並且使蝕刻率穩定。又一項好處在於發現乙二胺四乙酸銨不只會提高蝕刻率,也會使蝕刻率穩定。 A further benefit is the discovery of the incorporation of a carboxylic acid in the scavenging composition of the present disclosure. Ammonium and CDTA increase the etching rate and stabilize the etching rate. Another advantage is that the discovery of ammonium EDTA not only increases the etch rate, but also stabilizes the etch rate.

用於清除金屬硬遮罩之清除組成物中的化學品可能會隨 時間分解。用語「穩定」在本文中係指可達成之硬遮罩蝕刻率在長時間內維持實質上恆定,例如在選定操作溫度下,二十二(22)小時至多達三十五(35)小時或更長的期間。例如,該清除組成物在使用2、4或8小時後的蝕刻率,與使用20、24或35小時後之蝕刻率實質上相同。用語「實質上恆定」意欲表示隨時間之分解係降至最低,或者低於乙二胺四乙酸銨或羧酸銨與胺基酸、胺多羧酸、羧酸或多羧酸螯合劑之組合未使用於該清除組成物中時。因此,蝕刻率降低之程度不會像未使用乙二胺四乙酸銨或羧酸銨與胺基酸、胺多羧酸、羧酸或多羧酸螯合劑之組合時那麼多。 Chemicals used to remove metal hard mask removal components may follow Time decomposition. The term "stable" as used herein means that the achievable hard mask etch rate remains substantially constant over a long period of time, for example, at a selected operating temperature, twenty-two (22) hours up to thirty-five (35) hours or Longer period. For example, the etch rate of the scavenging composition after 2, 4, or 8 hours of use is substantially the same as the etch rate after 20, 24, or 35 hours of use. The term "substantially constant" is intended to mean that the decomposition over time is minimized, or is less than the combination of ammonium EDTA or ammonium carboxylate with an amino acid, an amine polycarboxylic acid, a carboxylic acid or a polycarboxylic acid chelating agent. Not used when the composition is removed. Therefore, the degree of reduction in the etching rate is not as great as when ammonium EDTA or ammonium carboxylate is not used in combination with an amino acid, an amine polycarboxylic acid, a carboxylic acid or a polycarboxylic acid chelating agent.

用語「選擇性清除」意欲表示清除硬遮罩而不會損及底 下之金屬導體層(金屬互連)與低介電介電材料。低介電介電材料為在半導體基板或任何微電子元件中使用作為介電材料的任何材料並且其介電常數小於3.5。有用低介電介電材料的例子包括但不限於:二氧化矽(SiO2)、碳摻雜氧化矽(SiOCH)、低極性材料如有機聚合物、混合有機、無機材料、有機矽酸鹽玻璃(OSG)與碳摻雜氧化物(CDO)玻璃。將多孔性(即填空氣細孔)結合在這些材料中會進一步降低材料之介電常數。 The term "selective removal" is intended to mean that the hard mask is removed without damaging the underlying metal conductor layer (metal interconnect) and the low dielectric dielectric material. The low dielectric dielectric material is any material used as a dielectric material in a semiconductor substrate or any microelectronic component and has a dielectric constant of less than 3.5. Examples of useful low dielectric dielectric materials include, but are not limited to, cerium oxide (SiO 2 ), carbon doped cerium oxide (SiOCH), low polarity materials such as organic polymers, mixed organic, inorganic materials, organic tellurite glasses. (OSG) with carbon doped oxide (CDO) glass. The incorporation of porosity (i.e., air-filled pores) into these materials further reduces the dielectric constant of the material.

羧酸鹽 Carboxylate

用語「羧酸鹽」在本文中係用來意指通式M(RCOO)n,其中M為一金屬而n為1、2、...且為該化合物內羧酸酯 (具有通式RCOOR')的數目,其中R與R'為有機基團,並且前提是R'≠H。當本文中所述之此類化學物用於電子裝置製造(諸如製造IC元件)時,較佳為該化學組成物中沒有任何金屬雜質。在此類情形中,M係用NH4 +來取代。本揭露之清除組成物會自半導體基板上選擇性清除硬遮罩。硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成。相對於半導體基板之低介電介電材料,該清除組成物會選擇性清除硬遮罩。該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;以及(c)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 The term "carboxylate" is used herein to mean the formula M(RCOO)n, wherein M is a metal and n is 1, 2, ... and is a carboxylic acid ester of the compound (having the general formula RCOOR' And the number of R, R' is an organic group, and the premise is R'≠H. When such a chemical as described herein is used in the manufacture of electronic devices, such as the fabrication of IC components, it is preferred that the chemical composition is free of any metallic impurities. In such cases, M is replaced by NH 4 + . The cleaning composition of the present disclosure selectively removes the hard mask from the semiconductor substrate. The hard mask is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W. The scavenging composition selectively removes the hard mask relative to the low dielectric dielectric material of the semiconductor substrate. The scavenging composition comprises: (a) 0.1 wt% to 90 wt% of an oxidizing agent; (b) 0.0001 wt% to 50 wt% of a monocarboxylate; and (c) a complement of 100 wt% of the remainder of the scavenging composition, It contains deionized water.

一羧酸鹽存在於該清除組成物中會提高金屬硬遮罩蝕刻率至少8%,此係與不含羧酸鹽之相同清除組成物相比,並且在一些實施例中,提高至少39%或43%或50%或60%或75或80%。在一些實施例中,該羧酸鹽係選自由下列所組成之群組:檸檬酸三鉀單水合物、酒石酸鉀鈉四水合物、L-乳酸鉀、與上述者之混合物。 The presence of a monocarboxylate in the scavenging composition increases the metal hard mask etch rate by at least 8%, as compared to the same scavenging composition that does not contain the carboxylate, and in some embodiments, increases by at least 39%. Or 43% or 50% or 60% or 75 or 80%. In some embodiments, the carboxylate is selected from the group consisting of tripotassium citrate monohydrate, sodium potassium tartrate tetrahydrate, potassium L-lactic acid, mixtures with the foregoing.

在一些實施例中,該羧酸鹽為一羧酸銨。在一些實施例中,該羧酸銨係選自由下列所組成之群組:草酸銨、乳酸銨、酒石酸銨、檸檬酸三銨、乙酸銨、胺基甲酸銨、碳酸銨、苯甲酸銨、乙二胺四乙酸銨、乙二胺四乙酸二銨、乙二胺四乙酸三銨、乙二胺四乙酸四銨、琥珀酸銨、甲酸銨、1-H-吡唑-3-甲酸銨、與上述者之混合物。 In some embodiments, the carboxylate is ammonium monocarboxylate. In some embodiments, the ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, triammonium citrate, ammonium acetate, ammonium urinate, ammonium carbonate, ammonium benzoate, B. Ammonium diamine tetraacetate, diammonium ethylenediaminetetraacetate, triammonium ethylenediaminetetraacetate, tetraammonium ethylenediaminetetraacetate, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, and a mixture of the above.

該羧酸鹽存在之量為0.0001wt%至50wt%,此係基於該清除組成物之總重量。在一些實施例中,該羧酸鹽存在之量為 0.0001wt%至25wt%,此係基於該清除組成物之總重量。在一些實施例中,該羧酸鹽存在之量為0.0001wt%至10wt%,此係基於該清除組成物之總重量。在另一實施例中,該羧酸鹽存在之量為0.0001wt%至0.6wt%,此係基於該清除組成物之總重量。在另一實施例中,該羧酸鹽存在之量為0.001wt%至50wt%,此係基於該清除組成物之總重量。在另一實施例中,該羧酸鹽存在之量為0.001wt%至10wt%,此係基於該清除組成物之總重量。在又一實施例中,該羧酸鹽存在之量為0.2至0.5wt%,此係基於該清除組成物之總重量。 The carboxylate is present in an amount from 0.0001% to 50% by weight based on the total weight of the scavenging composition. In some embodiments, the amount of the carboxylate present is 0.0001 wt% to 25 wt%, based on the total weight of the scavenging composition. In some embodiments, the carboxylate is present in an amount from 0.0001% to 10% by weight based on the total weight of the scavenging composition. In another embodiment, the carboxylate is present in an amount from 0.0001% to 0.6% by weight based on the total weight of the scavenging composition. In another embodiment, the carboxylate is present in an amount from 0.001% to 50% by weight based on the total weight of the scavenging composition. In another embodiment, the carboxylate is present in an amount from 0.001% to 10% by weight based on the total weight of the scavenging composition. In yet another embodiment, the carboxylate is present in an amount from 0.2 to 0.5% by weight based on the total weight of the scavenging composition.

在又一實施例中,該羧酸銨存在之量為0.0001wt%至 50wt%,此係基於該清除組成物之總重量。在一些實施例中,該羧酸銨存在之量為0.0001wt%至25wt%,此係基於該清除組成物之總重量。在一些實施例中,該羧酸銨存在之量為0.0001wt%至10wt%,此係基於該清除組成物之總重量。在另一實施例中,該羧酸銨存在之量為0.0001至0.6wt%,此係基於該清除組成物之總重量。在又一實施例中,該羧酸銨存在之量為0.001wt%至50wt%,此係基於該清除組成物之總重量。在另一實施例中,該羧酸銨存在之量為0.001wt%至10wt%,此係基於該清除組成物之總重量。在又一實施例中,該羧酸銨存在之量為0.2至0.5wt%,此係基於該清除組成物之總重量。 In still another embodiment, the ammonium carboxylate is present in an amount from 0.0001% by weight to 50% by weight based on the total weight of the scavenging composition. In some embodiments, the ammonium carboxylate is present in an amount from 0.0001% to 25% by weight based on the total weight of the scavenging composition. In some embodiments, the ammonium carboxylate is present in an amount from 0.0001% to 10% by weight based on the total weight of the scavenging composition. In another embodiment, the ammonium carboxylate is present in an amount from 0.0001 to 0.6 wt% based on the total weight of the scavenging composition. In yet another embodiment, the ammonium carboxylate is present in an amount from 0.001% to 50% by weight based on the total weight of the scavenging composition. In another embodiment, the ammonium carboxylate is present in an amount from 0.001% to 10% by weight based on the total weight of the scavenging composition. In yet another embodiment, the ammonium carboxylate is present in an amount from 0.2 to 0.5% by weight based on the total weight of the scavenging composition.

在一些實施例中,該羧酸銨為一乙二胺四乙酸銨或其混 合物。存在於本揭露之清除組成物中之乙二胺四乙酸銨不只會提高硬遮罩蝕刻率,亦可作用於使可達成蝕刻率在長期間(多達至少22個小時且在一些實施例中多達至少35小時)內穩定。 In some embodiments, the ammonium carboxylate is ammonium diamine tetraacetate or a mixture thereof Compound. The ethylenediaminetetraacetate present in the cleaning composition of the present disclosure not only increases the hard mask etch rate, but also acts to achieve an etch rate over a long period of time (up to at least 22 hours and in some embodiments) Stable for up to at least 35 hours).

在一些實施例中,該乙二胺四乙酸銨係選自由下列所組 成之群組:乙二胺四乙酸銨、乙二胺四乙酸二銨、乙二胺四乙酸三銨、乙二胺四乙酸四銨、與上述者之混合物。 In some embodiments, the ammonium ethylenediaminetetraacetate is selected from the group consisting of Groups of: ethylenediaminetetraacetic acid ammonium, ethylenediaminetetraacetic acid diammonium, ethylenediaminetetraacetic acid triammonium, ethylenediaminetetraacetic acid tetraammonium, a mixture with the above.

在一個實施例中,乙二胺四乙酸銨會使蝕刻率穩定。在 一些實施例中,乙二胺四乙酸銨會使TiN蝕刻率穩定。在一些實施例中,乙二胺四乙酸銨會使TiN蝕刻率穩定,使得在50℃下之TiN蝕刻率在35小時不會下降超過20%或45Å/min。未添加乙二胺四乙酸銨之清除組成物在50℃下之蝕刻率在35小時下降60%或86Å/min。 In one embodiment, ammonium EDTA stabilizes the etch rate. in In some embodiments, ammonium EDTA stabilizes the TiN etch rate. In some embodiments, ammonium EDTA stabilizes the TiN etch rate such that the TiN etch rate at 50 ° C does not decrease by more than 20% or 45 Å/min at 35 hours. The etching rate at 50 ° C of the removal composition without addition of ammonium EDTA was decreased by 60% or 86 Å/min at 35 hours.

在一些實施例中,該羧酸銨為乙二胺四乙酸四銨。在一 些實施例中,乙二胺四乙酸四銨會使TiN蝕刻率穩定,使得在50℃下之TiN蝕刻率在35小時不會下降超過20%或45Å/min。對於不含乙二胺四乙酸四銨之清除組成物而言,其在50℃下之TiN蝕刻率在35小時下降60%或86Å/min。 In some embodiments, the ammonium carboxylate is tetraammonium ethylenediaminetetraacetate. In a In some embodiments, tetraammonium ethylenediaminetetraacetate stabilizes the TiN etch rate such that the TiN etch rate at 50 ° C does not decrease by more than 20% or 45 Å/min at 35 hours. For the removal composition without tetraammonium ethylenediaminetetraacetate, the TiN etch rate at 50 ° C decreased by 60% or 86 Å / min at 35 hours.

氧化劑 Oxidant

依據本發明概念之有用氧化劑係選自能夠與硬遮罩進行化學反應並能使其清除發生之任何物質。該清除組成物氧化劑係選自由下列所組成之群組:過氧化氫(H2O2)、氧化N-甲基嗎福林(NMMO或NMO)、過氧化苯甲醯、過氧單硫酸四丁銨、臭氧、氯化鐵、過錳酸鹽、過氧硼酸鹽、過氯酸鹽、過硫酸鹽、過氧二硫酸銨、過乙酸、氫過氧化脲、硝酸(HNO3)、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸 銨(NH4IO3)、過硫酸銨((NH4)2S2O8)、亞氯酸四甲銨((N(CH3)4)ClO2)、氯酸四甲銨((N(CH3)4)ClO3)、碘酸四甲銨((N(CH3)4)IO3)、過硼酸四甲銨((N(CH3)4)BO3)、過氯酸四甲銨((N(CH3)4)ClO4)、過碘酸四甲銨((N(CH3)4)IO4)、過硫酸四甲銨((N(CH3)4)S2O8)、((CO(NH2)2)H2O2)、過氧乙酸(CH3(CO)OOH)、與上述者之混合物。在前述者之中,H2O2為最佳之氧化劑,因為其為低金屬濃度且提供操作簡便性與低相對成本。 Useful oxidizing agents in accordance with the teachings of the present invention are selected from any material that is capable of chemically reacting with a hard mask and allowing it to be removed. The scavenging composition oxidant is selected from the group consisting of hydrogen peroxide (H 2 O 2 ), N-methylformine (NMMO or NMO), benzammonium peroxide, and peroxymonosulfate Butylammonium, ozone, ferric chloride, permanganate, peroxo borate, perchlorate, persulphate, ammonium peroxodisulfate, peracetic acid, urea hydroperoxide, nitric acid (HNO 3 ), chlorine Ammonium (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), Ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), tetramethylammonium chlorite ((N(CH 3 ) 4 )ClO 2 ), tetramethylammonium chlorate ((N(CH 3 ) 4 )ClO 3 ), tetramethylammonium iodate ((N(CH 3 ) 4 )IO 3 ), tetramethylammonium perborate ((N(CH 3 ) 4 )BO 3 )) Tetramethylammonium chlorate ((N(CH 3 ) 4 )ClO 4 ), tetramethylammonium periodate ((N(CH 3 ) 4 ) IO 4 ), tetramethylammonium persulfate ((N(CH 3 ) 4 ) S 2 O 8 ), ((CO(NH 2 ) 2 )H 2 O 2 ), peracetic acid (CH 3 (CO)OOH), a mixture with the above. Among the foregoing, H 2 O 2 is the most preferred oxidant because it is a low metal concentration and provides ease of operation and low relative cost.

在一個實施例中,該清除組成物包含0.1wt%至90wt% 的一氧化劑。在另一個實施例中,該清除組成物包含0.1wt%至24wt%的一氧化劑。在另一個實施例中,該清除組成物包含3wt%至24wt%的一氧化劑。 In one embodiment, the scavenging composition comprises from 0.1 wt% to 90 wt% An oxidant. In another embodiment, the scavenging composition comprises from 0.1% to 24% by weight of an oxidizing agent. In another embodiment, the scavenging composition comprises from 3 wt% to 24 wt% of an oxidant.

酸/螯合劑 Acid/chelator

該清除組成物亦可包括胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物。觀察到胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物與羧酸銨或羧酸銨之混合物的組合之存在,會使蝕刻率穩定多達至少22小時或甚至多達35小時。 The scavenging composition may also include an amino acid, an amine polycarboxylic acid (i.e., an amine polycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or a mixture of the foregoing. The presence of a combination of an amino acid, an amine polycarboxylic acid (i.e., an amine polycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or a mixture of the foregoing and a mixture of ammonium carboxylate or ammonium carboxylate is observed. Will stabilize the etch rate for at least 22 hours or even up to 35 hours.

在一些實施例中,該清除組成物包括0.0005wt%至20wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物。在一些實施例中,該清除組成物包括0.001wt%至20wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、 多羧酸螯合劑、或上述者之混合物。在另一個實施例中,該清除組成物包括0.001wt%至10wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物。在另一個實施例中,該清除組成物包括0.001wt%至5wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物。在另一個實施例中,該清除組成物包括0.001wt%至1wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物。在另一個實施例中,該清除組成物包括0.001wt%至0.607wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物。 In some embodiments, the scavenging composition comprises from 0.0005 wt% to 20 wt% of an amino acid, an amine polycarboxylic acid (ie, an amino polycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or the like. a mixture. In some embodiments, the scavenging composition comprises from 0.001 wt% to 20 wt% of an amino acid, an amine polycarboxylic acid (ie, an amino polycarboxylic acid), and/or a carboxylic acid, A polycarboxylic acid chelating agent, or a mixture of the foregoing. In another embodiment, the scavenging composition comprises from 0.001% to 10% by weight of an amino acid, an amine polycarboxylic acid (ie, an amine polycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or the like a mixture of people. In another embodiment, the scavenging composition comprises from 0.001 wt% to 5 wt% of an amino acid, an amine polycarboxylic acid (ie, an amino polycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or the like a mixture of people. In another embodiment, the scavenging composition comprises from 0.001% to 1% by weight of an amino acid, an amine polycarboxylic acid (ie, an aminopolycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or the like a mixture of people. In another embodiment, the scavenging composition comprises from 0.001 wt% to 0.607 wt% of an amino acid, an amine polycarboxylic acid (ie, an amino polycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or a mixture of the above.

此類螯合劑之例子包括但不限於1,2-環己烷二胺- N,N,N',N'-四乙酸(1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid,CDTA);乙二胺四乙酸(ethylenediaminetetraacetic acid);氮基三乙酸(nitrilotriacetic acid);二伸乙三胺五乙酸(diethylene triamine pentaacetic acid);1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸(1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid);乙二醇四乙酸(ethylene glycol tetraacetic acid,EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸(1,2-bis(o-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid);N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine,HEDTA);乙二胺-N,N'-雙(2-羥基苯乙酸)(ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid),EDDHA);二氧雜伸辛基二氮基四乙 酸(dioxaoctamethylene dinitrilo tetraacetic acid,DOCTA);以及三伸乙四胺六乙酸(triethylenetetraamine hexaacetic acid,TTHA)。 Examples of such chelating agents include, but are not limited to, 1,2-cyclohexanediamine- N,N,N',N'-tetraacetic acid (CDA); ethylenediaminetetraacetic acid; nitrogen triacetic acid Nitrilotriacetic acid); diethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid (1,4,7, 10-tetraazacyclododecane-1,4,7,10-tetraacetic acid); ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane-N,N, N', N'-tetraacetic acid (N-{2-[bis(carboxymethyl)amino)], N-{2-[bis(carboxymethyl)amino] Ethyl}-N-(2-hydroxyethyl)glycine (N-{2-[bis(carboxymethyl)amino)ethyl}-N-(2-hydroxyethyl)glycine, HEDTA); ethylenediamine-N, N'-bis(2-hydroxyphenylacetic acid) (ethylene diamine-N, N'-bis (2-hydroxyphenylacetic acid), EDDHA); dioxo-octyldiazepine tetraethyl Dioxaoctamethylene dinitrilo tetraacetic acid (DOCTA); and triethylenetetraamine hexaacetic acid (TTHA).

將1,2-環己烷二胺-N,N,N',N'-四乙酸添加至具有羧酸銨之清除組成物中會使TiN蝕刻率穩定多達至少35小時。具有羧酸銨但不含1,2-環己烷二胺-N,N,N',N'-四乙酸之清除組成物在50℃下之蝕刻率在35小時之後可能會降低48%或甚至54%。然而若添加0.2至0.8wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸,則該羧酸銨清除組成物在50℃下之TiN蝕刻率降低8%或更少,而在一個實施例中降低0.4%。當具有羧酸銨之清除組成物的穩定性有其重要性時,可將1,2-環己烷二胺-N,N,N',N'-四乙酸添加至該清除組成物。1,2-環己烷二胺-N,N,N',N'-四乙酸之量可經調整以達成所欲之穩定性。 The addition of 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid to the scavenging composition having ammonium carboxylate stabilizes the TiN etch rate for at least 35 hours. The removal rate of the removal composition having ammonium carboxylate but not 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid at 50 ° C may be reduced by 48% after 35 hours or Even 54%. However, if 0.2 to 0.8% by weight of 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid is added, the TiN etching rate of the ammonium carboxylate scavenging composition at 50 ° C is lowered by 8 % or less, and in one embodiment is reduced by 0.4%. When the stability of the scavenging composition having ammonium carboxylate is important, 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid can be added to the scavenging composition. The amount of 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid can be adjusted to achieve the desired stability.

在一個實施例中,1,2-環己烷二胺-N,N,N',N'-四乙酸存在之量為0.0005至20wt%,此係基於該清除組成物之總重量百分比。在一個實施例中,1,2-環己烷二胺-N,N,N',N'-四乙酸存在之量為0.0005至10wt%,此係基於該清除組成物之總重量百分比。在一個實施例中,1,2-環己烷二胺-N,N,N',N'-四乙酸存在之量為0.001至10wt%,此係基於該清除組成物之總重量百分比。在另一個實施例中,1,2-環己烷二胺-N,N,N',N'-四乙酸存在之量為0.001至5wt%。在另一個實施例中,1,2-環己烷二胺-N,N,N',N'-四乙酸存在之量為0.001至1wt%。在另一個實施例中,1,2-環己烷二胺-N,N,N',N'-四乙酸存在之量為0.001wt%至0.607wt%。 In one embodiment, the 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid is present in an amount from 0.0005 to 20% by weight based on the total weight percent of the scavenging composition. In one embodiment, the 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid is present in an amount from 0.0005 to 10% by weight based on the total weight percent of the scavenging composition. In one embodiment, the 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid is present in an amount from 0.001 to 10% by weight based on the total weight percent of the scavenging composition. In another embodiment, the 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid is present in an amount from 0.001 to 5 wt%. In another embodiment, the 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid is present in an amount from 0.001 to 1 wt%. In another embodiment, the 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid is present in an amount from 0.001% to 0.607% by weight.

在一些實施例中,清除組成物包含(a)0.1wt%至90wt%的至少一種氧化劑,(b)0.0001wt%至50wt%的一羧酸銨;(c)0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;三伸乙四胺六乙酸(TTHA);以及(d)補足100wt%的該清除組成物之剩餘部分,其包含去離子水 In some embodiments, the scavenging composition comprises (a) 0.1 wt% to 90 wt% of at least one oxidizing agent, (b) 0.0001 wt% to 50 wt% of monocarboxylic acid ammonium; (c) 0.001 wt% to 20 wt% of one An amino acid, a monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture thereof, selected from the group consisting of 1,2-cyclohexanediamine-N,N, N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10 -tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid; N-{2-[double ( Carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); Oxygen octyldiazide tetraacetic acid; triamethylenetetramine hexaacetic acid (TTHA); and (d) 100% by weight of the remainder of the scavenging composition comprising deionized water

使蝕刻率穩定多達至少35小時。在一些實施例中,使TiN蝕刻率穩定多達至少35小時。而在一些實施例中,使TiN蝕刻率在一選定操作溫度下穩定多達至少35小時。在一些實施例中,該選定操作溫度為20至60℃。在另一實施例中,該選定操作溫度為介於並包括下列溫度之任兩者:20、30、45、50、53與60℃。 The etch rate is stabilized for at least 35 hours. In some embodiments, the TiN etch rate is stabilized for at least 35 hours. In some embodiments, the TiN etch rate is stabilized for at least 35 hours at a selected operating temperature. In some embodiments, the selected operating temperature is 20 to 60 °C. In another embodiment, the selected operating temperature is between and including any of the following temperatures: 20, 30, 45, 50, 53 and 60 °C.

在一些實施例中,添加螯合劑會使TiN蝕刻率穩定,使得在50℃下之TiN蝕刻率在24小時不會下降超過23Å/min。在一些實施例中,添加螯合劑會使TiN蝕刻率穩定,使得在50℃下之TiN硬遮罩蝕刻率在24小時不會下降超過22.5Å/min。在一些實施例中,添加螯合劑會使TiN蝕刻率穩定,使得在50℃下之TiN蝕刻率在24小 時不會下降超過20.5Å/min。在一些實施例中,添加螯合劑會使TiN蝕刻率穩定,使得在50℃下之TiN蝕刻率在24小時不會下降超過11Å/min。 In some embodiments, the addition of a chelating agent stabilizes the TiN etch rate such that the TiN etch rate at 50 °C does not fall by more than 23 Å/min over 24 hours. In some embodiments, the addition of a chelating agent stabilizes the TiN etch rate such that the TiN hard mask etch rate at 50 ° C does not fall by more than 22.5 Å/min over 24 hours. In some embodiments, the addition of a chelating agent stabilizes the TiN etch rate such that the TiN etch rate at 50 ° C is 24 hours. It does not drop more than 20.5 Å/min. In some embodiments, the addition of a chelating agent stabilizes the TiN etch rate such that the TiN etch rate at 50 ° C does not fall by more than 11 Å/min over 24 hours.

金屬腐蝕抑制劑 Metal corrosion inhibitor

雖然金屬腐蝕抑制劑對於施行本發明非必需者,但舉例來說,若該清除組成物係欲使用於半導體處理之BEOL應用及其他當銅或其他金屬組分的腐蝕是一項顧慮之應用中時,至少一種腐蝕抑制劑亦可存在於該清除組成物中。腐蝕抑制劑的存在有其需要,以保護金屬表面免遭蝕刻或經其他方式劣化。在本發明組成物與相關方法之其他應用(包括FEOL應用)中,通常不需要腐蝕抑制劑,即銅或鈷不會曝露於該清除化學物、銅或鈷不存在於晶圓基板、或者銅或鈷表面的輕微蝕刻/劣化通常不會造成顧慮。 While metal corrosion inhibitors are not necessary for the practice of the present invention, for example, if the cleaning composition is to be used in semiconductor processing BEOL applications and other corrosion as copper or other metal components is a concern. At least one corrosion inhibitor may also be present in the scavenging composition. The presence of corrosion inhibitors is desirable to protect the metal surface from etching or otherwise degrading. In other applications of the compositions of the invention and related methods, including FEOL applications, corrosion inhibitors are generally not required, ie copper or cobalt is not exposed to the scavenging chemical, copper or cobalt is not present on the wafer substrate, or copper Or slight etching/degradation of the cobalt surface is generally not a concern.

金屬(銅或鈷)腐蝕抑制劑為一有機化合物(諸如唑、硫醇、及/或吲哚),其較佳為選自由下列所組成之群組:含有至少一個氮原子之雜環化合物,諸如例如吡咯與其衍生物、吡唑與其衍生物、咪唑與其衍生物、***與其衍生物、吲唑與其衍生物以及硫醇-***與其衍生物、苯并***(BTA)、甲苯***、5-苯基-苯并***、5-硝基-苯并***、3-胺基-5-巰基-1,2,4-***、1-胺基-1,2,4-***、羥苯并***、2-(5-胺基-戊基)-苯并***、1-胺基-1,2,3-***、1-胺基-5-甲基-1,2,3-***、3-胺基-1,2,4-***、3-巰基-1,2,4-***、3-異丙基-1,2,4-***、5-苯硫醇-苯并***、鹵基-苯并***(鹵基=F、Cl、Br或I)、 萘并***(naphthotriazole)、2-巰苯并咪唑(2-mercaptobenzimidazole,MBI)、2-巰苯并噻唑(2-mercaptobenzothiazole)、4-甲基-2-苯咪唑、2-巰噻唑啉、5-胺四唑、5-胺四唑單水合物、5-胺基-1,3,4-噻二唑-2-硫醇、2,4-二胺基-6-甲基-1,3,5-三【口+井】(2,4-diamino-6-methyl-1,3,5-triazine)、噻唑、三【口+井】、甲四唑、1,3-二甲基-2-咪唑啶酮、1,5-伸戊四唑(1,5-pentamethylenetetrazole)、1-苯基-5-巰四唑、二胺基甲基三【口+井】、咪唑啉硫酮、巰苯并咪唑、4-甲基-4H-1,2,4-***-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、與上述者之混合物。在前述者之中,苯并***、吡唑、或苯并***與吡唑之混合物、或苯并***與甲苯***之混合物(可購自Wincom,Inc.,商品名為「Wintrol A-90」)為獲得更好清除性能之較佳銅腐蝕抑制劑。 The metal (copper or cobalt) corrosion inhibitor is an organic compound (such as an azole, a thiol, and/or a hydrazine), which is preferably selected from the group consisting of a heterocyclic compound containing at least one nitrogen atom, Such as, for example, pyrrole and its derivatives, pyrazole and its derivatives, imidazole and its derivatives, triazole and its derivatives, carbazole and its derivatives, and thiol-triazole and its derivatives, benzotriazole (BTA), tolutriazole , 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4- Triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl- 1,2,3-triazole, 3-amino-1,2,4-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1,2,4-triazole , 5-phenylthiol-benzotriazole, halo-benzotriazole (halo=F, Cl, Br or I), Naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-benzimidazole, 2-oxiathiazoline, 5-amine tetrazole, 5-amine tetrazole monohydrate, 5-amino-1,3,4-thiadiazole-2-thiol, 2,4-diamino-6-methyl-1, 3,5-three [mouth + well] (2,4-diamino-6-methyl-1,3,5-triazine), thiazole, three [mouth + well], methotrexate, 1,3-dimethyl 2-imidazolidinone, 1,5-pentamethylenetetrazole, 1-phenyl-5-indoletetrazole, diaminomethyltris[mouth+well], imidazolinethione , benzobenzimidazole, 4-methyl-4H-1,2,4-triazole-3-thiol, 5-amino-1,3,4-thiadiazole-2-thiol, benzothiazole , a mixture with the above. Among the foregoing, benzotriazole, pyrazole, or a mixture of benzotriazole and pyrazole, or a mixture of benzotriazole and tolutriazole (available from Wincom, Inc. under the trade name "Wintrol" A-90") is a preferred copper corrosion inhibitor for better cleaning performance.

銅或鈷腐蝕抑制劑或上述者之混合物可為以0.0001 wt%至50wt%存在於該組成物中。在另一個實施例中,該銅或鈷腐蝕抑制劑或上述者之混合物係以0.0001wt%至10wt%之量存在。在一些實施例中,該銅或鈷腐蝕抑制劑或上述者之混合物係以0.5至0.9wt%之量存在。在一些實施例中,該銅或鈷腐蝕抑制劑或上述者之混合物係以0.18至0.8wt%之量存在。在另一個實施例中,該銅或鈷腐蝕抑制劑或上述者之混合物係以0.18至0.65wt%之量存在。其他合適銅或鈷腐蝕抑制劑包括但不限於芳族醯肼與希夫鹼(Schiff base)化合物。 a copper or cobalt corrosion inhibitor or a mixture of the above may be 0.0001 From wt% to 50% by weight is present in the composition. In another embodiment, the copper or cobalt corrosion inhibitor or a mixture of the foregoing is present in an amount from 0.0001% to 10% by weight. In some embodiments, the copper or cobalt corrosion inhibitor or a mixture of the foregoing is present in an amount from 0.5 to 0.9 wt%. In some embodiments, the copper or cobalt corrosion inhibitor or a mixture of the foregoing is present in an amount from 0.18 to 0.8 wt%. In another embodiment, the copper or cobalt corrosion inhibitor or a mixture of the foregoing is present in an amount from 0.18 to 0.65 wt%. Other suitable copper or cobalt corrosion inhibitors include, but are not limited to, aromatic anthracene and Schiff base compounds.

在一些實施例中,該組成物可含有一或多種可與水互溶之共溶劑。共溶劑會增強殘留物清除效果。合適共溶劑包括但不限於環丁碸、N-甲基吡咯啶酮、與二甲亞碸。 In some embodiments, the composition may contain one or more co-solvents that are miscible with water. Cosolvents enhance residue removal. Suitable cosolvents include, but are not limited to, cyclobutyl hydrazine, N-methyl pyrrolidone, and dimethyl hydrazine.

pH調整 pH adjustment

該組成物亦可視情況包括一鹼或一酸,以調整該工作組成物之pH。該鹼例如可選自由下列所組成之群組:四級銨鹽,諸如氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、氫氧化苄基三甲銨(BTAH)、與上述者之混合物。該鹼亦可選自由下列所組成之群組:一級、二級與三級胺,諸如例如單乙醇胺(MEA)、二甘醇胺(DGA)、三乙醇胺(TEA)、氫氧化四丁鏻(TBPH)、與上述者之混合物。在一些實施例中,該鹼可為四級銨鹽與胺之組合。合適酸包括例如選自由下列所組成之群組:無機酸(諸如硫酸、硝酸、磷酸、氫氟酸(HF)、或氫溴酸);有機酸(諸如羧酸、胺基酸、羥基羧酸、多羧酸或此類酸之混合物)。工作組成物之pH應維持在2至14之值,但較佳為在3至12範圍中。如上所述,在用於BEOL Cu互連製造應用中時,若使用過氧化氫作為氧化劑以達到高蝕刻率,則工作組成物之較佳pH為在5至11之範圍中。 The composition may also optionally include a base or an acid to adjust the pH of the working composition. The base may, for example, be selected from the group consisting of quaternary ammonium salts such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), and the like. a mixture. The base may also be selected from the group consisting of primary, secondary and tertiary amines such as, for example, monoethanolamine (MEA), diglycolamine (DGA), triethanolamine (TEA), tetrabutylammonium hydroxide ( TBPH), a mixture with the above. In some embodiments, the base can be a combination of a quaternary ammonium salt and an amine. Suitable acids include, for example, a group selected from the group consisting of inorganic acids such as sulfuric acid, nitric acid, phosphoric acid, hydrofluoric acid (HF), or hydrobromic acid; organic acids such as carboxylic acids, amino acids, hydroxycarboxylic acids. , a polycarboxylic acid or a mixture of such acids). The pH of the working composition should be maintained at a value between 2 and 14, but is preferably in the range of 3 to 12. As noted above, when used in BEOL Cu interconnect fabrication applications, if hydrogen peroxide is used as the oxidant to achieve a high etch rate, the preferred pH of the working composition is in the range of 5 to 11.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基 板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor base The plate comprises a low dielectric dielectric material hard-masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the cleaning composition comprising: (a) 0.1 wt% to 90 wt % of an oxidizing agent; (b) 0.0001% to 50% by weight of the monocarboxylate; (c) 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上 相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)0.0005wt%至20wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物;以及(d)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used on a semiconductor substrate Selectively removing a hard mask relative to the low dielectric dielectric material, the hard mask is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the semiconductor substrate including the TiN, a low dielectric dielectric material hard coated on the TaN, TiNxOy, TiW, W, Ti or Ti or W alloy, the cleaning composition comprising: (a) 0.1% to 90% by weight of an oxidizing agent; b) 0.0001% by weight to 50% by weight of the monocarboxylic acid salt; (c) 0.0005% by weight to 20% by weight of the amino acid, the amine polycarboxylic acid (i.e., the aminopolycarboxylic acid), and/or the carboxylic acid, the polycarboxylic acid a chelating agent, or a mixture of the foregoing; and (d) topping up 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽; (c)0.0005wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸;以及(d)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric material hardly masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the removal composition The composition comprises: (a) 0.1% by weight to 90% by weight of an oxidizing agent; (b) 0.0001% by weight to 50% by weight of a monocarboxylic acid salt; (c) 0.0005 wt% to 20 wt% of 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; and (d) a complement of 100 wt% of the remainder of the scavenging composition, Contains deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及(d)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric material hardly masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the removal composition The composition comprises: (a) 0.1% by weight to 90% by weight of an oxidizing agent; (b) 0.0001% by weight to 50% by weight of a monocarboxylic acid salt; (c) a base and a mixture thereof; or an acid and a mixture thereof; or a base and an acid And (d) topping up 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物;以及(d)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric material hardly masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the removal composition The composition comprises: (a) 0.1% by weight to 90% by weight of an oxidizing agent; (b) 0.0001% by weight to 50% by weight of a monocarboxylate; (c) 0.0001 to 50% by weight of a metal corrosion inhibitor or a metal corrosion inhibitor And (d) topping up 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)0.0005wt%至20wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物;(d)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及(e)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric material hardly masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the removal composition The composition comprises: (a) 0.1% by weight to 90% by weight of an oxidizing agent; (b) 0.0001% by weight to 50% by weight of a monocarboxylic acid salt; (c) 0.0005% by weight to 20% by weight of an amino acid, an amine polycarboxylic acid ( That is, an aminopolycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or a mixture thereof; (d) a base and a mixture thereof; or an acid and a mixture thereof; or a mixture of a base and an acid; Filling 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)0.0005wt%至20wt%的胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑、或上述者之混合物;(d)0.0001至50wt%的一金屬腐蝕抑制劑;(e)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及 (f)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric material hardly masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the removal composition The composition comprises: (a) 0.1% by weight to 90% by weight of an oxidizing agent; (b) 0.0001% by weight to 50% by weight of a monocarboxylic acid salt; (c) 0.0005% by weight to 20% by weight of an amino acid, an amine polycarboxylic acid ( That is, an aminopolycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent, or a mixture thereof; (d) 0.0001 to 50% by weight of a metal corrosion inhibitor; (e) a base and a mixture thereof; or a mixture of an acid; or a mixture of a base and an acid; (f) Complementing 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)0.0005wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸;(d)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物;以及(e)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric material hardly masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the removal composition The composition comprises: (a) 0.1 wt% to 90 wt% of an oxidizing agent; (b) 0.0001 wt% to 50 wt% of a monocarboxylate; (c) 0.0005 wt% to 20 wt% of 1,2-cyclohexanediamine -N,N,N',N'-tetraacetic acid; (d) 0.0001 to 50% by weight of a mixture of a metal corrosion inhibitor or a metal corrosion inhibitor; and (e) to make up 100% by weight of the remainder of the removal composition It contains deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)0.0005wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸;(d)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物; (e)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及(f)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric material hardly masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the removal composition The composition comprises: (a) 0.1 wt% to 90 wt% of an oxidizing agent; (b) 0.0001 wt% to 50 wt% of a monocarboxylate; (c) 0.0005 wt% to 20 wt% of 1,2-cyclohexanediamine -N,N,N',N'-tetraacetic acid; (d) 0.0001 to 50% by weight of a mixture of a metal corrosion inhibitor or a metal corrosion inhibitor; (e) a base and a mixture thereof; or a mixture of an acid and a mixture; or a mixture of a base and an acid; and (f) 100% by weight of the remainder of the scavenging composition comprising deionized water.

以及此外依據上述實施例任一者之清除組成物,其中該羧酸鹽為一羧酸銨。該羧酸銨係選自由下列所組成之群組:草酸銨、乳酸銨、酒石酸銨、檸檬酸三銨、乙酸銨、胺基甲酸銨、碳酸銨、苯甲酸銨、乙二胺四乙酸銨、乙二胺四乙酸二銨、乙二胺四乙酸三銨、乙二胺四乙酸四銨、琥珀酸銨、甲酸銨、1-H-吡唑-3-甲酸銨、與上述者之混合物。 And a cleaning composition according to any of the above embodiments, wherein the carboxylate is ammonium monocarboxylate. The ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, triammonium citrate, ammonium acetate, ammonium amide, ammonium carbonate, ammonium benzoate, ammonium EDTA, Diammonium ethylenediaminetetraacetate, triammonium ethylenediaminetetraacetate, tetraammonium ethylenediaminetetraacetate, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, a mixture with the above.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸銨;(c)0.0005wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸;(d)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物;(e)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及(f)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti And an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric material hardly masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the removal composition The composition comprises: (a) 0.1% by weight to 90% by weight of an oxidizing agent; (b) 0.0001% by weight to 50% by weight of ammonium monocarboxylate; (c) 0.0005% by weight to 20% by weight of 1,2-cyclohexanediamine -N,N,N',N'-tetraacetic acid; (d) 0.0001 to 50% by weight of a mixture of a metal corrosion inhibitor or a metal corrosion inhibitor; (e) a base and a mixture thereof; or an acid and a mixture thereof; a mixture of a base and an acid; and (f) a complement of 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、 TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一酒石酸銨;(c)0.0005wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸(CDTA);(d)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物;(e)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及(f)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used to selectively remove a hard mask from a semiconductor substrate relative to a low dielectric dielectric material, the hard mask being primarily TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the semiconductor substrate comprising a hard mask having an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W a dielectric dielectric material comprising: (a) 0.1% by weight to 90% by weight of an oxidizing agent; (b) 0.0001% by weight to 50% by weight of ammonium monotartrate; (c) 0.0005% by weight to 20% by weight of 1 , 2-cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA); (d) 0.0001 to 50% by weight of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; (e) one a mixture of a base; or an acid and a mixture thereof; or a mixture of a base and an acid; and (f) 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上 相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的過氧化氫;(b)0.0001wt%至50wt%的一羧酸銨;以及(c)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used on a semiconductor substrate Selectively removing a hard mask relative to the low dielectric dielectric material, the hard mask is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the semiconductor substrate including the TiN, a low dielectric dielectric material hard coated on the TaN, TiNxOy, TiW, W, Ti or Ti or W alloy, the cleaning composition comprising: (a) 0.1 wt% to 90 wt% hydrogen peroxide; (b) 0.0001% to 50% by weight of ammonium monocarboxylate; and (c) 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上 相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基 板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的過氧化氫;(b)0.0001wt%至50wt%的一羧酸銨;(c)0.0005wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸;以及(d)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used on a semiconductor substrate Selectively removing a hard mask relative to the low dielectric dielectric material, the hard mask is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the semiconductor base The plate comprises a low dielectric dielectric material hard-masked thereon with an alloy of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W, the cleaning composition comprising: (a) 0.1 wt% to 90 wt % hydrogen peroxide; (b) 0.0001 wt% to 50 wt% ammonium monocarboxylate; (c) 0.0005 wt% to 20 wt% 1,2-cyclohexanediamine-N,N,N',N' - tetraacetic acid; and (d) to make up 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上 相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的過氧化氫;(b)0.0001wt%至50wt%的一羧酸銨;(c)0.0005wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸;(d)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物;以及(e)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used on a semiconductor substrate Selectively removing a hard mask relative to the low dielectric dielectric material, the hard mask is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the semiconductor substrate including the TiN, a low dielectric dielectric material hard coated on the TaN, TiNxOy, TiW, W, Ti or Ti or W alloy, the cleaning composition comprising: (a) 0.1 wt% to 90 wt% hydrogen peroxide; (b) 0.0001% by weight to 50% by weight of ammonium monocarboxylate; (c) 0.0005% by weight to 20% by weight of 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; a mixture of 0.0001 to 50% by weight of a metal corrosion inhibitor or metal corrosion inhibitor; and (e) 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上 相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含: (a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一乙二胺四乙酸銨;(c)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物;(d)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及(e)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used on a semiconductor substrate Selectively removing a hard mask relative to the low dielectric dielectric material, the hard mask is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the semiconductor substrate including the TiN, a low dielectric dielectric material hard coated on the TaN, TiNxOy, TiW, W, Ti or Ti or W alloy, the cleaning composition comprising: (a) 0.1% by weight to 90% by weight of an oxidizing agent; (b) 0.0001% by weight to 50% by weight of ammonium ethylenediaminetetraacetate; (c) 0.0001 to 50% by weight of a metal corrosion inhibitor or metal corrosion inhibitor a mixture; (d) a base and a mixture thereof; or an acid and a mixture thereof; or a mixture of a base and an acid; and (e) 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上 相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的乙二胺四乙酸四銨;(c)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物;(d)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及(e)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used on a semiconductor substrate Selectively removing a hard mask relative to the low dielectric dielectric material, the hard mask is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the semiconductor substrate including the TiN, a low dielectric dielectric material hard coated on the TaN, TiNxOy, TiW, W, Ti or Ti or W alloy, the cleaning composition comprising: (a) 0.1% to 90% by weight of an oxidizing agent; b) 0.0001% by weight to 50% by weight of tetraammonium ethylenediaminetetraacetate; (c) 0.0001 to 50% by weight of a mixture of a metal corrosion inhibitor or a metal corrosion inhibitor; (d) a base and a mixture thereof; or an acid a mixture; or a mixture of a base and an acid; and (e) a 100% by weight portion of the remainder of the scavenging composition comprising deionized water.

在一個實施例中,該清除組成物用於自一半導體基板上 相對於低介電介電材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含該具有TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之低介電介電材料,該清除組成物包含: (a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的乙二胺四乙酸四銨;(c)0.0005wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸;(d)0.0001至50wt%的一金屬腐蝕抑制劑或金屬腐蝕抑制劑之混合物;(e)一鹼與其混合物;或一酸與其混合物;或鹼與酸之混合物;以及(f)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, the scavenging composition is used on a semiconductor substrate Selectively removing a hard mask relative to the low dielectric dielectric material, the hard mask is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the semiconductor substrate including the TiN, a low dielectric dielectric material hard coated on the TaN, TiNxOy, TiW, W, Ti or Ti or W alloy, the cleaning composition comprising: (a) 0.1 wt% to 90 wt% of an oxidizing agent; (b) 0.0001 wt% to 50 wt% of tetraammonium ethylenediaminetetraacetate; (c) 0.0005 wt% to 20 wt% of 1,2-cyclohexanediamine -N,N,N',N'-tetraacetic acid; (d) 0.0001 to 50% by weight of a mixture of a metal corrosion inhibitor or a metal corrosion inhibitor; (e) a base and a mixture thereof; or an acid and a mixture thereof; a mixture of a base and an acid; and (f) a complement of 100% by weight of the remainder of the scavenging composition comprising deionized water.

套組 Set

本揭露之另一實施例為一包括一或多個容器之套組,該一或多個容器包含一或多種採用來形成該清除組成物之組分。在一些實施例中,該套組包括一或多個容器,該一或多個容器包含至少一種羧酸鹽與去離子水,以用於在製造時或使用時與一氧化劑組合。在另一實施例中,該套組包括一或多個容器,該一或多個容器包含至少一種羧酸鹽;去離子水;至少一種銅腐蝕抑制劑;以及可選擇地包含一鹼、一酸或上述者之混合物以用於調整pH;以及可選擇地包含至少一種共溶劑,以用於在製造時或使用時與一氧化劑組合。 Another embodiment of the present disclosure is a kit comprising one or more containers comprising one or more components used to form the scavenging composition. In some embodiments, the kit includes one or more containers comprising at least one carboxylate and deionized water for combination with an oxidant at the time of manufacture or use. In another embodiment, the kit includes one or more containers comprising at least one carboxylate salt; deionized water; at least one copper corrosion inhibitor; and optionally a base, a The acid or a mixture of the above is used to adjust the pH; and optionally comprises at least one co-solvent for combination with an oxidizing agent at the time of manufacture or use.

在另一實施例中,該套組包括一或多個容器,該一或多個容器包含至少一種羧酸鹽;去離子水;至少一種胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑;以及可選擇地包含一鹼、一酸或上述者之混合物以用於調整pH;以及可選擇地包含至少一種共溶劑,以用於在製造時或使用時與一氧化劑組合。在另一實施例 中,該套組包括一或多個容器,該一或多個容器包含至少一種羧酸鹽;去離子水;至少一種銅腐蝕抑制劑;至少一種胺基酸、胺多羧酸(即胺基多羧酸)、及/或羧酸、多羧酸螯合劑;可選擇地包含一鹼、一酸或上述者之混合物以用於調整pH;以及可選擇地包含至少一種共溶劑,以用於在製造時或使用時與一氧化劑組合。 In another embodiment, the kit comprises one or more containers comprising at least one carboxylate; deionized water; at least one amino acid, an amine polycarboxylic acid (ie, an amine polycarboxylate) An acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent; and optionally a base, an acid or a mixture of the foregoing for adjusting the pH; and optionally comprising at least one cosolvent for use in In combination with an oxidizing agent at the time of manufacture or use. In another embodiment The kit comprises one or more containers comprising at least one carboxylate; deionized water; at least one copper corrosion inhibitor; at least one amino acid, an amine polycarboxylic acid (ie, an amine group) a polycarboxylic acid), and/or a carboxylic acid, a polycarboxylic acid chelating agent; optionally comprising a base, an acid or a mixture of the foregoing for adjusting the pH; and optionally comprising at least one cosolvent for use in It is combined with an oxidizing agent at the time of manufacture or use.

方法 method

清除組成物係以任何合適方式施用至半導體基板。接觸該半導體基板或使該半導體基板接觸係意欲包括噴灑、浸漬、使用一墊或施用器(其上吸收有該清除組成物)或任何其他使該半導體基板與一清除組成物接觸之合適方式。 The scavenging composition is applied to the semiconductor substrate in any suitable manner. Contacting or contacting the semiconductor substrate is intended to include spraying, dipping, using a pad or applicator on which the cleaning composition is absorbed, or any other suitable means for contacting the semiconductor substrate with a cleaning composition.

在一個實施例中,一種用於自一半導體基板上相對於底下之低介電、Cu、Co、SiON、SlCN、與TEOS材料,選擇性清除一硬遮罩之方法,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti或W之合金)所組成,該半導體基板具有TiN、TaN、TiNxOy、TiW、W或Ti硬遮罩(包括一包含Ti或W之合金的硬遮罩)於其上,其中該方法包含使該半導體基板與一清除組成物接觸,該清除組成物包含:(a)0.1wt%至90wt%的至少一種氧化劑;(b)0.0001wt%至多達50wt%的一羧酸鹽;以及(c)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In one embodiment, a method for selectively removing a hard mask from a low dielectric, Cu, Co, SiON, SlCN, and TEOS material on a semiconductor substrate relative to a bottom, the hard mask is mainly TiN, TaN, TiNxOy, TiW, W, Ti (including an alloy of Ti or W) having a TiN, TaN, TiNxOy, TiW, W or Ti hard mask (including an alloy containing Ti or W) a hard mask thereon, wherein the method comprises contacting the semiconductor substrate with a scavenging composition comprising: (a) 0.1 wt% to 90 wt% of at least one oxidizing agent; (b) 0.0001 wt% at most Up to 50% by weight of the monocarboxylate; and (c) up to 100% by weight of the remainder of the scavenging composition comprising deionized water.

在一些實施例中,該方法用於自一半導體基板上相對於 底下之低介電、Cu、Co、SiON、SlCN、與TEOS材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti或W之合金)所組成,該半導體基板具有TiN、TaN、TiNxOy、TiW、W或Ti硬遮罩(包括一包含Ti或W之合金的硬遮罩)於其上,其中該方法包含使該半導體基板與一清除組成物接觸,該清除組成物包含:(a)0.1wt%至90wt%的至少一種氧化劑;(b)0.0001wt%至多達50wt%的一羧酸銨;以及(c)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In some embodiments, the method is for using a semiconductor substrate relative to The underlying low dielectric, Cu, Co, SiON, SlCN, and TEOS materials selectively remove a hard mask, which is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti (including Ti or W alloy) The semiconductor substrate has a TiN, TaN, TiNxOy, TiW, W or Ti hard mask (including a hard mask comprising an alloy of Ti or W) thereon, wherein the method comprises removing the semiconductor substrate with a Contacting the composition, the scavenging composition comprising: (a) 0.1 wt% to 90 wt% of at least one oxidizing agent; (b) 0.0001 wt% up to 50 wt% of ammonium monocarboxylate; and (c) up to 100 wt% of the scavenging The remainder of the composition, which contains deionized water.

在一些實施例中,該方法用於自一半導體基板上相對於 底下之低介電、Cu、Co、SiON、SlCN、與TEOS材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti或W之合金)所組成,該半導體基板具有TiN、TaN、TiNxOy、TiW、W或Ti硬遮罩(包括一包含Ti或W之合金的硬遮罩)於其上,其中該方法包含使該半導體基板與一清除組成物接觸,該清除組成物包含:(a)0.1wt%至90wt%的至少一種氧化劑;(b)0.0001wt%至多達50wt%的一羧酸銨,該羧酸銨係選自由下列所組成之群組:草酸銨、乳酸銨、酒石酸銨、檸檬酸三銨、乙酸銨、胺基甲酸銨、碳酸銨、苯甲酸銨、乙二胺四乙酸銨、乙二胺四乙酸二 銨、乙二胺四乙酸三銨、乙二胺四乙酸四銨、琥珀酸銨、甲酸銨、1-H-吡唑-3-甲酸銨、與上述者之混合物;以及(c)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In some embodiments, the method is for using a semiconductor substrate relative to The underlying low dielectric, Cu, Co, SiON, SlCN, and TEOS materials selectively remove a hard mask, which is mainly composed of TiN, TaN, TiNxOy, TiW, W, Ti (including Ti or W alloy) The semiconductor substrate has a TiN, TaN, TiNxOy, TiW, W or Ti hard mask (including a hard mask comprising an alloy of Ti or W) thereon, wherein the method comprises removing the semiconductor substrate with a Contacting the composition, the scavenging composition comprising: (a) 0.1 wt% to 90 wt% of at least one oxidizing agent; (b) 0.0001 wt% up to 50 wt% of ammonium monocarboxylate, the ammonium carboxylate being selected from the group consisting of Group: ammonium oxalate, ammonium lactate, ammonium tartrate, triammonium citrate, ammonium acetate, ammonium amide, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetate, ethylenediaminetetraacetic acid Ammonium, triammonium ethylenediaminetetraacetate, tetraammonium ethylenediaminetetraacetate, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, a mixture with the above; and (c) 100% by weight The remainder of the scavenging composition, which contains deionized water.

在一些依據以上方法之任一者的實施例中,該清除組成 物可額外包含至少一種金屬腐蝕抑制劑。在一些依據以上方法之任一者的實施例中,該清除組成物可額外包含0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸(DOCTA);以及三伸乙四胺六乙酸(TTHA)。在一些依據以上方法之任一者的實施例中,該清除組成物可額外包含至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:四級銨鹽、一級胺、二級胺、三級胺;並且其中該酸係選自由下列所組成之群組:無機酸、有機酸或上述者之混合物。 In some embodiments according to any of the above methods, the clearing component The article may additionally comprise at least one metal corrosion inhibitor. In some embodiments according to any of the above methods, the scavenging composition may additionally comprise from 0.001% to 20% by weight of monoamino acid, monoaminopolycarboxylic acid, monocarboxylic acid, polycarboxylic acid, or a mixture of the above selected from the group consisting of: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; Ethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-double (o- Aminophenoxy)ethane-N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)gan Amine acid (HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxo-octyldiazepinetetraacetic acid (DOCTA); and triamethylenetetramine Acetic acid (TTHA). In some embodiments according to any of the above methods, the scavenging composition may additionally comprise at least one base, at least one acid, or a mixture of the foregoing; wherein the base is selected from the group consisting of: quaternary ammonium a salt, a primary amine, a secondary amine, a tertiary amine; and wherein the acid is selected from the group consisting of inorganic acids, organic acids, or mixtures of the foregoing.

在一些依據以上方法之任一者的實施例中,該清除組成 物可額外包含至少一種鹼、至少一種酸或上述者之混合物,其中該鹼係選自氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、氫氧化苄基三甲銨(BTAH)、單乙醇胺(MEA)、二甘醇胺(DGA)、三乙醇胺(TEA)、 氫氧化四丁鏻(TBPH)、與上述者之混合物,而該酸係選自由下列所組成之群組:無機酸、有機酸或上述者之混合物。 In some embodiments according to any of the above methods, the clearing component The composition may additionally comprise at least one base, at least one acid or a mixture of the above, wherein the base is selected from the group consisting of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), and benzyltrimethylammonium hydroxide (BTAH). , monoethanolamine (MEA), diglycolamine (DGA), triethanolamine (TEA), Tetrabutylphosphonium hydroxide (TBPH), a mixture with the above, and the acid is selected from the group consisting of inorganic acids, organic acids or mixtures of the foregoing.

在一些實施例中,該方法用於自一半導體基板上清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該方法包含使該半導體基板與一清除組成物接觸,該清除組成物包含:(a)0.1wt%至90wt%的至少一種氧化劑,(b)0.0001wt%至50wt%的一羧酸鹽;(c)補足100wt%的該清除組成物之剩餘部分,其包含去離子水。 In some embodiments, the method is for removing a hard mask from a semiconductor substrate, the hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti, and an alloy of Ti and W, the method comprising The semiconductor substrate is contacted with a scavenging composition comprising: (a) 0.1 wt% to 90 wt% of at least one oxidizing agent, (b) 0.0001 wt% to 50 wt% of monocarboxylate; (c) complementary 100 wt% of the remainder of the scavenging composition comprising deionized water.

在一些實施例中,該方法額外包含加熱該清除組成物至高達60℃。加熱該等清除組成物可發生在使該半導體基板接觸之前或之後。在一些實施例中,該方法包含使該半導體基板與一清除組成物在20至45、50、53或60℃之溫度下接觸至少2分鐘。在一些實施例中,該方法包含使該半導體基板與一清除組成物在高達60℃之溫度下接觸至少2分鐘。 In some embodiments, the method additionally comprises heating the scavenging composition up to 60 °C. Heating the scavenging composition can occur before or after contacting the semiconductor substrate. In some embodiments, the method comprises contacting the semiconductor substrate with a cleaning composition at a temperature of 20 to 45, 50, 53 or 60 ° C for at least 2 minutes. In some embodiments, the method comprises contacting the semiconductor substrate with a cleaning composition at a temperature of up to 60 ° C for at least 2 minutes.

依據本揭露配製並且本質上對於TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti與W之合金)展現出高蝕刻率的組成物,會使處理能夠在相對低溫(例如,低於65℃之溫度)下實行。相對低溫程序會展現出降低的氧化劑分解率,從而延長有用之組成物浸浴壽命與使用期限。此外,本發明之對於TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti與W之合金)展現出高蝕刻率及選擇性蝕刻率的組成物為理想者,因為它們可縮短元件處理時間並因而提高處理量。典型而 言,對於TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti與W之合金)的高蝕刻率,已藉由提高處理溫度而達成。然而,對於單晶圓製程應用而言,最高處理溫度為75℃左右,其因而可能限制TiN蝕刻率的上限,並從而限制自一雙鑲嵌結構上完全清除TiN硬遮罩的能力。本發明之組成物可在20℃至60℃溫度範圍下以單晶圓工具應用有效達成對於TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti與W之合金)之高蝕刻率,並且TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti與W之合金)硬遮罩可利用單晶圓應用製程設備來完全清除(若需要的話)。 A composition that exhibits a high etch rate for TiN, TaN, TiNxOy, TiW, W, Ti (including alloys of Ti and W) formulated according to the present disclosure, enables processing at relatively low temperatures (eg, below 65 ° C) Under the temperature). The relatively low temperature process exhibits a reduced rate of oxidant decomposition, thereby extending the useful bath life and useful life of the composition. In addition, the present invention is ideal for compositions exhibiting high etching rate and selective etching rate for TiN, TaN, TiNxOy, TiW, W, Ti (including alloys of Ti and W) because they can shorten component processing time and Therefore, the throughput is increased. Typical In other words, a high etching rate for TiN, TaN, TiNxOy, TiW, W, Ti (including an alloy of Ti and W) has been achieved by increasing the processing temperature. However, for single wafer process applications, the maximum processing temperature is around 75 °C, which may thus limit the upper limit of the TiN etch rate and thereby limit the ability to completely remove the TiN hard mask from a dual damascene structure. The composition of the present invention can effectively achieve a high etching rate for TiN, TaN, TiNxOy, TiW, W, Ti (alloy including Ti and W) in a single wafer tool application at a temperature ranging from 20 ° C to 60 ° C, and TiN The hard masks of TaN, TiNxOy, TiW, W, Ti (including alloys of Ti and W) can be completely removed (if needed) using a single wafer application process equipment.

在一些實施例中,該清除組成物之溫度為20至45、 50、53或60℃且pH為2至14。在一些實施例中,該清除組成物之溫度為20至45、50、53或60℃且pH為5至12。在一些實施例中,該清除組成物之溫度為20、30或45至50、53或60℃且pH為2至14。 In some embodiments, the cleaning composition has a temperature of 20 to 45, 50, 53 or 60 ° C and a pH of 2 to 14. In some embodiments, the scavenging composition has a temperature of 20 to 45, 50, 53 or 60 ° C and a pH of 5 to 12. In some embodiments, the scavenging composition has a temperature of 20, 30 or 45 to 50, 53 or 60 ° C and a pH of 2 to 14.

在一些實施例中,該清除組成物之溫度為20、30或45至50、53或60℃且pH為5至12。 In some embodiments, the scavenging composition has a temperature of 20, 30 or 45 to 50, 53 or 60 ° C and a pH of 5 to 12.

該清除組成物在一選定操作溫度下具有穩定高達至少35小時的蝕刻率。在一些實施例中,該選定操作溫度為20至45、50、53或60℃。 The scavenging composition has an etch rate that is stable for up to at least 35 hours at a selected operating temperature. In some embodiments, the selected operating temperature is 20 to 45, 50, 53 or 60 °C.

在一較佳實施例中,羧酸銨濃度為0.001wt%至高達50wt%。本發明組成物可有效地自一半導體基板上相對於低介電、Cu、Co、SiON、SlCN、與TEOS材料選擇性清除一硬遮罩,該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti(包括Ti或W之合金)所組 成,該半導體基板包含該低介電介電材料並且具有TiN、TaN、TiNxOy、TiW、W、或Ti(包括Ti及/或W之合金)硬遮罩於其上。 此外,該組成物亦可作用於自該基板上同時清除光阻、聚合性材料、蝕刻殘留物與氧化銅。 In a preferred embodiment, the ammonium carboxylate concentration is from 0.001% by weight up to 50% by weight. The composition of the present invention can effectively selectively remove a hard mask from a dielectric substrate relative to low dielectric, Cu, Co, SiON, SlCN, and TEOS materials, the hard mask is mainly composed of TiN, TaN, TiNxOy, TiW. , W, Ti (including alloys of Ti or W) The semiconductor substrate comprises the low dielectric dielectric material and has a hard mask of TiN, TaN, TiNxOy, TiW, W, or Ti (including an alloy of Ti and/or W). In addition, the composition can also act to simultaneously remove photoresist, polymeric materials, etching residues, and copper oxide from the substrate.

依據本文中所述之發明概念的該等組成物與方法特別適 用於在單晶圓設備中處理單晶圓。當需要高TiN蝕刻率時,常用方法為在高製程溫度下處理晶圓。然而,已知高溫會導致氧化劑降解而縮短浸浴壽命與使用期限。依據本文中所述之發明概念已觀察到,令人滿意的結果可在20℃至60℃範圍中之實質較低溫度下達到,以在硬遮罩包含TiN時產生拉回方法(pullback scheme)或完全清除硬遮罩。 These compositions and methods are particularly suitable in accordance with the inventive concepts described herein Used to process a single wafer in a single wafer device. When high TiN etch rates are required, a common method is to process the wafer at high process temperatures. However, it is known that high temperatures cause degradation of the oxidant and shorten the life and life of the bath. It has been observed in accordance with the inventive concepts described herein that satisfactory results can be achieved at substantially lower temperatures in the range of 20 ° C to 60 ° C to produce a pullback scheme when the hard mask contains TiN. Or completely remove the hard mask.

實例 Instance

現在藉由參照本發明概念與後續之實例來詳細釋明本發明之清除組成物,但本發明不限於這些實例以及各項測試所顯示的結果。本發明之組成物可體現為各式特定配方,如下文中更完整地描述。在所有該等組成物(其中組成物之特定組分係以重量百分比範圍(包括零之下限)來討論)中,將會瞭解到該等組分可存在或不存在於該組成物之各種特定實施例中,且在該等組分存在的情況中,它們存在的濃度可低至0.0001wt%,此係基於使用該等組分之組成物的總重量。 The scavenging composition of the present invention will now be explained in detail by referring to the concept of the present invention and the following examples, but the present invention is not limited to the examples and the results shown by the tests. The compositions of the present invention can be embodied in a variety of specific formulations, as described more fully below. In all such compositions, wherein the particular components of the composition are discussed in weight percent ranges (including the lower limit of zero), it will be appreciated that the components may or may not be present in the particular In the examples, and in the presence of such components, they may be present in concentrations as low as 0.0001% by weight, based on the total weight of the components using the components.

在以下實例中,清除組成物的100g樣本係依據本文中所述之發明概念來製備。各樣本組成物包含不同表格中所列示之各個 組分並且遵照對應配方列中所示的重量。例如,100g量的表1中標示為「1」之樣本組成物含有2g的10%酒石酸銨水溶液、7.21g的10% DGA水溶液、12.43g的1.5% BTA水溶液、60g的H2O2(30%水溶液)、與18.36g的去離子水(DIW)。 In the following examples, a 100 g sample of the scavenging composition was prepared in accordance with the inventive concepts described herein. Each sample composition contains the individual components listed in the different tables and follows the weights shown in the corresponding formula column. For example, a sample composition of "1" in Table 1 in an amount of 100 g contains 2 g of a 10% aqueous solution of ammonium tartrate, 7.21 g of a 10% aqueous solution of DGA, 12.43 g of a 1.5% aqueous solution of BTA, and 60 g of H 2 O 2 (30). % aqueous solution), with 18.36 g of deionized water (DIW).

該等清除組成物可在使用時配製,或者它們可方便地預先配製為不含氧化劑,然後在使用時再添加氧化劑。各式成分之混合或摻合亦無特定順序。 The scavenging compositions can be formulated at the time of use, or they can be conveniently pre-formulated to be free of oxidizing agents and then added with an oxidizing agent when in use. There is also no particular order in which the various ingredients are mixed or blended.

用於測定蝕刻率之空白晶圓係採購如下:Cu空白晶圓-來自Silicon Valley Microelectronics,Inc.Co空白晶圓-來自Silicon Valley Microelectronics,Inc.TiN空白晶圓-來自Silyb wafer services W-來自Silicon Valley Microelectronics,Inc.TEOS-來自Silicon Valley Microelectronics,Inc BDII與BDIII空白晶圓-來自DK Nanotechnology TiN、Cu、Co、W與TEOS之蝕刻率 The blank wafers used to determine the etch rate are purchased as follows: Cu Blank Wafer - Blank wafer from Silicon Valley Microelectronics, Inc. Co - Blank wafer from Silicon Valley Microelectronics, Inc. TiN - from Silyb wafer services W - from Silicon Valley Microelectronics, Inc. TEOS - Blank wafer from Silicon Valley Microelectronics, Inc BDII and BDIII - Etch rate from DK Nanotechnology TiN, Cu, Co, W and TEOS

對TiN的蝕刻率評估係在化學處理1及2分鐘之後進行,而對Cu、Co、W與TEOS之評估則在10分鐘之後進行,並且在各實例中所註記之溫度下進行。TiN、Cu、Co、與W厚度係使用Four Dimensions Four Point Probe Meter 333A來測量,其中膜之阻抗係與接觸本發明組成物之後剩餘的膜厚有關。TEOS厚度係使用Auto SE Spectroscopic Ellipsometer(來自HORIBA JOBIN YVON)測量。蝕刻率的計算方式為厚度變化(在化學處理之前與之後)除以化 學處理時間。化學溶液pH係使用Beckman 260pH/Temp/mV儀來測量。實驗中所用的H2O2係購自J.T.Baker。殘留物清除效率與TiN硬遮罩蝕刻係根據SEM結果(Hitachi S-5500)來評估。 The etch rate evaluation of TiN was performed after 1 and 2 minutes of chemical treatment, while the evaluation of Cu, Co, W, and TEOS was performed after 10 minutes, and was carried out at the temperatures noted in the respective examples. TiN, Cu, Co, and W thickness were measured using Four Dimensions Four Point Probe Meter 333A, where the impedance of the film was related to the film thickness remaining after contact with the composition of the present invention. The TEOS thickness was measured using an Auto SE Spectroscopic Ellipsometer (from HORIBA JOBIN YVON). The etch rate is calculated as the thickness change (before and after chemical treatment) divided by the chemical treatment time. The pH of the chemical solution was measured using a Beckman 260 pH/Temp/mV instrument. The H 2 O 2 system used in the experiment was purchased from JT Baker. Residue removal efficiency and TiN hard mask etching were evaluated according to SEM results (Hitachi S-5500).

表1中所示之組成物的製備係使用去離子水作為溶劑、BTA或BTA與吡唑之混合物作為Cu腐蝕抑制劑、H2O2作為氧化劑、以及二甘醇胺(DGA)或氫氧化苄基三甲銨(BTAH)作為鹼來調整pH。TiN與Cu蝕刻率評估係如上所述在50℃之溫度下與約pH 8下進行。 The compositions shown in Table 1 were prepared using deionized water as a solvent, BTA or a mixture of BTA and pyrazole as a Cu corrosion inhibitor, H 2 O 2 as an oxidizing agent, and diethylene glycol amine (DGA) or hydroxide. Benzyltrimethylammonium (BTAH) was used as a base to adjust the pH. The TiN and Cu etch rate evaluation was carried out as described above at a temperature of 50 ° C and about pH 8.

組成物1、2與3展現出之TiN清除率在50℃至53℃之相對低溫範圍下為178Å/min至多達340Å/min。對於商用晶圓處理而言,小於3Å/min的銅蝕刻率被視為良好。 Compositions 1, 2 and 3 exhibited a TiN removal rate of 178 Å/min to as much as 340 Å/min at a relatively low temperature range of 50 ° C to 53 ° C. For commercial wafer processing, copper etch rates of less than 3 Å/min are considered good.

現請參照圖式,圖1A與1B為半導體晶圓片段在雙鑲嵌製造步驟之後,但在用清除組成物處理之前所接收之SEM影像,其分別顯示出溝槽及通孔。圖2A與2B顯示在50℃之溫度下與清除組成物1接觸90秒鐘之後的晶圓片段(類似於圖1A與1B所示之晶圓片段)。殘留物已清除,但部分TiN硬遮罩仍留存,如圖2A所示。圖3A與3B顯示在50℃之溫度下與清除組成物2接觸90秒鐘之後的 晶圓片段(類似於圖1A與1B所示之晶圓片段),其中TiN硬遮罩與殘留物已被完全清除。圖4A與4B顯示在53℃之溫度下與清除組成物3接觸90秒鐘之後的晶圓片段(類似於圖1A與1B中所示之晶圓片段)。TiN硬遮罩與殘留物已被完全清除。 Referring now to the drawings, FIGS. 1A and 1B show SEM images of semiconductor wafer segments after the dual damascene fabrication process, but prior to processing with the cleaning composition, which show trenches and vias, respectively. 2A and 2B show wafer segments (similar to the wafer segments shown in Figs. 1A and 1B) after contact with the cleaning composition 1 for 90 seconds at a temperature of 50 °C. The residue has been removed, but some of the TiN hard mask remains, as shown in Figure 2A. 3A and 3B show the contact with the cleaning composition 2 at a temperature of 50 ° C for 90 seconds. Wafer segments (similar to the wafer segments shown in Figures 1A and 1B) in which the TiN hard mask and residue have been completely removed. 4A and 4B show wafer segments (similar to the wafer segments shown in Figs. 1A and 1B) after contact with the cleaning composition 3 for 90 seconds at a temperature of 53 °C. The TiN hard mask and residue have been completely removed.

表2中所示之組成物的製備係使用去離子水作為溶劑、 BTA作為Cu腐蝕抑制劑、H2O2作為氧化劑、以及氫氧化四甲銨(TMAH)作為鹼來調整pH。TiN與Cu蝕刻率評估係如上所述在60℃之溫度下與約pH 7.8下進行。 The composition shown in Table 2 was prepared by using deionized water as a solvent, BTA as a Cu corrosion inhibitor, H 2 O 2 as an oxidizing agent, and tetramethylammonium hydroxide (TMAH) as a base to adjust the pH. The TiN and Cu etch rate evaluation was carried out as described above at a temperature of 60 ° C and about pH 7.8.

清除組成物之各者(分別含有所示量之乳酸銨、酒石酸 銨、碳酸銨、與檸檬酸三銨)相較於不含羧酸銨之對應對照組成物4,皆展現出較高之TiN蝕刻率。 Remove each component (containing the indicated amount of ammonium lactate, tartaric acid, respectively) Ammonium, ammonium carbonate, and triammonium citrate exhibited higher TiN etch rates than the corresponding control composition 4 without ammonium carboxylate.

製備表3中所示之配方,並且TiN與Cu蝕刻率評估係如上所述在50℃之溫度下與pH 8下進行。清除組成物相較於不含羧 酸銨之對照組成物9,皆展現出較高之TiN蝕刻率與類似之銅蝕刻率。 The formulations shown in Table 3 were prepared, and the TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50 ° C and pH 8. Clearing the composition compared to no carboxyl The comparative composition 9, of ammonium acid, exhibited a higher TiN etch rate and a similar copper etch rate.

製備表4中所示之配方,並且使用DGA來調整pH,使 用BTA作為銅腐蝕抑制劑。TiN與Cu蝕刻率評估係如上所述在50℃之溫度下與pH 8下進行。清除組成物相較於不含羧酸銨之對照組成物13,皆展現出較高之TiN蝕刻率與類似之Cu蝕刻率。 Prepare the formulation shown in Table 4 and use DGA to adjust the pH so that BTA was used as a copper corrosion inhibitor. The TiN and Cu etch rate evaluation was carried out as described above at a temperature of 50 ° C and pH 8. The clear composition exhibited a higher TiN etch rate and a similar Cu etch rate than the control composition 13 containing no ammonium carboxylate.

製備表5中所示之配方,並且使用TMAH來調整pH,使用BTA作為銅腐蝕抑制劑。TiN與Cu蝕刻率評估係如上所述在 50℃之溫度下與pH 8下進行。清除組成物相較於不含羧酸銨之對照組成物17,皆展現出較高之TiN蝕刻率與類似之Cu蝕刻率。 The formulations shown in Table 5 were prepared and TMAH was used to adjust the pH using BTA as a copper corrosion inhibitor. TiN and Cu etch rate evaluation is as described above It is carried out at a temperature of 50 ° C and at pH 8. The clear composition exhibited a higher TiN etch rate and a similar Cu etch rate than the control composition 17 containing no ammonium carboxylate.

製備表6中所示之配方,並且使用氫氧化苄基三甲銨(BTAH)來調整pH,使用BTA作為銅腐蝕抑制劑。TiN與Cu蝕刻率評估係如上所述在50℃之溫度下與約pH 8下進行。清除組成物相較於不含羧酸銨之對照組成物21,皆展現出較高之TiN蝕刻率與類似之Cu蝕刻率。 The formulations shown in Table 6 were prepared, and pH was adjusted using benzyltrimethylammonium hydroxide (BTAH) using BTA as a copper corrosion inhibitor. The TiN and Cu etch rate evaluation was carried out as described above at a temperature of 50 ° C and about pH 8. The clear composition exhibited a higher TiN etch rate and a similar Cu etch rate than the control composition 21 containing no ammonium carboxylate.

製備表7中所示之配方,並且使用氫氧化四乙銨(TEAH) 來調整pH,使用BTA作為銅腐蝕抑制劑。TiN與Cu蝕刻率評估係如上所述在50℃之溫度下與pH 8下進行。清除組成物相較於不含羧酸銨之對照組成物25,皆展現出較高之TiN蝕刻率與類似之Cu蝕刻率。 The formulation shown in Table 7 was prepared and tetraethylammonium hydroxide (TEAH) was used. To adjust the pH, BTA was used as a copper corrosion inhibitor. The TiN and Cu etch rate evaluation was carried out as described above at a temperature of 50 ° C and pH 8. The clear composition exhibited a higher TiN etch rate and a similar Cu etch rate than the control composition 25 without ammonium carboxylate.

製備表8中所示之配方,並且使用DGA來調整pH,但 未使用銅腐蝕抑制劑。TiN與TEOS清除率評估係如上所述在50℃之溫度下與約pH 8下進行。清除組成物相較於不含羧酸銨之對照組成物31,皆展現出較高之TiN蝕刻率。 Prepare the formulation shown in Table 8 and use DGA to adjust the pH, but No copper corrosion inhibitors were used. The TiN and TEOS clearance rates were evaluated as described above at a temperature of 50 ° C and about pH 8. The clear composition exhibited a higher TiN etch rate than the control composition 31 which did not contain ammonium carboxylate.

碳酸銨、乙酸銨、草酸銨、乳酸銨與酒石酸銨以自1.46wt%至低於3wt%之濃度存在,其作用為使本發明之清除組成物具有在相對低溫(例如,50℃)下能夠達到非常高的TiN蝕刻率的能力。值得注意的是,依據所述與所主張之發明概念,相較於對照組成物 31,碳酸銨、乙酸銨、草酸銨、乳酸銨或酒石酸銨無一對TEOS清除率有顯著影響。 Ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate and ammonium tartrate are present at a concentration of from 1.46 wt% to less than 3 wt%, which acts to enable the scavenging composition of the present invention to have a relatively low temperature (e.g., 50 ° C) The ability to achieve very high TiN etch rates. It is worth noting that, according to the stated inventive concept, compared to the control composition 31. Ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate or ammonium tartrate have no significant effect on the TEOS clearance rate.

製備表9中所示之配方,但未使用pH調整劑。使用的Cu腐蝕抑制劑為Wintrol A-90,其為BTA與甲苯***之商用混合物。所欲之TiN與Cu蝕刻率及pH係藉由改變過氧化氫與羧酸銨濃度來獲得。在這些實例中,使用各式濃度的數種羧酸鹽。過氧化氫濃度為20wt%或80wt%。配方的pH範圍從低的pH 4.3至高達pH 8.3,而TiN蝕刻率(即清除率)範圍從低的11Å/min至228Å/min。 The formulations shown in Table 9 were prepared, but no pH adjusters were used. The Cu corrosion inhibitor used was Wintrol A-90, which is a commercial blend of BTA and tolutriazole. The desired TiN and Cu etch rates and pH are obtained by varying the concentration of hydrogen peroxide and ammonium carboxylate. In these examples, several carboxylates of various concentrations were used. The hydrogen peroxide concentration is 20% by weight or 80% by weight. The pH range of the formulation ranges from a low pH of 4.3 to a pH of 8.3, while the TiN etch rate (ie, clearance) ranges from a low of 11 Å/min to 228 Å/min.

製備表10中所示之配方,係使用酒石酸或TMAH,或 者未使用任何pH調整劑。使用Wintrol A-90作為Co腐蝕抑制劑。在這些實例中,使用各式濃度的數種羧酸鹽。過氧化氫濃度的範圍從10wt%至80wt%。配方pH的範圍從低的pH5至高達pH 10。Co蝕刻率在所有案例中皆不顯著(即,最高Co蝕刻率為1.48Å/min)。 Prepare the formulation shown in Table 10 using tartaric acid or TMAH, or No pH adjusters were used. Wintrol A-90 was used as a corrosion inhibitor for Co. In these examples, several carboxylates of various concentrations were used. The hydrogen peroxide concentration ranges from 10 wt% to 80 wt%. The pH of the formulation ranges from a low pH of 5 up to a pH of 10. The Co etch rate was not significant in all cases (ie, the highest Co etch rate was 1.48 Å/min).

表11中所示之結果顯示,相較於不含羧酸銨之對照組成物53,清除組成物54中的乳酸銨與酒石酸銨之混合物展現出較高之TiN蝕刻率。 The results shown in Table 11 show that the mixture of ammonium lactate and ammonium tartrate in the removal composition 54 exhibited a higher TiN etch rate than the control composition 53 without ammonium carboxylate.

表11 Table 11

製備表12中所示之配方,並且使用TMAH來調整 pH,使用BTA作為銅腐蝕抑制劑。組成物56、57與58中使用的羧酸鹽分別為檸檬酸三鉀單水合物、酒石酸鉀鈉四水合物、與L-乳酸鉀。這些組成物之各者相較於不含羧酸鹽之對照組成物55,皆展現出較高之TiN蝕刻率與類似之Cu蝕刻率。 Prepare the formulations shown in Table 12 and adjust with TMAH pH, using BTA as a copper corrosion inhibitor. The carboxylates used in the compositions 56, 57 and 58 are respectively tripotassium citrate monohydrate, potassium sodium tartrate tetrahydrate, and potassium L-lactic acid. Each of these compositions exhibited a higher TiN etch rate and a similar Cu etch rate than the control composition 55 containing no carboxylate.

表13中所示之結果指出,相較於對照組成物59,當羧酸銨濃度低至0.001%時,清除組成物60至63皆展現出較高之TiN蝕刻率與類似之Cu與Co蝕刻率。 The results shown in Table 13 indicate that the cleaning compositions 60 to 63 exhibited a higher TiN etch rate and a similar Cu and Co etching when the ammonium carboxylate concentration was as low as 0.001% compared to the control composition 59. rate.

表13 Table 13

表14中所示之結果顯示,相較於不含羧酸銨之對照組 成物64,在50wt%的乙酸銨濃度下,清除組成物65展現出較高之TiN蝕刻率與類似之Cu與Co蝕刻率。 The results shown in Table 14 show that compared to the control group containing no ammonium carboxylate Product 64, at 50 wt% ammonium acetate concentration, the scavenging composition 65 exhibited a higher TiN etch rate and a similar Cu and Co etch rate.

鎢(W)蝕刻率 Tungsten (W) etch rate

製備表15中所示之配方,並且W(鎢)蝕刻率評估係如上關於TiN清除所述在45℃與55℃之溫度下進行。 The formulations shown in Table 15 were prepared and the W (tungsten) etch rate evaluation was carried out as described above for TiN purge at temperatures of 45 ° C and 55 ° C.

表15 Table 15

表15顯示,羧酸銨以1.172wt%至3wt%之濃度存在並且在約4至稍高於11之pH下會顯著提高W清除率,此係相較於在相同pH下不含對應羧酸銨之對照組成物66、70與72。 Table 15 shows that ammonium carboxylate is present at a concentration of from 1.172% to 3% by weight and at a pH of from about 4 to slightly above 11, the W clearance is significantly increased, which does not contain the corresponding carboxylic acid at the same pH. Ammonium control compositions 66, 70 and 72.

清除組成物穩定性 Clear composition stability

使用期限為清除組成物配方在一段時間內有最佳表現並且功能性不會隨時間有明顯變化的量度方式。使用期限受到溫度之高度影響。在高溫下處理許多小時之後,混合物中的化學品可能會分解並且配方將會失去功能性。 The expiration date is a measure of the best performance of the clear composition formulation over time and the functionality does not change significantly over time. The period of use is affected by the high temperature. After many hours of treatment at elevated temperatures, the chemicals in the mixture may decompose and the formulation will lose functionality.

使用期限試驗係進行(以確認本發明之清除組成物的蝕刻率維持恆定之時間期間與程度)如下:製備1200克儲備溶液並維持在50℃下。將150克樣本自加熱之儲備溶液取出並且在特定時間、50℃下用於TiN與Cu蝕刻率與pH試驗。在每次蝕刻率測量之後即丟棄樣本。 The lifespan test was carried out (to confirm the period and extent during which the etching rate of the cleaning composition of the present invention was kept constant) as follows: 1200 g of the stock solution was prepared and maintained at 50 °C. A 150 gram sample was taken from the heated stock solution and used for TiN and Cu etch rate and pH tests at a specific time, 50 °C. The sample is discarded after each etch rate measurement.

依據所述與所主張之發明概念製備清除組成物,其中選擇酒石酸銨作為羧酸銨並且濃度為0.3wt%。選擇1,2-環己烷二胺-N,N,N',N'-四乙酸(CDTA)作為配方74、75之胺基多羧酸螯合劑,並且在對照配方76中未包括螯合劑(CDTA)。該等組成物係示於表16。結果係示於表17。 A scavenging composition was prepared in accordance with the claimed inventive concept, wherein ammonium tartrate was selected as the ammonium carboxylate and the concentration was 0.3% by weight. 1,2-Cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA) was selected as the amine polycarboxylic acid chelating agent of Formulations 74, 75, and no chelating agent was included in Control Formulation 76. (CDTA). These compositions are shown in Table 16. The results are shown in Table 17.

表17中所呈現的數據顯示,在清除組成物74與75含 有CDTA的情況下,其TiN蝕刻率在22小時的期間內維持穩定,即實質上恆定。組成物75的初始TiN蝕刻率為157Å/min,並且在22小時的期間內維持156.4Å/min。組成物74的初始TiN蝕刻率為168.1Å/min,並且在22小時的期間內維持156.6Å/min。在不含CDTA的組成物76中,其TiN蝕刻率從219Å/min的初始蝕刻率衰減到22小時的99.9Å/min的蝕刻率。 The data presented in Table 17 shows that in the removal of compositions 74 and 75 In the case of CDTA, the TiN etching rate remained stable for a period of 22 hours, that is, it was substantially constant. The initial TiN etch rate of the composition 75 was 157 Å/min, and was maintained at 156.4 Å/min for a period of 22 hours. The initial TiN etch rate of composition 74 was 168.1 Å/min and was maintained at 156.6 Å/min over a 22 hour period. In the composition 76 containing no CDTA, the TiN etching rate was attenuated from an initial etching rate of 219 Å/min to an etching rate of 99.9 Å/min at 22 hours.

表19中所呈現的數據顯示,在清除組成物77含有CDTA的情況下,其TiN蝕刻率會在35小時的期間內維持穩定,即實質上恆定。組成物77的初始TiN蝕刻率為174Å/min,並且在35小時的期間內維持160Å/min。在不含CDTA的組成物78中,其TiN蝕刻率從212Å/min的初始蝕刻率衰減到35小時的110Å/min的蝕刻率。 The data presented in Table 19 shows that in the case where the cleaning composition 77 contains CDTA, its TiN etch rate will remain stable for a period of 35 hours, i.e., substantially constant. Composition 77 had an initial TiN etch rate of 174 Å/min and maintained 160 Å/min over a 35 hour period. In the composition without CDTA 78, the TiN etch rate was attenuated from an initial etch rate of 212 Å/min to an etch rate of 110 Å/min at 35 hours.

依據所述與所主張之發明概念製備清除組成物,其中選擇乙二胺四乙酸四銨、乙二胺四乙酸三銨與乙二胺四乙酸二銨作為羧酸銨,並且濃度如表20中所示。選擇1,2-環己烷二胺-N,N,N',N'-四乙 酸(CDTA)作為配方79、80與81之胺基多羧酸螯合劑。該等組成物係示於表20。 According to the invention and the claimed inventive concept, a scavenging composition is prepared, wherein tetraammonium ethylenediaminetetraacetate, triammonium ethylenediaminetetraacetate and diammonium ethylenediaminetetraacetate are selected as ammonium carboxylate, and the concentration is as shown in Table 20. Shown. Select 1,2-cyclohexanediamine-N,N,N',N'-tetraethyl Acid (CDTA) was used as the amine polycarboxylic acid chelating agent for Formulations 79, 80 and 81. These compositions are shown in Table 20.

在0、4、8、24、28、32與35小時的間隔自該等清除組成物中取出樣本以測量TiN與Cu蝕刻率。結果係示於表21。 Samples were taken from the scavenging compositions at 0, 4, 8, 24, 28, 32 and 35 hour intervals to measure TiN and Cu etch rates. The results are shown in Table 21.

表21中所呈現的數據顯示,在清除組成物79、80與 81含有CDTA的情況下,其TiN蝕刻率在35小時的期間內維持穩定,即實質上恆定。組成物79的初始TiN蝕刻率為192Å/min,並且在35小時的期間內為176Å/min。組成物80的初始TiN蝕刻率為181Å/min,並且在35小時的期間內為171Å/min。組成物81的初始TiN蝕刻率為167Å/min,並且在35小時的期間內為160Å/min。 The data presented in Table 21 shows that in the removal of compositions 79, 80 and In the case where 81 contains CDTA, the TiN etching rate remains stable for a period of 35 hours, that is, it is substantially constant. The initial TiN etch rate of composition 79 was 192 Å/min and was 176 Å/min over a 35 hour period. The initial TiN etch rate of composition 80 was 181 Å/min and was 171 Å/min over a 35 hour period. The initial TiN etching rate of the composition 81 was 167 Å/min, and was 160 Å/min over a period of 35 hours.

依據所述與所主張之發明概念製備清除組成物,其中選 擇酒石酸銨作為羧酸銨並且濃度為0.3wt%。選擇1,2-環己烷二胺-N,N,N',N'-四乙酸(CDTA)作為配方82與83之胺基多羧酸螯合劑。該等組成物係示於表22。在0、4、8、24、28、32與35小時的間隔自該等清除組成物中取出樣本以測量TiN與Cu蝕刻率。結果係示於表23。 Preparing a scavenging composition according to the stated inventive concept, wherein Ammonium tartrate was selected as the ammonium carboxylate and the concentration was 0.3% by weight. 1,2-Cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA) was selected as the amine polycarboxylic acid chelating agent of Formulations 82 and 83. These compositions are shown in Table 22. Samples were taken from the scavenging compositions at 0, 4, 8, 24, 28, 32 and 35 hour intervals to measure TiN and Cu etch rates. The results are shown in Table 23.

表23中所呈現的數據顯示,在清除組成物82與83分別含有0.001%與0.005% CDTA情況下,其TiN蝕刻率在35小時的期間內會維持穩定,即實質上恆定。組成物82的初始TiN蝕刻率為42Å/min,並且在35小時的期間內維持36Å/min(降低16.67%的TiN蝕刻率)。組成物83的初始TiN蝕刻率為48Å/min,並且在35小時的期間內維持45Å/min(降低6.3%的TiN蝕刻率)。以不含CDTA之對照配方84作為比較,初始TiN蝕刻率為47Å/min,並且在35小時的期間內為30Å/min,其顯示降低36%的TiN蝕刻率。CDTA穩定TiN蝕刻率。 The data presented in Table 23 shows that the TiN etch rate will remain stable for a period of 35 hours, i.e., substantially constant, in the case where the cleaning compositions 82 and 83 contain 0.001% and 0.005% CDTA, respectively. The composition 82 had an initial TiN etch rate of 42 Å/min and maintained 36 Å/min (a 16.67% reduction in TiN etch rate) over a 35 hour period. The composition 83 had an initial TiN etch rate of 48 Å/min and maintained 45 Å/min (a 6.3% reduction in TiN etch rate) over a 35 hour period. As a comparison of the CDTA-free control formulation 84, the initial TiN etch rate was 47 Å/min and was 30 Å/min over a 35 hour period, which showed a 36% reduction in TiN etch rate. CDTA stabilizes the TiN etch rate.

製備表24中所示之配方,並且使用TEAH來調整pH,使用BTA作為銅腐蝕抑制劑。使用CDTA來穩定TiN蝕刻率。 The formulations shown in Table 24 were prepared and TEAH was used to adjust the pH using BTA as a copper corrosion inhibitor. CDTA is used to stabilize the TiN etch rate.

表24配方之使用期限試驗係依據上述方法來進行。在0、4、8、24、28、32與35小時的間隔取出樣本以測量TiN與Cu蝕刻率及pH。結果係示於表25。 The lifespan test of the formulation of Table 24 was carried out in accordance with the above method. Samples were taken at 0, 4, 8, 24, 28, 32 and 35 hour intervals to measure TiN and Cu etch rates and pH. The results are shown in Table 25.

表25中所呈現的數據顯示,在清除組成物85、86與87分別含有1%、2%與3% CDTA的情況下,其TiN蝕刻率在35小時的期間內會維持穩定,即實質上恆定。組成物85的初始TiN蝕刻率為170Å/min,並且在35小時的期間內維持159Å/min。組成物86的初始TiN蝕刻率為170Å/min,並且在35小時的期間內維持158Å/min。組成物87的初始TiN蝕刻率為178Å/min,並且在35小時的期間內維持166Å/min。以不含CDTA之對照配方88作為比較,初始TiN蝕刻率為233Å/min,並且在35小時的期間內為136Å/min。CDTA穩定TiN蝕刻率。 The data presented in Table 25 shows that in the case where the cleaning compositions 85, 86, and 87 contain 1%, 2%, and 3% CDTA, respectively, the TiN etching rate is maintained stable for a period of 35 hours, that is, substantially Constant. The composition 85 had an initial TiN etch rate of 170 Å/min and maintained 159 Å/min over a 35 hour period. The initial TiN etch rate of composition 86 was 170 Å/min and was maintained at 158 Å/min over a 35 hour period. The initial TiN etch rate of composition 87 was 178 Å/min and was maintained at 166 Å/min over a 35 hour period. For comparison, the control formulation 88 without CDTA had an initial TiN etch rate of 233 Å/min and was 136 Å/min over a 35 hour period. CDTA stabilizes the TiN etch rate.

製備表26中所示之配方,並且使用DGA來調整pH,使用BTA作為銅腐蝕抑制劑。使用乙二胺四乙酸四銨來穩定TiN蝕刻率。 The formulations shown in Table 26 were prepared and DGA was used to adjust the pH using BTA as a copper corrosion inhibitor. The tetra-ammonium ethylenediaminetetraacetate was used to stabilize the TiN etch rate.

表26配方之使用期限試驗係依據上述方法來進行。在0、2、4、8、24、28與35小時的間隔取出樣本以測量TiN與Cu蝕刻率及pH。結果係示於表27。 The lifespan test of the formulation of Table 26 was carried out in accordance with the above method. Samples were taken at 0, 2, 4, 8, 24, 28 and 35 hour intervals to measure TiN and Cu etch rates and pH. The results are shown in Table 27.

表27顯示,在清除組成物89含有乙二胺四乙酸四銨的 情況下,其TiN蝕刻率在三十五(35)小時的期間內維持穩定,即維持實質上恆定。初始TiN蝕刻率為224Å/min,並且在三十五(35)小時的期間內為179Å/min(35小時後TiN蝕刻率下降20%)。在不含乙二胺四乙酸四銨之組成物90中,其蝕刻率從143Å/min的初始速率下降至35小時後的57Å/min(35小時後TiN蝕刻率下降60%)。乙二胺四乙酸四銨穩定TiN蝕刻率。 Table 27 shows that in the removal of composition 89 contains tetraammonium ethylenediaminetetraacetate In this case, the TiN etch rate remains stable for a period of thirty-five (35) hours, i.e., remains substantially constant. The initial TiN etch rate was 224 Å/min and was 179 Å/min during the thirty-five (35) hour period (the TiN etch rate decreased by 20% after 35 hours). In the composition 90 containing no tetraethylenediaminetetraacetic acid, the etching rate decreased from an initial rate of 143 Å/min to 57 Å/min after 35 hours (a TiN etching rate decreased by 60% after 35 hours). The tetraammonium ethylenediaminetetraacetate stabilizes the TiN etch rate.

製備表28中所示之配方,並且使用DGA來調整pH。使用BTA作為銅腐蝕抑制劑。所選用的羧酸銨為EDTA四銨。表28 中所示之結果顯示,相較於不含羧酸銨之對照組成物82,清除組成物81中的乙二胺四乙酸四銨展現出較高之TiN蝕刻率。 The formulations shown in Table 28 were prepared and the pH was adjusted using DGA. BTA was used as a copper corrosion inhibitor. The ammonium carboxylate selected was EDTA tetraammonium. Table 28 The results shown therein show that the tetraammonium ethylenediaminetetraacetate in the scavenging composition 81 exhibited a higher TiN etch rate than the control composition 82 containing no ammonium carboxylate.

表29中所示的實驗結果顯示,在清除組成物81含有乙 二胺四乙酸四銨的情況下,其初始TiN蝕刻率為233Å/min,並且在二十八(28)小時的期間內為198Å/min。在不含乙二胺四乙酸四銨之組成物92中,其TiN蝕刻率從134Å/min的初始速率下降至28小時之61Å/min。 The experimental results shown in Table 29 show that in the removal of composition 81 contains B In the case of tetraammonium diamine tetraacetate, the initial TiN etching rate was 233 Å/min, and it was 198 Å/min during the twenty-eight (28) hour period. In composition 92 containing no tetraethylenediamine tetraacetate, the TiN etch rate decreased from an initial rate of 134 Å/min to 61 Å/min at 28 hours.

羧酸銨存在於本發明之清除組成物中不但會如表2至 8、11、13至15、與26及27中所示提高TiN蝕刻率,而且這些資料 還支持其存在亦可作用於穩定長時間(例如高達至少35小時)的TiN蝕刻率的結論。 Ammonium carboxylate present in the scavenging composition of the present invention will not only be as shown in Table 2 Increase the TiN etch rate as shown in 8, 11, 13 to 15, and 26 and 27, and these materials It also supports the conclusion that its presence can also act to stabilize the TiN etch rate over long periods of time (eg, up to at least 35 hours).

已描述本發明概念的數個實施例。然而,所屬技術領域中具有通常知識者將會認知到,本發明不限於所述之實施例。本發明概念可在不偏離隨附申請專利範圍之精神與範疇的修改及變更下實施。 Several embodiments of the inventive concept have been described. However, it will be appreciated by those of ordinary skill in the art that the invention is not limited to the embodiments described. The inventive concept can be implemented without departing from the spirit and scope of the appended claims.

Claims (25)

一種清除組成物,用於自一半導體基板上相對於低介電(low-k)介電材料選擇性清除一硬遮罩(hard mask),該硬遮罩主要由TiN、TaN、TiNxOy、TiW、W、Ti及Ti與W之合金所組成,該半導體基板包含具有一TiN、TaN、TiNxOy、TiW、W、Ti或Ti或W之合金的硬遮罩於其上之該低介電介電材料,該清除組成物包含:(a)0.1wt%至90wt%的一氧化劑;(b)0.0001wt%至50wt%的一羧酸鹽;(c)0.0001wt%至高達50wt%的一金屬腐蝕抑制劑;以及(d)補足100wt%的該清除組成物之剩餘部分,其包含去離子水;其中該金屬腐蝕抑制劑係為一或多個選自由吡咯與其衍生物及吡唑與其衍生物所組成之群組。 A cleaning composition for selectively removing a hard mask from a semiconductor substrate with respect to a low-k dielectric material, the hard mask being mainly composed of TiN, TaN, TiNxOy, TiW , W, Ti, and an alloy of Ti and W, the semiconductor substrate comprising the low dielectric dielectric having a hard mask of TiN, TaN, TiNxOy, TiW, W, Ti or Ti or W alloy thereon a material comprising: (a) 0.1 wt% to 90 wt% of an oxidizing agent; (b) 0.0001 wt% to 50 wt% of a monocarboxylate; (c) 0.0001 wt% up to 50 wt% of a metal corrosion And (d) complementing 100% by weight of the remainder of the scavenging composition comprising deionized water; wherein the metal corrosion inhibitor is one or more selected from the group consisting of pyrrole and its derivatives, and pyrazole and its derivatives The group that makes up. 如請求項1之清除組成物,其中該氧化劑係選自由下列所組成之群組:過氧化氫(H2O2)、氧化N-甲基嗎福林(NMMO或NMO)、過氧化苯甲醯、過氧單硫酸四丁銨、臭氧、氯化鐵、過錳酸鹽、過氧硼酸鹽、過氯酸鹽、過硫酸鹽、氫過氧化脲、硝酸(HNO3)、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、過碘酸銨(NH4IO3)、亞氯酸四甲銨((N(CH3)4)ClO2)、氯酸四甲銨((N(CH3)4)ClO3)、碘酸四甲銨((N(CH3)4)IO3)、過碘酸四甲銨((N(CH3)4)IO4)、過氧乙酸(CH3(CO)OOH)、與上述者之混合物;以及該羧酸鹽係選自由下列所組成之群組:檸檬酸三鉀單水合物、酒石酸鉀鈉四水合物、L-乳酸鉀、與上述者之混合物。 The scavenging composition of claim 1, wherein the oxidizing agent is selected from the group consisting of hydrogen peroxide (H 2 O 2 ), oxidized N-methyl phenylephrine (NMMO or NMO), and benzoic acid peroxide Bismuth, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate, peroxo borate, perchlorate, persulfate, urea hydroperoxide, nitric acid (HNO 3 ), ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium periodate (NH 4 IO 3 ), tetramethylammonium chlorite ((N(CH 3 ) 4 ) ClO 2 ), tetramethylammonium chlorate ((N(CH 3 ) 4 )ClO 3 ), tetramethylammonium iodate ((N(CH 3 ) 4 )IO 3 ), tetramethylammonium periodate) (N(CH 3 ) 4 ) IO 4 ), peroxyacetic acid (CH 3 (CO)OOH), a mixture with the above; and the carboxylate is selected from the group consisting of tripotassium citrate Hydrate, sodium potassium tartrate tetrahydrate, potassium L-lactic acid, a mixture with the above. 如請求項1之清除組成物,其中該氧化劑係選自由下列所組成之群組:過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過硫酸銨((NH4)2S2O8)、過硼酸四甲銨((N(CH3)4)BO3)、過氯酸四甲銨((N(CH3)4)ClO4)、過硫酸四甲銨((N(CH3)4)S2O8)以及上述者之混合物;以及該羧酸鹽係選自由下列所組成之群組:檸檬酸三鉀單水合物、酒石酸鉀鈉四水合物、L-乳酸鉀、以及上述者之混合物。 The scavenging composition of claim 1, wherein the oxidizing agent is selected from the group consisting of ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), tetramethylammonium perborate ((N(CH 3 ) 4 )BO 3 ), tetramethylammonium perchlorate ((N(CH 3 ) 4 )ClO 4 ), tetramethylammonium persulfate) ((N(CH 3 ) 4 )S 2 O 8 ) and a mixture of the above; and the carboxylate is selected from the group consisting of tripotassium citrate monohydrate, sodium potassium tartrate tetrahydrate, L-Lactic acid potassium, and a mixture of the above. 如請求項2之清除組成物,其進一步包含:0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之一混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;以及三伸乙四胺六乙酸(TTHA)。 The scavenging composition of claim 2, further comprising: 0.001 wt% to 20 wt% of a monobasic acid, an monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture of the foregoing, selected Free group of the following: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane -N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); Ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxo-octyldiazepinetetraacetic acid; and triamethylenetetramine hexaacetic acid (TTHA). 如請求項2之清除組成物,其中該金屬腐蝕抑制劑係選自苯并***、吡唑、苯并***與吡唑之一混合物、或苯并***與甲苯***之一混合物。 The scavenging composition of claim 2, wherein the metal corrosion inhibitor is selected from the group consisting of benzotriazole, pyrazole, a mixture of benzotriazole and pyrazole, or a mixture of benzotriazole and tolutriazole. 如請求項2之清除組成物,其進一步包含:至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:四級銨鹽、一級胺、二級胺、三級胺、氫氧化四丁鏻(TBPH)、與上述者之混合物; 並且其中該酸係選自由下列所組成之群組:無機酸、一羧酸、一胺基酸、一羥基羧酸、一多羧酸、與上述者之一混合物。 The scavenging composition of claim 2, further comprising: at least one base, at least one acid, or a mixture of the foregoing; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines a tertiary amine, tetrabutyl hydrazine hydroxide (TBPH), a mixture with the above; And wherein the acid is selected from the group consisting of inorganic acids, monocarboxylic acids, monoamino acids, monohydroxycarboxylic acids, polycarboxylic acids, and mixtures with one of the foregoing. 如請求項2之清除組成物,其進一步包含:至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、氫氧化苄基三甲銨(BTAH)、單乙醇胺(MEA)、二甘醇胺(DGA)、三乙醇胺(TEA)以及上述者之混合物;並且其中該酸係選自由下列所組成之群組:無機酸、有機酸或上述者之混合物。 The scavenging composition of claim 2, further comprising: at least one base, at least one acid, or a mixture of the foregoing; wherein the base is selected from the group consisting of tetramethylammonium hydroxide (TMAH), hydroxide Tetraethylammonium (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanolamine (MEA), diglycolamine (DGA), triethanolamine (TEA), and mixtures thereof; and wherein the acid is selected from the group consisting of Group consisting of inorganic acids, organic acids or a mixture of the above. 如請求項2之清除組成物,其進一步包含:i)0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之一混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;與三伸乙四胺六乙酸(TTHA)。 The scavenging composition of claim 2, further comprising: i) 0.001 wt% to 20 wt% of a monobasic acid, an monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture of the foregoing, It is selected from the group consisting of: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine Acetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy) ethane-N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA) And ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxathiazinodiazepinetetraacetic acid; and triethylenetetramine hexaacetic acid (TTHA). 如請求項2之清除組成物,其進一步包含:i)0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之一混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙 基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;與三伸乙四胺六乙酸(TTHA);以及ii)至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:四級銨鹽、一級胺、二級胺、三級胺、氫氧化四丁鏻(TBPH)、與上述者之混合物;並且其中該酸係選自由下列所組成之群組:無機酸、一羧酸、一胺基酸、一羥基羧酸、一多羧酸、與上述者之一混合物。 The scavenging composition of claim 2, further comprising: i) 0.001 wt% to 20 wt% of a monobasic acid, an monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture of the foregoing, It is selected from the group consisting of: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine Acetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy) ethane-N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]B }---(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxo-octyldiazepine Tetraacetic acid; with triethylenetetramine hexaacetic acid (TTHA); and ii) at least one base, at least one acid or a mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, first grade An amine, a secondary amine, a tertiary amine, tetrabutylphosphonium hydroxide (TBPH), a mixture with the above; and wherein the acid is selected from the group consisting of inorganic acids, monocarboxylic acids, monoamino acids a monohydroxycarboxylic acid, a polycarboxylic acid, a mixture with one of the above. 如請求項2之清除組成物,其進一步包含:i)0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之一混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;與三伸乙四胺六乙酸(TTHA);以及ii)至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、氫氧化苄基三甲銨(BTAH)、單乙醇胺(MEA)、二甘醇胺(DGA)、三乙醇胺(TEA)及上述者之混合物;並且其中該酸係選自由下列所組成之群組: 無機酸、一羧酸、一胺基酸、一羥基羧酸、一多羧酸、與上述者之一混合物。 The scavenging composition of claim 2, further comprising: i) 0.001 wt% to 20 wt% of a monobasic acid, an monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture of the foregoing, It is selected from the group consisting of: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine Acetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy) ethane-N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA) And ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxo-octyldiazepinetetraacetic acid; with triamethylenetetramine hexaacetic acid (TTHA); and ii) At least one base, at least one acid or a mixture of the foregoing; wherein the base is selected from the group consisting of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide. (BTAH), monoethanolamine (MEA), diglycolamine (DGA), triethanolamine (TEA), and mixtures thereof; and wherein the acid is selected from the group consisting of: A mineral acid, a monocarboxylic acid, a monobasic acid, a monohydroxycarboxylic acid, a polycarboxylic acid, a mixture with one of the above. 如請求項1之清除組成物,其中該羧酸鹽為一羧酸銨。 The scavenging composition of claim 1, wherein the carboxylate is ammonium monocarboxylate. 如請求項11之清除組成物,其中該氧化劑係選自由下列所組成之群組:過氧化氫(H2O2)、氧化N-甲基嗎福林(NMMO或NMO)、過氧化苯甲醯、過氧單硫酸四丁銨、臭氧、氯化鐵、過錳酸鹽、過氧硼酸鹽、過氯酸鹽、過硫酸鹽、氫過氧化脲、硝酸(HNO3)、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、過碘酸銨(NH4IO3)、亞氯酸四甲銨((N(CH3)4)ClO2)、氯酸四甲銨((N(CH3)4)ClO3)、碘酸四甲銨((N(CH3)4)IO3)、過碘酸四甲銨((N(CH3)4)IO4)、過氧乙酸(CH3(CO)OOH)、與上述者之混合物;以及該羧酸銨係選自由下列所組成之群組:草酸銨、乳酸銨、酒石酸銨、檸檬酸三銨、乙酸銨、胺基甲酸銨、碳酸銨、苯甲酸銨、乙二胺四乙酸銨、乙二胺四乙酸二銨、乙二胺四乙酸三銨、乙二胺四乙酸四銨、琥珀酸銨、甲酸銨、1-H-吡唑-3-甲酸銨、與上述者之混合物。 The scavenging composition of claim 11, wherein the oxidizing agent is selected from the group consisting of hydrogen peroxide (H 2 O 2 ), oxidized N-methyl phobolin (NMMO or NMO), and benzoic acid peroxide Bismuth, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate, peroxo borate, perchlorate, persulfate, urea hydroperoxide, nitric acid (HNO 3 ), ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium periodate (NH 4 IO 3 ), tetramethylammonium chlorite ((N(CH 3 ) 4 ) ClO 2 ), tetramethylammonium chlorate ((N(CH 3 ) 4 )ClO 3 ), tetramethylammonium iodate ((N(CH 3 ) 4 )IO 3 ), tetramethylammonium periodate) (N(CH 3 ) 4 )IO 4 ), peroxyacetic acid (CH 3 (CO)OOH), a mixture with the above; and the ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate , ammonium tartrate, triammonium citrate, ammonium acetate, ammonium amide, ammonium carbonate, ammonium benzoate, ammonium EDTA, diammonium ethylenediaminetetraacetate, triammonium ethylenediaminetetraacetate, ethylenediamine Tetraammonium tetraacetate, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, a mixture with the above. 如請求項11之清除組成物,其中該氧化劑係選自由下列所組成之群組:過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過硫酸銨((NH4)2S2O8)、過硼酸四甲銨((N(CH3)4)BO3)、過氯酸四甲銨((N(CH3)4)ClO4)、過硫酸四甲銨((N(CH3)4)S2O8)以及上述者之混合物;以及該羧酸銨係選自由下列所組成之群組:草酸銨、乳酸銨、酒石酸銨、檸檬酸三銨、乙酸銨、胺基甲酸銨、碳酸銨、苯甲酸銨、乙二胺四乙酸銨、乙二胺 四乙酸二銨、乙二胺四乙酸三銨、乙二胺四乙酸四銨、琥珀酸銨、甲酸銨、1-H-吡唑-3-甲酸銨、與上述者之混合物。 The scavenging composition of claim 11, wherein the oxidizing agent is selected from the group consisting of ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), tetramethylammonium perborate ((N(CH 3 ) 4 )BO 3 ), tetramethylammonium perchlorate ((N(CH 3 ) 4 )ClO 4 ), tetramethylammonium persulfate) ((N(CH 3 ) 4 )S 2 O 8 ) and a mixture of the above; and the ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, triammonium citrate, acetic acid Ammonium, ammonium urethane, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetate, diammonium ethylenediaminetetraacetate, triammonium ethylenediaminetetraacetate, tetraammonium ethylenediaminetetraacetate, ammonium succinate, formic acid Ammonium, ammonium 1-H-pyrazole-3-carboxylate, a mixture with the above. 如請求項12之清除組成物,其進一步包含0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之一混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;以及三伸乙四胺六乙酸(TTHA)。 The scavenging composition of claim 12, further comprising 0.001 wt% to 20 wt% of a monobasic acid, an monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture of the foregoing, selected from the group consisting of a group consisting of: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine pentaacetic acid; ,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane- N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); Diamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxo-octyldiazepinetetraacetic acid; and triamethylenetetramine hexaacetic acid (TTHA). 如請求項12之清除組成物,其中該金屬腐蝕抑制劑係選自苯并***、吡唑或苯并***與吡唑之一混合物、或苯并***與甲苯***之一混合物。 The scavenging composition of claim 12, wherein the metal corrosion inhibitor is selected from the group consisting of benzotriazole, pyrazole or a mixture of benzotriazole and pyrazole, or a mixture of benzotriazole and tolyltriazole. 如請求項12之清除組成物,其進一步包含:至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:四級銨鹽、一級胺、二級胺、三級胺、氫氧化四丁鏻(TBPH)、與上述者之混合物;並且其中該酸係選自由下列所組成之群組:無機酸、一羧酸、一胺基酸、一羥基羧酸、一多羧酸、與上述者之一混合物。 The scavenging composition of claim 12, further comprising: at least one base, at least one acid, or a mixture of the foregoing; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines a tertiary amine, tetrabutylphosphonium hydroxide (TBPH), a mixture with the above; and wherein the acid is selected from the group consisting of inorganic acids, monocarboxylic acids, monoamino acids, monohydroxycarboxylic acids a polycarboxylic acid, in admixture with one of the above. 如請求項12之清除組成物,其進一步包含:至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、氫氧化苄基三甲銨(BTAH)、單乙醇胺(MEA)、二甘醇胺(DGA)、三乙醇胺(TEA)與上述者之混合物;並且 其中該酸係選自由下列所組成之群組:無機酸、一羧酸、一胺基酸、一羥基羧酸、一多羧酸、與上述者之一混合物。 The scavenging composition of claim 12, further comprising: at least one base, at least one acid, or a mixture of the foregoing; wherein the base is selected from the group consisting of tetramethylammonium hydroxide (TMAH), hydroxide a mixture of tetraethylammonium (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanolamine (MEA), diglycolamine (DGA), triethanolamine (TEA), and the like; Wherein the acid is selected from the group consisting of inorganic acids, monocarboxylic acids, monoamino acids, monohydroxycarboxylic acids, polycarboxylic acids, mixtures with one of the foregoing. 如請求項12之清除組成物,其進一步包含:i)0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之一混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;與三伸乙四胺六乙酸(TTHA)。 The scavenging composition of claim 12, further comprising: i) 0.001 wt% to 20 wt% of a monobasic acid, an monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture of the foregoing, It is selected from the group consisting of: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine Acetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy) ethane-N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA) And ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxathiazinodiazepinetetraacetic acid; and triethylenetetramine hexaacetic acid (TTHA). 如請求項12之清除組成物,其進一步包含:i)0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之一混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;與三伸乙四胺六乙酸(TTHA);以及ii)至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:四級銨鹽、一級胺、二級胺、三級胺、氫氧化四丁鏻(TBPH)、與上述者之混合物;並且其中該酸係選自由下列所組成之 群組:無機酸、一羧酸、一胺基酸、一羥基羧酸、一多羧酸、與上述者之一混合物。 The scavenging composition of claim 12, further comprising: i) 0.001 wt% to 20 wt% of a monobasic acid, an monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture of the foregoing, It is selected from the group consisting of: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine Acetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy) ethane-N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA) And ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxo-octyldiazepinetetraacetic acid; with triamethylenetetramine hexaacetic acid (TTHA); and ii) At least one base, at least one acid or a mixture of the foregoing; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetrabutylammonium hydroxide (TBPH) a mixture with the above; and wherein the acid is selected from the group consisting of Group: a mineral acid, a monocarboxylic acid, a monobasic acid, a monohydroxycarboxylic acid, a polycarboxylic acid, a mixture with one of the above. 如請求項12之清除組成物,其進一步包含:i)0.001wt%至20wt%的一胺基酸、一胺基多羧酸、一羧酸、一多羧酸、或上述者之一混合物,其選自由下列所組成之群組:1,2-環己烷二胺-N,N,N',N'-四乙酸;乙二胺四乙酸;氮基三乙酸;二伸乙三胺五乙酸;1,4,7,10-四氮環十二烷-1,4,7,10-四乙酸;乙二醇四乙酸(EGTA);1,2-雙(o-胺基苯氧)乙烷-N,N,N',N'-四乙酸;N-{2-[雙(羧甲基)胺基]乙基}-N-(2-羥乙基)甘胺酸(HEDTA);以及乙二胺-N,N'-雙(2-羥基苯乙酸)(EDDHA);二氧雜伸辛基二氮基四乙酸;與三伸乙四胺六乙酸(TTHA);以及ii)至少一種鹼、至少一種酸或上述者之混合物;其中該鹼係選自由下列所組成之群組:氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、氫氧化苄基三甲銨(BTAH)、單乙醇胺(MEA)、二甘醇胺(DGA)、三乙醇胺(TEA)與上述者之混合物;並且其中該酸係選自由下列所組成之群組:無機酸、一羧酸、一胺基酸、一羥基羧酸、一多羧酸、與上述者之一混合物。 The scavenging composition of claim 12, further comprising: i) 0.001 wt% to 20 wt% of a monobasic acid, an monoaminopolycarboxylic acid, a monocarboxylic acid, a polycarboxylic acid, or a mixture of the foregoing, It is selected from the group consisting of: 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrogen triacetic acid; diethylenetriamine Acetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy) ethane-N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA) And ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxo-octyldiazepinetetraacetic acid; with triamethylenetetramine hexaacetic acid (TTHA); and ii) At least one base, at least one acid or a mixture of the foregoing; wherein the base is selected from the group consisting of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide. a mixture of (BTAH), monoethanolamine (MEA), diglycolamine (DGA), triethanolamine (TEA), and the like; and wherein the acid is selected from the group consisting of inorganic acids A carboxylic acid, an amino acid, a hydroxycarboxylic acid, a polycarboxylic acid, and mixtures thereof by one. 如請求項12之清除組成物,其進一步包含:0.001wt%至20wt%的1,2-環己烷二胺-N,N,N',N'-四乙酸。 The scavenging composition of claim 12, further comprising: 0.001 wt% to 20 wt% of 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid. 如請求項21之清除組成物,其中該羧酸銨係選自酒石酸銨。 A scavenging composition according to claim 21, wherein the ammonium carboxylate is selected from the group consisting of ammonium tartrate. 如請求項12之清除組成物,其該羧酸銨係選自由下列所組成之群組:乙二胺四乙酸銨、乙二胺四乙酸二銨、乙二胺四乙酸三銨、乙二胺四乙酸四銨、與上述者之混合物。 A scavenging composition according to claim 12, wherein the ammonium carboxylate is selected from the group consisting of ammonium diamine tetraacetate, diammonium ethylenediaminetetraacetate, triammonium ethylenediaminetetraacetate, ethylenediamine. Tetraammonium tetraacetate, a mixture with the above. 如請求項1之清除組成物,該清除組成物另包含苯并***(BTA)。 The scavenging composition of claim 1 further comprising benzotriazole (BTA). 如請求項1之清除組成物,該清除組成物另包含甲苯***。 The scavenging composition of claim 1 further comprising tolutriazole.
TW103135159A 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof TWI650415B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361889968P 2013-10-11 2013-10-11
US61/889,968 2013-10-11
??PCT/US13/74356 2013-12-11
US14/103,303 US20150104952A1 (en) 2013-10-11 2013-12-11 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
US14/103,303 2013-12-11
PCT/US2013/074356 WO2015053800A2 (en) 2013-10-11 2014-11-14 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper

Publications (2)

Publication Number Publication Date
TW201527519A TW201527519A (en) 2015-07-16
TWI650415B true TWI650415B (en) 2019-02-11

Family

ID=52810036

Family Applications (3)

Application Number Title Priority Date Filing Date
TW103100235A TW201522574A (en) 2013-10-11 2014-01-03 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-K dielectric material and copper
TW103135159A TWI650415B (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
TW103135158A TWI650414B (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103100235A TW201522574A (en) 2013-10-11 2014-01-03 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-K dielectric material and copper

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW103135158A TWI650414B (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof

Country Status (6)

Country Link
US (4) US20150104952A1 (en)
JP (3) JP2016535819A (en)
KR (3) KR102334603B1 (en)
CN (3) CN105612599B (en)
TW (3) TW201522574A (en)
WO (1) WO2015053800A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11550229B1 (en) 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160340620A1 (en) * 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
EP3169737B1 (en) * 2014-07-15 2018-10-10 Basf Se A chemical mechanical polishing (cmp) composition
WO2016068182A1 (en) * 2014-10-31 2016-05-06 富士フイルム株式会社 Mram dry etching residue removal composition, magnetoresistive random access memory production method, and cobalt removal composition
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
JP6626748B2 (en) * 2016-03-09 2019-12-25 株式会社Adeka Etching solution composition for tantalum-containing layer and etching method
US10865484B2 (en) * 2016-03-29 2020-12-15 Technic France Solution and method for etching titanium based materials
US10577571B2 (en) * 2016-11-08 2020-03-03 Ecolab Usa Inc. Non-aqueous cleaner for vegetable oil soils
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
SG11201908791SA (en) * 2017-03-31 2019-10-30 Kanto Kagaku Etchant composition for etching titanium layer or titanium-containing layer, and etching method
CN107148156B (en) * 2017-05-08 2019-06-28 广东光华科技股份有限公司 The application of 3,6- dioxa -1,8- octamethylenediamine tetrem acid derivative and OSP treatment fluid
CN107357143B (en) 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
CN107229193B (en) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
WO2019126155A1 (en) 2017-12-18 2019-06-27 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US11499236B2 (en) 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
US11017995B2 (en) * 2018-07-26 2021-05-25 Versum Materials Us, Llc Composition for TiN hard mask removal and etch residue cleaning
GB201813368D0 (en) 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
US11085011B2 (en) 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
KR102665340B1 (en) * 2018-09-18 2024-05-14 삼성전자주식회사 Etching composition and method for manufacturing semiconductor device using the same
SG11202111994PA (en) 2019-05-01 2021-11-29 Fujifilm Electronic Materials U S A Inc Etching compositions
TW202106859A (en) * 2019-06-03 2021-02-16 美商富士軟片電子材料美國股份有限公司 Etching compositions
CN114258424B (en) * 2019-06-13 2023-07-04 富士胶片电子材料美国有限公司 Etching composition
CN110459468A (en) * 2019-08-29 2019-11-15 上海华力集成电路制造有限公司 The lithographic method of TiAlN thin film
JP2022547312A (en) * 2019-09-10 2022-11-11 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド etching composition
EP3825441A1 (en) * 2019-11-21 2021-05-26 COVENTYA S.p.A. An electrolytic treatment device for preparing plastic parts to be metallized and a method for etching plastic parts
KR20210100258A (en) * 2020-02-05 2021-08-17 삼성전자주식회사 Etching composition and method for manufacturing semiconductor device using the same
CN113430066B (en) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 Cleaning composition for selectively removing hard mask, preparation method and application thereof
CN113430060B (en) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 Tungsten compatible cleaning solution for removing hard mask, preparation method and application thereof
CN113430063B (en) * 2020-03-23 2024-02-23 上海新阳半导体材料股份有限公司 Cleaning solution for selectively removing hard mask, preparation method and application thereof
CN113430072B (en) * 2020-03-23 2024-05-07 上海新阳半导体材料股份有限公司 Cobalt-compatible semi-aqueous cleaning solution for removing hard mask, preparation method and application thereof
TWI824299B (en) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 Etchant compositions
CN112323136A (en) * 2020-10-26 2021-02-05 深圳市裕展精密科技有限公司 Deplating solution and deplating method
CN113150884B (en) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 Preparation method of fluorine-containing cleaning liquid composition
CN113161234B (en) * 2021-04-27 2023-02-17 上海新阳半导体材料股份有限公司 Application of fluorine-containing cleaning liquid composition
JP2022184639A (en) * 2021-06-01 2022-12-13 上村工業株式会社 copper etchant
TW202407150A (en) 2022-05-10 2024-02-16 日商東京應化工業股份有限公司 Etching solution
DE102022113998A1 (en) * 2022-06-02 2023-12-07 Betek Gmbh & Co. Kg Decoating solution, method and device for the wet-chemical removal of a PVD or CVD titanium nitride layer from a hard metal carrier element
CN115141629B (en) * 2022-06-15 2023-06-02 湖北兴福电子材料股份有限公司 TiN removing liquid
CN115725369B (en) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 Application of cleaning fluid composition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201333171A (en) * 2011-12-28 2013-08-16 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8701184A (en) * 1987-05-18 1988-12-16 Philips Nv METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
JPH10209604A (en) * 1997-01-17 1998-08-07 Hitachi Ltd Manufacture of printed wiring board, roughing liquid for use therein, and preparation of roughing liquid
CN1169196C (en) 1997-04-03 2004-09-29 日本电气株式会社 Semiconductor washing solution and method of producing semiconductor device using same
JP3039493B2 (en) 1997-11-28 2000-05-08 日本電気株式会社 Substrate cleaning method and cleaning solution
US7579308B2 (en) * 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US6358788B1 (en) * 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US7543592B2 (en) * 2001-12-04 2009-06-09 Ekc Technology, Inc. Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP2003339509A (en) 2002-05-28 2003-12-02 Koji Okuda Vertical hanger hook
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
KR101056544B1 (en) 2003-08-19 2011-08-11 아반토르 퍼포먼스 머티리얼스, 인크. Peeling and Cleaning Compositions for Microelectronic Substrates
BRPI0416067A (en) * 2003-10-29 2007-01-02 Mallinckrodt Baker Inc alkaline ash / post-plasma etching removers and photoresist stripping compositions containing metal halide corrosion inhibitors
JP4474914B2 (en) * 2003-12-17 2010-06-09 東ソー株式会社 Resist residue stripping composition and cleaning method using the same
MY139624A (en) 2004-03-01 2009-10-30 Avantor Performance Mat Inc Stripping and cleaning compositions for microelectronics
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP4577095B2 (en) * 2005-06-03 2010-11-10 東ソー株式会社 Etching composition for metal titanium and etching method using the same
EP1932174A4 (en) 2005-10-05 2009-09-23 Advanced Tech Materials Oxidizing aqueous cleaner for the removal of post-etch residues
US7960328B2 (en) * 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
JP5237300B2 (en) * 2006-12-21 2013-07-17 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Liquid cleaning agent to remove residues after etching
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN101785087A (en) * 2007-08-22 2010-07-21 大金工业株式会社 Solution for removal of residue after semiconductor dry processing, and residue removal method using the same
KR20100082012A (en) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 Compositions for removal of metal hard mask etching residues from a semiconductor substrate
TWI467055B (en) * 2007-12-21 2015-01-01 Wako Pure Chem Ind Ltd Etching agent and etching method
JP5813280B2 (en) * 2008-03-19 2015-11-17 富士フイルム株式会社 Semiconductor device cleaning liquid and cleaning method
US7825079B2 (en) * 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
JP5523325B2 (en) * 2008-09-09 2014-06-18 昭和電工株式会社 Etching solution of titanium metal, tungsten metal, titanium tungsten metal or nitrides thereof
US8080475B2 (en) 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
TWI548738B (en) 2010-07-16 2016-09-11 安堤格里斯公司 Aqueous cleaner for the removal of post-etch residues
KR101270560B1 (en) 2010-11-12 2013-06-03 오씨아이 주식회사 Composition for etching metal layer
KR20120066950A (en) * 2010-12-15 2012-06-25 삼성전자주식회사 Echtant, display device and method for manufacturing display device using the same
US9257270B2 (en) 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US8835326B2 (en) 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal
US9070625B2 (en) 2012-01-04 2015-06-30 International Business Machines Corporation Selective etch chemistry for gate electrode materials
JP5692108B2 (en) * 2012-02-03 2015-04-01 日立化成株式会社 Surface treatment method for conductive substrate for semiconductor mounting, and conductive substrate and semiconductor package using this treatment method
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201333171A (en) * 2011-12-28 2013-08-16 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11550229B1 (en) 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices
TWI820469B (en) * 2021-06-18 2023-11-01 台灣積體電路製造股份有限公司 Method of treating surface of reticle and semiconductor manufacturing system
US11829076B2 (en) 2021-06-18 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices

Also Published As

Publication number Publication date
JP2016535819A (en) 2016-11-17
TWI650414B (en) 2019-02-11
WO2015053800A3 (en) 2015-06-18
KR20160068902A (en) 2016-06-15
US20160312162A1 (en) 2016-10-27
US20160254182A1 (en) 2016-09-01
TW201527518A (en) 2015-07-16
US10005991B2 (en) 2018-06-26
US20160240368A1 (en) 2016-08-18
CN105612599A (en) 2016-05-25
CN105612599B (en) 2019-05-14
KR102334603B1 (en) 2021-12-06
CN105874568A (en) 2016-08-17
WO2015053800A2 (en) 2015-04-16
TW201527519A (en) 2015-07-16
KR20170076616A (en) 2017-07-04
KR102327432B1 (en) 2021-11-17
TW201522574A (en) 2015-06-16
CN105874562B (en) 2019-05-14
CN105874562A (en) 2016-08-17
JP2017502491A (en) 2017-01-19
US20150104952A1 (en) 2015-04-16
US10155921B2 (en) 2018-12-18
JP2016536785A (en) 2016-11-24
JP6523269B2 (en) 2019-05-29
KR20160068903A (en) 2016-06-15

Similar Documents

Publication Publication Date Title
TWI650415B (en) Removal composition for selectively removing hard mask and methods thereof
TWI660029B (en) TiN hard mask and etch residue removal
KR101444468B1 (en) Oxidizing aqueous cleaner for the removal of post-etch residues
TWI525701B (en) Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US9972485B2 (en) Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
TWI648396B (en) Cleaning formulation for removing surface residues
US10790187B2 (en) Post-etch residue removal for advanced node beol processing
WO2015054460A1 (en) Removal composition for selectively removing hard mask
CN114258424A (en) Etching composition
CN113430060B (en) Tungsten compatible cleaning solution for removing hard mask, preparation method and application thereof
JP2023539628A (en) Amine oxide for etching, stripping, and cleaning applications