WO2015054460A1 - Removal composition for selectively removing hard mask - Google Patents

Removal composition for selectively removing hard mask Download PDF

Info

Publication number
WO2015054460A1
WO2015054460A1 PCT/US2014/059840 US2014059840W WO2015054460A1 WO 2015054460 A1 WO2015054460 A1 WO 2015054460A1 US 2014059840 W US2014059840 W US 2014059840W WO 2015054460 A1 WO2015054460 A1 WO 2015054460A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
ammonium
removal composition
mixture
tin
Prior art date
Application number
PCT/US2014/059840
Other languages
French (fr)
Inventor
Hua Cui
Original Assignee
E. I. Du Pont De Nemours And Company
Ekc Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/103,303 external-priority patent/US20150104952A1/en
Application filed by E. I. Du Pont De Nemours And Company, Ekc Technology Inc filed Critical E. I. Du Pont De Nemours And Company
Priority to US15/028,501 priority Critical patent/US10155921B2/en
Priority to JP2016522060A priority patent/JP6523269B2/en
Priority to CN201480055151.5A priority patent/CN105612599B/en
Priority to KR1020167012242A priority patent/KR102327432B1/en
Publication of WO2015054460A1 publication Critical patent/WO2015054460A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/28Acidic compositions for etching iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/34Alkaline compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/38Alkaline compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • C11D2111/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • compositions and methods for selectively removing hard mask and other residues from integrated circuit (IC) device substrates relate to compositions and methods for selectively removing hard mask and other residues from integrated circuit (IC) device substrates, and, more particularly, to compositions and methods useful for selectively removing TiN, TaN, TiNxOy, TiW,Ti and W hard mask, and hard masks comprising alloys of the foregoing, as well as other residues from such substrates comprising low-k dielectric materials, TEOS, copper, cobalt and other low-k dielectric materials, using a carboxylate compound.
  • IC integrated circuit
  • Plasma dry etching is commonly used to fabricate vertical sidewall trenches and anisotropic interconnecting vias in copper (Cu)/low-k dual damascene fabrication processes.
  • Cu copper
  • Low-k dual damascene fabrication processes As the technology nodes advance to 45 nm and smaller, the decreasing size of the semiconductor devices makes achieving critical profile control of vias and trenches more challenging.
  • Integrated circuit device companies are investigating the use of a variety of hard masks to improve etch selectivity to low-k materials and thereby gain better profile control.
  • the removal composition can also effectively etch the hard mask to form an intermediate morphology, e.g., a pulled-back/rounded morphology, or completely remove the hard mask.
  • a pulled-back/rounded morphology could prevent undercutting the hard mask, which, in turn, could enable reliable deposition of barrier metal, Cu seed layer and Cu filling.
  • fully removing the hard mask using the same composition could offer numerous benefits to
  • CMP chemical mechanical polishing
  • removal (cleaning) processes are required to remove residues of the plasma etch, photoresist, oxidizer, abrasive, metal and/or other liquids or particles that remain and which can contaminate the surface of the device if they are not effectively removed.
  • Fabrication of advanced generation devices that require copper conductors and low-k dielectric materials typically carbon-doped silicon oxide (SiOCH), or porous low-k materials) give rise to the problem that both materials can react with and be damaged by various classes of prior art cleaners.
  • Low-k dielectrics may be damaged in the removal process as evidenced by etching, changes in porosity/size, and ultimately changes in dielectric properties.
  • Time required to remove residues depends on the nature of the residue, the process (heating, crosslinking, etching, baking, and/or ashing) by which it was created, and whether batch or single wafer removal processes can be used. Some residues may be cleaned in a very short period of time, while some residues require much longer removal procedures. Compatibility with both the low-k dielectric and with the copper conductor over the duration of contact with the removal composition is a desired characteristic.
  • TiN, TaN, TiNxOy, TiW, Ti, and/or W are used as an hard mask in the formation of vias and trenches to gain high selectivity to low-k dielectric materials during dry etching steps.
  • Effective removal compositions are required that can selectively remove the TiN, TaN, TiNxOy, TiW, Ti or W, be compatible with low-k materials, copper, cobalt and other dielectric materials, and also simultaneously remove unwanted etching residues and Cu oxide from the resulting dual damascene structure. Beyond selective removal, it is also highly desirable that the achievable removal rate of a hard mask (A min) for the removal composition be maintained substantially constant for an extended period of time.
  • the present disclosure relates to an improved semiconductor processing composition, i.e., a wet cleaning chemistry or removal composition, with one or more carboxylates which provides highly selective removal of hard mask from a dual damascene structure without damaging wiring metallurgy and dielectric materials.
  • the removal composition can remove hard mask etch residues, photoresist, polymeric materials, and copper oxide from via and trench surfaces without damaging underlying layers that form the structure.
  • the semiconductor substrates typically comprise copper, cobalt , a Low-k dielectric material(s), SiON, SiCN, TEOS and a hard mask selected from TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W.
  • the removal composition comprises 0.1 wt% to 90 wt% at least one oxidizing agent, 0.0001 wt% to 50 wt% of a carboxylate, with the balance up to 100 wt% of the removal composition comprising water, e.g., deionized water.
  • Figs. 1A and 1 B are cross-sectional SEM images of semiconductor wafer segments which show trenches and vias, respectively, during dual damascene device fabrication, but prior to contact with the removal composition of the invention.
  • Figs. 2A and 2B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1 A and 1 B after contact with removal compositon 1 from Table 1 at 50°C for 90 sec.
  • Figs. 3A and 3B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1 A and 1 B after contact with removal compositon 2 from Table 1 at 50°C for 90 sec.
  • Figs. 4A and 4B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1 A and 1 B after contact with removal compositon 3 from Table 1 at 53° Detailed C for 90 sec.
  • any composition is expressed as the amount of various components which, when added together, form the composition. Unless specifically stated otherwise, any composition given in percent is percent by weight (wt%) of that component that has been added to the composition.
  • wt% percent by weight
  • the dual damascene process is used to form metal interconnects in the backend metallization, which are then used to electrically
  • interconnect various electrical components in a semiconductor substrate into functional circuits are interconnect various electrical components in a semiconductor substrate into functional circuits.
  • backend metallization which comprises fabrication of multiple levels, or layers, of metal interconnects isolated by an interlayer dielectric layer(s) and/or barrier layer(s) can be found, for example, in U.S. Patent No. 8,080,475, the teachings of which are incorporated herein in their entirety by reference.
  • the described and claimed inventive concept(s) reside in the discovery that selective removal of hard mask from semiconductor substrate wherein said hard mask is in overlapping relationship with a low-k dielectric material can be accomplished by incorporating into the removal composition an effective amount of from 0.0001 wt% up to 50 wt% of a carboxylate. In a preferred embodiment, the concentration of
  • carboxylate is from 0.001 wt% up to 10 wt%. Another advantage is the addition of a carboxylate increases the etch rate of a hard mask selected from TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W.
  • Yet another advantage resides in the discovery that ammonium carboxylates in combination with CDTA in removal composition of the present disclosure increase etch rate as well as stabilize the etch rate. And yet another advantage resides in the discovery that ammonium ethylenediaminetetraacetic acids not only increase the etch rate but also stabilize the etch rate.
  • the chemicals in a removal composition for removing a metal hard mask can decompose over time.
  • the term "stabilize” or “stabilized” is used herein to mean that the achievable etch rate for a hard mask remains substantially constant over an extended period of time, e.g., a time period of from twenty two (22) hours and up to thirty five (35) hours or longer at the selected operating temperature.
  • the etch rate of the removal composition after for 2, 4, or 8 hrs of use is substantially the same after 20, 24 or 35 hrs of use.
  • substantially constant is intended to mean that decomposition over time is minimized or less than if ammonium ethylenediaminetetraacetic acids or a combination of ammonium carboxylate(s) and amino acid, amine polycarboxylic acid, carboxylic acid or polycarboxylic acid chelating agent were not used in the removal composition.
  • the etch rate does not decrease as much as when ammonium ethylenediaminetetraacetic acids or a combination of ammonium carboxylate(s) and amino acid, amine polycarboxylic acid, carboxylic acid or polycarboxylic acid chelating agent were not used.
  • Low-k dielectric material is any material used as a dielectric material in a semiconductor substrate or any microelectrinic device where in the dielectric constant is less than 3.5.
  • useful Low-k dielectric materials include but are not limited to: silicon dioxide (Si0 2 ), carbon-doped silicon oxide (SiOCH), low-polarity materials such as organic polymers, hybrid organic, inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • Si0 2 silicon dioxide
  • SiOCH carbon-doped silicon oxide
  • low-polarity materials such as organic polymers
  • hybrid organic, inorganic materials hybrid organic, inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • OSG organosilicate glass
  • CDO carbon-doped oxide
  • carboxylate is used herein to mean the general formula M(RCOO)n, where M is a metal and n is 1 ,2,... is the number of carboxylate esters within the compound having the general formula RCOOR', wherein R and R' are organic groups with the proviso that R' ⁇ H.
  • M is replaced with NH4+.
  • the removal composition of the present disclosure selectively removes a hard mask from a semiconductor substrate.
  • the hard mask consists essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W.
  • the removal composition selectively removes the hard mask relative to the low-k dielectric material of a semiconductor substrate.
  • the removal composition comprises:
  • the presence of a carboxylate in the removal composition increases the etch rate of a metal hard mark at least 8% when compared to the same removal composition with out a carboxylate and in some embodiments, at least 39% or 43% or 50% or 60% or 75 or 80%.
  • the carboxylate is selected from the group consisting of potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, potassium L-Lactate and mixtures thereof.
  • the carboxylate is an ammonium carboxylate.
  • the ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium
  • ethylenediaminetetraacetic acid triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid, ammonium succinate, ammonium formate, ammonium 1 -H-pyrazole-3-carboxylate and mixtures thereof.
  • the carboxylate is present in an amount from 0.0001 wt% to 50wt% based on the total weight of the removal composition. In some embodiments, the carboxylate is present in an amount from 0.0001 wt% to 25wt% based on the total weight of the removal composition. In some embodiments, the carboxylate is present in an amount from 0.0001 wt% to 10wt% based on the total weight of the removal composition. In another embodiment, the carboxylate is present in an amount from 0.0001 wt% to 0.6 wt% based on the total weight of the removal composition. In another embodiment, the carboxylate is present in an amount from 0.001 wt% to 50wt% based on the total weight of the removal composition.
  • the carboxylate is present in an amount from 0.001 wt% to 10wt% based on the total weight of the removal composition. In yet another embodiment, the carboxylate is present in an amount from 0.2 to 0.5 wt% based on the total weight of the removal composition
  • the ammonium carboxylate is present in an amount from 0.0001 wt% to 50wt% based on the total weight of the removal composition. In some embodiments, the ammonium carboxylate is present in an amount from
  • the ammonium carboxylate is present in an amount from 0.0001 wt% to 10wt% based on the total weight of the removal composition. In another embodiment, the ammonium carboxylate is present in an amount from 0.0001 to 0.6 wt% based on the total weight of the removal composition. In yet another embodiment, the ammonium carboxylate is present in an amount from 0.001 wt% to 50wt% based on the total weight of the removal composition. In another embodiment, the ammonium carboxylate is present in an amount from 0.001 wt% to 10wt% based on the total weight of the removal composition. In yet another embodiment, the ammonium carboxylate is present in an amount from 0.2 to 0.5 wt% based on the total weight of the removal composition
  • the ammonium carboxylate is an ammonium
  • ethylenediaminetetraacetic acid or mixture thereof ethylenediaminetetraacetic acid or mixture thereof.
  • ammonium ethylenediaminetetraacetic acids in the removal compositions of this disclosure not only increased the etch rate of the hard mask but also operates to stabilize the achievable etch rate over an extended period of time (up to at least 22 hours and in some embodiments up to at least 35 hours).
  • the ammonium ethylenediaminetetraacetic acids are selected from the group consisting of ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid and mixtures thereof.
  • ammonium ethylenediaminetetraacetic acids stabilize etch rate. In some embodiments, ammonium ethylenediaminetetraacetic acids stabilize TiN etch rate. In some embodiments, ammonium ethylenediaminetetraacetic acids stabilize TiN etch rate such that TiN etch rate at 50 °C does not drop more than 20% or 45
  • the ammonium carboxylate is tetraammonium
  • ethylenediaminetetraacetic acid ethylenediaminetetraacetic acid.
  • tetraammonium ethylenediaminetetraacetic acid.
  • ethylenediaminetetraacetic acid stabilizes the TiN etch rate such that TiN etch rate at 50 °C which does not drop more than 20% or 45 A/min at 35 hours.
  • TiN etch rate at 50 °C drops 60% or 86 A/min at 35 hours.
  • Oxidizing agents useful according to the inventive concept(s) are selected from any substance which has the capability to chemically react with the hard mask and effect its removal.
  • the removal composition oxidizing agent is selected from the group consisting of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4CIO2), ammonium chlorate (NH4CIO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4CIO4), ammonium periodate (NH4IO3), ammonium persulf
  • H2O2 is a most preferred oxidizing agent being low concentration of metals and providing ease of handling and lower relative cost.
  • the removal composition comprises from 0.1 wt% to 90 wt% of an oxidizing agent. In another embodiment, the removal composition comprises from 0.1 wt% to 24 wt% of an oxidizing agent. In another embodiment, the removal composition comprises from 3 wt% to 24 wt% of an oxidizing agent.
  • the removal composition may also include an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof.
  • an amino acid, amine polycarboxylic acid i.e., aminopolycarboxylic acid
  • carboxylic acid i.e., aminopolycarboxylic acid
  • polycarboxylic acid chelating agent i.e., aminopolylic acid
  • polycarboxylic acid i.e., aminopolycarboxylic acid
  • carboxylic acid i.e., aminopolycarboxylic acid
  • the removal composition includes 0.0005 wt% to 20 wt% of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof.
  • the removal composition includes 0.001 wt% to 20 wt% of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 10 wt% of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 10 wt% of an amino acid, amine
  • polycarboxylic acid i.e., aminopolycarboxylic acid
  • carboxylic acid i.e., aminopolycarboxylic acid
  • the removal composition includes 0.001 wt% to 5 wt% of an amino acid, amine
  • polycarboxylic acid i.e., aminopolycarboxylic acid
  • carboxylic acid i.e., aminopolycarboxylic acid
  • the removal composition includes 0.001 wt% to 1 wt% of an amino acid, amine
  • polycarboxylic acid i.e., aminopolycarboxylic acid
  • carboxylic acid i.e., aminopolycarboxylic acid
  • the removal composition includes 0.001 wt% to 0.607 wt% of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid,
  • polycarboxylic acid chelating agent or a mixture thereof.
  • chelating agents include, but are not limited to, 1 ,2- cyclohexanediamine-N, N, N', N'-tetraacetic acid (CDTA); ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10- tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid
  • CDTA 1 ,2- cyclohexanediamine-N, N, N', N'-tetraacetic acid
  • CDTA ethylenediaminetetraacetic acid
  • nitrilotriacetic acid diethylene triamine pentaacetic acid
  • 1 ,4,7,10- tetraazacyclododecane-1 ,4,7,10-tetraacetic acid ethylene glycol tetraacetic acid
  • EGTA 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid
  • HEDTA ethylenediamine- N,N'-bis(2-hydroxyphenylacetic acid)
  • DOCTA dioxaoctamethylene dinitrilo tetraacetic acid
  • TTHA triethylenetetraamine hexaacetic acid
  • the addition of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid to a removal composition having an ammonium carboxylate stabilizes the TiN etch rate up to at least 35hrs.
  • the etch rate at 50°C of a removal composition having ammonium carboxylate without 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid may decrease 48% or even 54% after 35 hours.
  • the ammonium carboxylate removal composition TiN etch rate at 50°C decreases 8% or less and in one embodiment decreases 0.4 %.
  • 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid can be added to the removal composition.
  • the amount of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid can be tailored to achieve the desired stability.
  • 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.0005 to 20 wt% based on the total weight percent of the removal composition. In one embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'- tetraacetic acid is present in an amount from 0.0005 to 10 wt% based on the total weight percent of the removal composition. In one embodiment, 1 ,2- cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 to 10 wt% based on the total weight percent of the removal composition.
  • 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 to 5 wt%. In another embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 to 1 wt%. In another embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 wt% to 0.607 wt%.
  • a removal composition comprising
  • the balance up to 100 wt% of the removal composition comprising deionized water stabilize the etch rate up to at least 35 hours.
  • stabilize TiN etch rate up to at least 35 hours.
  • stabilize TiN etch rateup to at least 35 hours at a selected operating temperature is from 20 to 60°C. In another embodiment, the selected operating temperature is between and including any two of the following temperature: 20, 30, 45, 50, 53 and 60°C.
  • the addition of a chelating agent stabilizes the TiN etch rate such that TiN etch rate at 50 °C does not drop more than 23 A min at 24 hours. In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN hard mask etch rate at 50 °C does not drop more than 22.5 A min at 24 hours. In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN etch rate at 50 °C does not drop more than 20.5 A min at 24 hours. In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN etch rate at 50 °C does not drop more than 1 1 A/min at 24 hours.
  • At least one corrosion inhibitor may also be present in the removal composition, for example, where the removal composition is to be deployed in semiconductor processing at BEOL applications and other applications where corrosion of copper or other metal components is a concern.
  • the presence of a corrosion inhibitor is needed to protect metal surfaces from being etched or otherwise degraded.
  • a corrosion inhibitor(s) is not generally needed, i.e., copper or colbalt, is not exposed to the removal chemistry, copper or colbalt is absent from the wafer substrate, or slight etching/degradation of copper or cobalt surfaces is not usually a concern.
  • the metal (copper or colbalt) corrosion inhibitor is an organic compound, such as an azole, thiol, and/or indole preferably selected from the group consisting of a heterocyclic compound containing at least one nitrogen atom, such as, for example, a pyrrole and derivatives thereof, pyrazole and derivatives thereof, imidazole and derivatives thereof, triazole and derivatives thereof, indazole and derivatives thereof, and thiol-triazole and derivatives thereof, benzotriazole (BTA), tolyltriazole, 5-phenyl- benzotriazole, 5-nitro-benzotriazole, 3-amino-5 -mercapto-1 ,2,4-triazole, 1 -amino-1 ,2,4- triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1 -amino-1 ,2,3-triazole, 1 -amino-5-methyl-1 ,
  • benzotriazole, pyrazole, or a mixture of benzotriazole and pyrazole, or a mixture of benzotriazole and tolyltriazole are preferred copper corrosion inhibitors for better removal performance.
  • the copper or cobalt corrosion inhibitor or mixture thereof may be present in the composition at from 0.0001 wt% to 50 wt%. In another embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.0001 wt% to 10 wt%. In some embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.5 to 0.9 wt%. I In some embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.18 to 0.8 wt%. In another embodiment, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.18 to 0.65 wt%. Other suitable copper or cobalt corrosion inhibitors include, but are not limited to aromatic hydrazides and Schiff base compounds.
  • the composition can contain one or more cosolvents that are miscible with water.
  • Cosolvents enhance residue removal.
  • Suitable cosolvents include, but are not limited to, sulfolane, N-methylpyrrolidone, and dimethylsulfoxide. pH adjustment
  • the composition may also include a base or an acid, as appropriate, to adjust the pH of the working composition.
  • the base can, for example, be selected from the group consisting of quaternary ammonium salts, such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH) and mixtures thereof.
  • the base can also be selected from the group consisting of primary, secondary and tertiary amines, such as, for example, monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof.
  • the base can be a combination of quaternary ammounium salts and amines.
  • Suitable acids include, for example, are selected from the group consisting of inorganic acids, such as sulfuric acid, nitric acid, phosphoric acid, hydrofluoric acid (HF), or hydrobromic acid; organic acids, such as a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, or a mixture of such acids.
  • the pH of the working composition should be maintained at a value of from 2 to 14, but preferably in the range of from 3 to 12. As noted above, when used in BEOL Cu interconnect fabrication applications, the preferred pH of the working composition is in the range of from 5 to 1 1 when hydrogen peroxide is used as oxidizer in order to achieve high etch rates.
  • aminopolycarboxylic acid and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof;
  • aminopolycarboxylic acid and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof;
  • aminopolycarboxylic acid and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof;
  • the carboxylate is an ammonium carboxylate.
  • the ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium
  • ethylenediaminetetraacetic acid diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium
  • kits including one or more containers comprising one or more components adapted to form the removal composition.
  • the kit includes in one or more containers, comprising at least one carboxylate and deionized water for combining with an oxidizing agent at the point or fabrication or the point of use.
  • the kit includes in one or more containers, comprising at least one carboxylate; deionized water; at least one copper corrosion inhibitor; and optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use.
  • the kit includes in one or more containers, comprising at least one carboxylate; deionized water; at least one amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent; and optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use.
  • amine polycarboxylic acid i.e., aminopolycarboxylic acid
  • carboxylic acid polycarboxylic acid chelating agent
  • optionally comprising a base, a acid or mixture thereof for adjusting the pH and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use.
  • the kit includes in one or more containers, comprising at least one carboxylate; deionized water; at least one copper corrosion inhibitor; at least one amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent; optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use.
  • amine polycarboxylic acid i.e., aminopolycarboxylic acid
  • carboxylic acid polycarboxylic acid chelating agent
  • optionally comprising a base, a acid or mixture thereof for adjusting the pH optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use.
  • Removal composition is applied in any suitable manner to the semiconductor substrate.
  • Contact or contacting the semiconductor substrate is intended to include spraying, dipping, using a pad or applicator that has the removal composition absorbed thereon or any other suitable manner of contacting the semiconductor substrate with a removal composition.
  • the method for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti or W, relative to underlying Low-k, Cu, Co, SiON, SICN, and TEOS materials from a
  • the method comprises contacting the semiconductor substrate with a removal composition comprising:
  • ammonium carboxylate selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid, ammonium succinate,
  • an ammonium carboxylate selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenedi
  • ammonium formate ammonium 1 -H-pyrazole-3-carboxylate and mixtures thereof;
  • the removal composition may additionally comprise at least one metal corrosion inhibitor. In some embodiments in accordance with any of the above methods, the removal composition may additionally comprise from 0.001 wt% to 20 wt% of an amino acid, an
  • aminopolycarboxylic acid a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine
  • the removal composition may additionally comprise at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary
  • ammonium salts primary amines, secondary amines, tertiary amines; and wherein the acid is selected from the group consisting of inorganic acids, organic acids or mixtures thereof.
  • the removal composition may additionally comprise at least one base at least one acid or mixture thereof, wherein the base is selected from tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA),
  • TMAH tetramethylammonium hydroxide
  • TEAH tetraethylammonium hydroxide
  • BTAH benzyltrimethylammonium hydroxide
  • MEA monoethanol amine
  • DGA diglycol amine
  • TAA triethanolamine
  • TBPH tetrabutyphosphonium hydroxide
  • the acid is selected from the group consisting of inorganic acids, organic acids or mixtures thereof.
  • the method for removing a hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W from a
  • the method comprising contacting the semiconductor substrate with a removal composition comprising:
  • the method additionally comprises the heating the removal composition up to 60°C. Heating the remoaval composition can occur prior to contacting the semiconductor substrate or after. In some embodiments, the method comprises contacting the semiconductor substrate with a removal composition for at least 2 minutes at a temperature from 20 to 45, 50, 53 or 60°C. In some embodiments, the method comprises contacting the semiconductor substrate with a removal compositionfor at least 2 minutes at a temperature up to 60°C.
  • a composition formulated according to the present disclosure and exhibiting an inherently high etch rate for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, enables processing at relatively low temperature, e.g., temperatures less than 65°C.
  • a relatively low temperature process exhibits a reduced oxidizer decomposition rate, which, in turn, extends the useful composition bath life and pot life.
  • compositions according to the invention which exhibit high and selective etch rates for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W are desirable because they can reduce device processing time and thereby increase throughput.
  • Compositions according to the invention can effectively deliver high etch rates for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, with single wafer tool applications at a temperature range of from 20°C to 60°C, and the TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, hard mask can be fully removed with single wafer application process equipment if so desired.
  • the removal composition is at a temperature from 20 to 45, 50, 53 or 60°C and at a pH from 2 to 14. In some embodiments, the removal composition is at a temperature from 20 to 45, 50, 53 or 60°C and at a pH from 5 to 12. In some embodiments, the removal composition is at a temperature from 20, 30 or 45 to 50, 53 or 60°C and at a pH from 2 to 14.
  • the removal composition is at a temperature from 20, 30 or 45 to 50, 53 or 60°C and at a pH from 5 to 12.
  • the removal composition has an etch rate that is stabilized up to at least 35 hours at a selected operating temperature.
  • the selected operating temperature is from 20 to 45, 50, 53 or 60°C.
  • the concentration of ammonium carboxylate is from 0.001 wt% up to 50 wt%.
  • compositions of the invention are effective in selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and/or W, relative to Low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate comprising said low-k dielectric material and having a TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and/or W, hard mask thereon.
  • the composition is also functional in simultaneously removing photoresist, polymeric materials, etching residues and copper oxide from the substrate.
  • compositions and method according to the inventive concepts described herein are particularly applicable for processing single wafers in single wafer equipment.
  • a high TiN etch rate is required, a common approach is to process wafers at high process temperatures.
  • higher temperatures are known to contribute to degradation of the oxidizing agent which shortens bath life and pot life. It has been observed according to the inventive concepts described herein that satisfactory results can be achieved at substantially lower temperatures in the range of from 20°C to 60°C to generate a pullback scheme or to completely remove the hard mask when the hard mask comprises TiN.
  • compositions of the invention may be embodied in a wide variety of specific
  • composition and that in instances where such components are present, they may be present at concentrations as low as 0.0001 wt %, based on the total weight of the composition in which such components are employed.
  • 100 g. samples of removal compositions were prepared according to the inventive concept(s) described herein. Each sample composition comprised each of the components listed in the various tables which follow at the weights shown in the corresponding formulation row.
  • a 100 g. quantity of sample composition designated "1 " shown in Table 1 contained 2 g. of 10% aqueous ammonium tartrate, 7.21 g. of 10% aqueous DGA, 12.43 g. of 1 .5% aqueous BTA, 60 g. H2O2 (30% aqueous), and 18.36 g. deionized water (DIW).
  • DIW deionized water
  • the removal compositions can be formulated at the point of use, or they can be conveniently formulated beforehand without an oxidizer and then taken to the point of use where the oxidizer is added. There is also no particular sequence for mixing or blending the various ingredients.
  • Blank wafers for determining etch rate were purchased as follows:
  • Etch rate evaluations were carried out after 1 and 2 minutes of chemical treatment for TiN and 10 minutes for Cu, Co, W, and TEOS at the temperature noted in each example.
  • TiN, Cu, Co, and W thicknesses were measured using a Four
  • compositions shown in Table 1 were prepared using deionized water as the solvent, BTA or a mixture of BTA and pyrazole as Cu corrosion inhibitor, H 2 O2 as the oxidizing agent, and diglycolamine (DGA) or benzyltrimethylammonium hydroxide (BTAH) as the base to adjust pH.
  • DGA diglycolamine
  • BTAH benzyltrimethylammonium hydroxide
  • Compositions 1 , 2 and 3 demonstrated a removal rate for TiN in the range of from 178 A min up to 340 A/min at a relatively low temperature in the range of from 50°C to 53°C. A copper etch rate of less than 3 A/min is considered good for commercial wafer processing.
  • Figs. 1 A and 1 B are SEM images of semiconductor wafer segments which show trenches and vias, respectively, as received following a dual damascene fabrication step, but before treatment with a removal compositon.
  • Figs. 2A and 2B are views of the wafer segments, similar to the wafer segments shown in Figs. 1A and 1 B, after contact with removal composition 1 for 90 sec. at a
  • Figs. 3A and 3B are views of wafer segments, similar to the wafer segments shown in Figs. 1 A and 1 B, after contact with removal composition 2 for 90 sec. at a temperature of 50°C wherein TiN hard mask and residue have been
  • FIGs. 4a and 4B are views of wafer segments, similar to the wafer segments shown in Figs. 1 A and 1 B, after contact with removal composition 3 for 90 sec. at a temperature of 53°C. TiN hard mask and residue have been completely removed.
  • compositions shown in Table 2 were prepared using deionized water as the solvent, BTA as Cu corrosion inhibitor, H2O2 as the oxidizing agent, and
  • TMAH tetramethylammonium hydroxide
  • composition 4 that did not contain an ammonium carboxylate.
  • the formulations shown in Table 4 were prepared using DGA to adjust the pH, and BTA was used as the copper corrosion inhibitor.
  • TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 13, that did not contain an ammonium carboxylate.
  • Table 5 The formulations shown in Table 5 were prepared using TMAH to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 17, that did not contain an ammonium carboxylate. Table 5
  • BTAH benzyltrimethylammonium hydroxide
  • compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 21 , that did not contain an ammonium
  • the formulations shown in Table 7 were prepared using tetraethylammonium hydroxide (TEAH) to adjust the pH, and BTA was used as the copper corrosion inhibitor.
  • TEAH tetraethylammonium hydroxide
  • TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 25, that did not contain an ammonium carboxylate.
  • ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate and ammonium tartrate at concentrations of from 1 .46 wt % to less than 3 wt% operate to provide the removal compositions of the invention with the capability to deliver very high TiN etch rates at relatively low temperature, e.g., 50°C. It is noteworthy according to the described and claimed inventive concepts that none of the ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate or ammonium tartrate had significant effect on TEOS removal rate when compared to the control, composition 31 . Table 8
  • the formulations shown in Table 9 were prepared without the use of a pH adjustment agent.
  • the Cu corrosion inhibitor used was Wintrol A-90, a commercial mixture of BTA and tolyltriazole.
  • the desired TiN and Cu etch rates and pH were obtained by varying hydrogen peroxide and ammonium carboxylate concentrations. In these examples, several carboxylates in various concentrations were used. Hydrogen peroxide concentration was either 20 wt% or 80 wt%.
  • the formulation pH's ranged from a low of pH 4.3 up to pH 8.3, and the TiN etch rate, i.e., the removal rate, ranged from a low of 1 1 A min up to 228 A min.
  • Table 10 The formulations shown in Table 10 were prepared with tartaric acid, or TMAH, or without any pH adjustment agent. Wintrol A-90 was used as a Co corrosion inhibitor In these examples, several carboxylates in various concentrations were used.
  • Hydrogen peroxide concentration ranged from 10 wt% to 80 wt%.
  • the formulation pH ranged from a low of pH 5 up to pH 10.
  • the Co etch rate was insignificant in all cases (i.e., the highest Co etch rate was 1 .48 A min ).
  • the formulations shown in Table 12 were prepared using TMAH to adjust the pH, and BTA was used as copper corrosion inhibitor.
  • Carboxylates used were potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, and
  • compositions 56, 57 and 58 were prepared in compositions 56, 57 and 58, respectively. Each of these compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 55, that did not contain a carboxylate.
  • Table 15 The formulations shown in Table 15 were prepared, and W (tungsten) etch rate evaluations were carried out at temperatures of 45°C and 55°C as described above in connection with TiN removal. Table 15
  • Table 15 demonstrates the presence of ammonium carboxylate at a
  • concentration of 1 .172 wt% to 3 wt% and at a pH ranging from about 4 to slightly higher than 1 1 was shown to significantly increase the W removal rate when compared to the corresponding ammonium carboxy late-free control compositions 66, 70 and 72 at the same pH.
  • Pot life is a measure of the ability of the removal composition formula to perform optimally over time and without significant variation in functionality over time. Pot life is a strong function of temperature. After many hours of treatment at high temperature, the chemicals in the mixture can decompose and the formula will lose functionality.
  • CDTA 1,2-cyclohexanediamine-N, N, ⁇ ', N'- tetraacetic acid
  • the data presented in Table 17 demonstrates that with CDTA in removal compositions 74 and 75, the TiN etch rate remained stable, i.e., substantialy constant, over a period of 22 hours.
  • the initial TiN etch rate was 157 A min, and it remained at 156 .4 A/min for composition 75 over a 22 hour period.
  • the initial TiN etch rate was 168.1 A/min and remained at 156.6 A/min over a 22 hour period.
  • the TiN etch rate declined from an initial etch rate of 219 A/min to an etch rate of 99.9 A/min at 22 hours.
  • the data presented in Table 19 demonstrates that with CDTA in removal compositions 77, the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hour.
  • the initial TiN etch rate was 174 A min, and it is at 160 A/min for composition 77 at 35 hour period.
  • the TiN etch rate declined from an initial etch rate of 212 A/min to an etch rate of 1 10 A/min at 35 hours.
  • ethylenediaminetetraacetic acid was selected as the ammonium carboxylate at a concentration of specified in Table 20.
  • 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid (CDTA) was selected as the aminopolycarboxylic acid chelating agent in
  • the data presented in Table 21 demonstrates that with CDTA in removal compositions 79, 80 and 81 , the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hours.
  • the initial TiN etch rate was 192 A min, and it is at 176 A/min for composition 79 over a 35 hour period.
  • the initial TiN etch rate was 181 A/min and is at 171 A/min over a 35 hour period.
  • the initial TiN etch rate was 167 A/min and is at 160 A/min over a 35 hour period.
  • Removal compositions were prepared according to the described and claimed inventive concept(s) wherein ammonium tartrate was selected as the ammonium carboxylate at a concentration of 0.3 wt%.
  • 1 ,2-cyclohexanediamine-N, N, ⁇ ', N'- tetraacetic acid (CDTA) was selected as the aminopolycarboxylic acid chelating agent in formulation 82 and 83.
  • the compositions are shown in Table 22. Samples were taken from the removal compositions at intervals of 0, 4, 8, 24, 28, 32 and 35 hours to measure TiN and Cu etch rates. Results are shown in Table 23.
  • the data presented in Table 23 demonstrates that with 0.001 % and 0.005% of CDTA in removal compositions 82 and 83, respectively, the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hours.
  • the initial TiN etch rate was 42 A/min, and it remained at 36 A/min for composition 82 over a 35 hour period(16.67% reduced TiN etch rate).
  • the initial TiN etch rate was 48 A/min and remained at 45 A/min over a 35 hour period(6.3% reduced TiN etch rate).
  • control formulation 84 without CDTA the initial TiN etch rate was 47 A/min, and it is at 30 A/min for over a 35 hour period which shows a 36% reduced TiN etch rate.
  • CDTA stabilizes TiN etch rate.
  • the formulations shown in Table 24 were prepared using TEAH to adjust the pH, and BTA was used as copper corrosion inhibitor. CDTA was used to stabilize TiN etch rate.
  • the data presented in Table 25 demonstrate that with 1 %, 2% and 3% CDTA in removal compositions 85, 86 and 87, respectively, the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hours.
  • the initial TiN etch rate was 170 A min, and it remained at 159 A/min for composition 85 at a 35 hour period.
  • the initial TiN etch rate was 170 A/min and remained at 158 A/min at 35 hour period.
  • the initial TiN etch rate was 178A/min and remained at 166 A/min at 35 hour period.
  • control formulation 88 without CDTA the initial TiN etch rate was 233 A/min, and it is at 136 A/min for over a 35 hour period.
  • ethylenediaminetetraacetic acid was used to stabilize the TiN etch rate.
  • Table 27 demonstrates that with tetraammoniunn ethylenediaminetetraacetate in removal composition 89, the TiN etch rate remained stable, i.e., remained
  • composition 90 without Tetraammonium
  • the etch rate dropped from an initial rate of 143 A/min to a rate of 57 A/min after 35 hours (60% TiN etch rate drop after 35 hours).
  • Tetraammonium ethylenediaminetetraacetate stabilizes TiN etch rate.
  • the formulations in Table 28 were prepared using DGA to adjust pH . BTA was used as copper corrosion inhibitor. The ammonium carboxylate selected was tetraammonium EDTA. The results shown in Table 28 indicate that Tetraammonium ethylenediaminetetraacetate in removal composition 81 exhibited a higher TiN etch rate when compared to the control, composition 82, which contained no ammonium carboxylate.
  • the initial TiN etch rate was 233 A/min, and it is 198 A/min at twenty eight (28) hour period.
  • the TiN etch rate dropped from an initial rate of 134 A min to a rate of 61 A min at 28 hours.

Abstract

The present disclosure relates to a removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate. The semiconductor substrate comprises a low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon. The removal composition comprises 0.1 wt% to 90 wt% of an oxidizing agent; 0.0001 wt% to 50 wt% of a carboxylate; and the balance up to 100 wt% of the removal composition comprising deionized water.

Description

TITLE
REMOVAL COMPOSITION FOR SELECTIVELY REMOVING HARD MASK
CROSS REFERENCE TO RELATED APPLICATIONS/INCORPORATION BY
REFERENCE STATEMENT
This application claims the benefit of U.S. provisional application serial number 61/889,968, filed October 1 1 , 2013, the entire contents of which are hereby
incorporated herein by reference.
BACKGROUND OF THE DISCLOSURE
The presently disclosed and claimed inventive concept(s) relates to compositions and methods for selectively removing hard mask and other residues from integrated circuit (IC) device substrates, and, more particularly, to compositions and methods useful for selectively removing TiN, TaN, TiNxOy, TiW,Ti and W hard mask, and hard masks comprising alloys of the foregoing, as well as other residues from such substrates comprising low-k dielectric materials, TEOS, copper, cobalt and other low-k dielectric materials, using a carboxylate compound.
Plasma dry etching is commonly used to fabricate vertical sidewall trenches and anisotropic interconnecting vias in copper (Cu)/low-k dual damascene fabrication processes. As the technology nodes advance to 45 nm and smaller, the decreasing size of the semiconductor devices makes achieving critical profile control of vias and trenches more challenging. Integrated circuit device companies are investigating the use of a variety of hard masks to improve etch selectivity to low-k materials and thereby gain better profile control.
In order to obtain high yield and low resistance, polymer residues on the sidewalls and the particulate/polymer residues at the via bottoms that are generated during etching must be removed prior to the next process step. It would be very beneficial if the removal composition (cleaning solution) can also effectively etch the hard mask to form an intermediate morphology, e.g., a pulled-back/rounded morphology, or completely remove the hard mask. A pulled-back/rounded morphology could prevent undercutting the hard mask, which, in turn, could enable reliable deposition of barrier metal, Cu seed layer and Cu filling. Alternatively, fully removing the hard mask using the same composition could offer numerous benefits to
downstream process steps, particularly chemical mechanical polishing (CMP), by eliminating a need for barrier CMP.
Following almost every step in the fabrication process, e.g., a planarization step, a photolithography step, or an etching step, removal (cleaning) processes are required to remove residues of the plasma etch, photoresist, oxidizer, abrasive, metal and/or other liquids or particles that remain and which can contaminate the surface of the device if they are not effectively removed. Fabrication of advanced generation devices that require copper conductors and low-k dielectric materials (typically carbon-doped silicon oxide (SiOCH), or porous low-k materials) give rise to the problem that both materials can react with and be damaged by various classes of prior art cleaners.
Low-k dielectrics, in particular, may be damaged in the removal process as evidenced by etching, changes in porosity/size, and ultimately changes in dielectric properties. Time required to remove residues depends on the nature of the residue, the process (heating, crosslinking, etching, baking, and/or ashing) by which it was created, and whether batch or single wafer removal processes can be used. Some residues may be cleaned in a very short period of time, while some residues require much longer removal procedures. Compatibility with both the low-k dielectric and with the copper conductor over the duration of contact with the removal composition is a desired characteristic.
During back-end-of-line (BEOL) IC fabrication processes, i.e., dual damascene processes, TiN, TaN, TiNxOy, TiW, Ti, and/or W (including alloys of Ti and W) are used as an hard mask in the formation of vias and trenches to gain high selectivity to low-k dielectric materials during dry etching steps. Effective removal compositions are required that can selectively remove the TiN, TaN, TiNxOy, TiW, Ti or W, be compatible with low-k materials, copper, cobalt and other dielectric materials, and also simultaneously remove unwanted etching residues and Cu oxide from the resulting dual damascene structure. Beyond selective removal, it is also highly desirable that the achievable removal rate of a hard mask (A min) for the removal composition be maintained substantially constant for an extended period of time.
With the continuing reduction in device critical dimensions and corresponding requirements for high production efficiency and reliable device performance, there is a need for such improved removal compositions.
SUMMARY
The present disclosure relates to an improved semiconductor processing composition, i.e., a wet cleaning chemistry or removal composition, with one or more carboxylates which provides highly selective removal of hard mask from a dual damascene structure without damaging wiring metallurgy and dielectric materials.
Semiconductor substrates of the type fabricated in dual damascene back end
metallization consist of multiple layers or levels of metal interconnects that are isolated by interlayer dielectrics (Low-k dielectric material). The removal composition can remove hard mask etch residues, photoresist, polymeric materials, and copper oxide from via and trench surfaces without damaging underlying layers that form the structure. The semiconductor substrates typically comprise copper, cobalt , a Low-k dielectric material(s), SiON, SiCN, TEOS and a hard mask selected from TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W. The removal composition comprises 0.1 wt% to 90 wt% at least one oxidizing agent, 0.0001 wt% to 50 wt% of a carboxylate, with the balance up to 100 wt% of the removal composition comprising water, e.g., deionized water.
BRIEF DESCRIPTION OF THE DRAWINGS
Figs. 1A and 1 B are cross-sectional SEM images of semiconductor wafer segments which show trenches and vias, respectively, during dual damascene device fabrication, but prior to contact with the removal composition of the invention. Figs. 2A and 2B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1 A and 1 B after contact with removal compositon 1 from Table 1 at 50°C for 90 sec.
Figs. 3A and 3B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1 A and 1 B after contact with removal compositon 2 from Table 1 at 50°C for 90 sec.
Figs. 4A and 4B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1 A and 1 B after contact with removal compositon 3 from Table 1 at 53° Detailed C for 90 sec.
DETAILED DESCRIPTION
It is recognized that various components of the compositions of this invention may interact, and, therefore, any composition is expressed as the amount of various components which, when added together, form the composition. Unless specifically stated otherwise, any composition given in percent is percent by weight (wt%) of that component that has been added to the composition. When the composition is described as being substantially free of a particular component, generally there are numeric ranges provided to guide one of ordinary skill in the art to what is meant by "substantially free," but in all cases "substantially free" encompasses the preferred embodiment where the composition is totally free of that particular component.
As noted briefly above, the dual damascene process is used to form metal interconnects in the backend metallization, which are then used to electrically
interconnect various electrical components in a semiconductor substrate into functional circuits. A discussion of backend metallization, which comprises fabrication of multiple levels, or layers, of metal interconnects isolated by an interlayer dielectric layer(s) and/or barrier layer(s) can be found, for example, in U.S. Patent No. 8,080,475, the teachings of which are incorporated herein in their entirety by reference. The
integration of new materials, such as ultra low-k dielectrics, into microelectronic devices places new demands on removal performance. Concurrently, shinking device dimensions reduces the tolerances for changes in critical dimensions for vias and trenches.
The described and claimed inventive concept(s) reside in the discovery that selective removal of hard mask from semiconductor substrate wherein said hard mask is in overlapping relationship with a low-k dielectric material can be accomplished by incorporating into the removal composition an effective amount of from 0.0001 wt% up to 50 wt% of a carboxylate. In a preferred embodiment, the concentration of
carboxylate is from 0.001 wt% up to 10 wt%. Another advantage is the addition of a carboxylate increases the etch rate of a hard mask selected from TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W.
Yet another advantage resides in the discovery that ammonium carboxylates in combination with CDTA in removal composition of the present disclosure increase etch rate as well as stabilize the etch rate. And yet another advantage resides in the discovery that ammonium ethylenediaminetetraacetic acids not only increase the etch rate but also stabilize the etch rate.
The chemicals in a removal composition for removing a metal hard mask can decompose over time. The term "stabilize" or "stabilized" is used herein to mean that the achievable etch rate for a hard mask remains substantially constant over an extended period of time, e.g., a time period of from twenty two (22) hours and up to thirty five (35) hours or longer at the selected operating temperature. For example, the etch rate of the removal composition after for 2, 4, or 8 hrs of use is substantially the same after 20, 24 or 35 hrs of use.
The term "substantially constant" is intended to mean that decomposition over time is minimized or less than if ammonium ethylenediaminetetraacetic acids or a combination of ammonium carboxylate(s) and amino acid, amine polycarboxylic acid, carboxylic acid or polycarboxylic acid chelating agent were not used in the removal composition. Thus, the etch rate does not decrease as much as when ammonium ethylenediaminetetraacetic acids or a combination of ammonium carboxylate(s) and amino acid, amine polycarboxylic acid, carboxylic acid or polycarboxylic acid chelating agent were not used. The term "selectively removing" is intended to mean removing the hard mask without damaging the underlying metal conductor layer (metal interconnect) and Low-k dielectric material. Low-k dielectric material is any material used as a dielectric material in a semiconductor substrate or any microelectrinic device where in the dielectric constant is less than 3.5. Examples of useful Low-k dielectric materials include but are not limited to: silicon dioxide (Si02), carbon-doped silicon oxide (SiOCH), low-polarity materials such as organic polymers, hybrid organic, inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass. The incorporation of porosity, i.e. air-filled pores, in these materials further lowers the dielectric constant of the material.
Carboxylate
The term "carboxylate" is used herein to mean the general formula M(RCOO)n, where M is a metal and n is 1 ,2,... is the number of carboxylate esters within the compound having the general formula RCOOR', wherein R and R' are organic groups with the proviso that R'≠H. When chemistries of the type described herein are used in electronic device fabrication, such as fabricating IC devices, it is preferable not to have any metal impurities in the chemical composition. In such cases, M is replaced with NH4+. The removal composition of the present disclosure selectively removes a hard mask from a semiconductor substrate. The hard mask consists essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W. The removal composition selectively removes the hard mask relative to the low-k dielectric material of a semiconductor substrate. The removal composition comprises:
(a) from 0.1 wt% to 90 wt% of an oxidizing agent;
(b) from 0.0001 wt% to 50 wt% of a carboxylate; and
(c) the balance up to 100 wt% of the removal composition comprising deionized water.
The presence of a carboxylate in the removal composition increases the etch rate of a metal hard mark at least 8% when compared to the same removal composition with out a carboxylate and in some embodiments, at least 39% or 43% or 50% or 60% or 75 or 80%. In some embodiments, the carboxylate is selected from the group consisting of potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, potassium L-Lactate and mixtures thereof.
In some embodiments, the carboxylate is an ammonium carboxylate. In some embodiments, the ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium
ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid, ammonium succinate, ammonium formate, ammonium 1 -H-pyrazole-3-carboxylate and mixtures thereof.
The carboxylate is present in an amount from 0.0001 wt% to 50wt% based on the total weight of the removal composition. In some embodiments, the carboxylate is present in an amount from 0.0001 wt% to 25wt% based on the total weight of the removal composition. In some embodiments, the carboxylate is present in an amount from 0.0001 wt% to 10wt% based on the total weight of the removal composition. In another embodiment, the carboxylate is present in an amount from 0.0001 wt% to 0.6 wt% based on the total weight of the removal composition. In another embodiment, the carboxylate is present in an amount from 0.001 wt% to 50wt% based on the total weight of the removal composition. In another embodiment, the carboxylate is present in an amount from 0.001 wt% to 10wt% based on the total weight of the removal composition. In yet another embodiment, the carboxylate is present in an amount from 0.2 to 0.5 wt% based on the total weight of the removal composition
In yet another embodiment, the ammonium carboxylate is present in an amount from 0.0001 wt% to 50wt% based on the total weight of the removal composition. In some embodiments, the ammonium carboxylate is present in an amount from
0.0001 wt% to 25wt% based on the total weight of the removal composition. In some embodiments, the ammonium carboxylate is present in an amount from 0.0001 wt% to 10wt% based on the total weight of the removal composition. In another embodiment, the ammonium carboxylate is present in an amount from 0.0001 to 0.6 wt% based on the total weight of the removal composition. In yet another embodiment, the ammonium carboxylate is present in an amount from 0.001 wt% to 50wt% based on the total weight of the removal composition. In another embodiment, the ammonium carboxylate is present in an amount from 0.001 wt% to 10wt% based on the total weight of the removal composition. In yet another embodiment, the ammonium carboxylate is present in an amount from 0.2 to 0.5 wt% based on the total weight of the removal composition
In some embodiments, the ammonium carboxylate is an ammonium
ethylenediaminetetraacetic acid or mixture thereof. The presence of ammonium ethylenediaminetetraacetic acids in the removal compositions of this disclosure not only increased the etch rate of the hard mask but also operates to stabilize the achievable etch rate over an extended period of time (up to at least 22 hours and in some embodiments up to at least 35 hours).
In some embodiments, the ammonium ethylenediaminetetraacetic acids are selected from the group consisting of ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid and mixtures thereof.
In one embodiment, ammonium ethylenediaminetetraacetic acids stabilize etch rate. In some embodiments, ammonium ethylenediaminetetraacetic acids stabilize TiN etch rate. In some embodiments, ammonium ethylenediaminetetraacetic acids stabilize TiN etch rate such that TiN etch rate at 50 °C does not drop more than 20% or 45
A min at 35 hours. The etch rate at 50°C of a removal composition without the addition of an ammonium ethylenediaminetetraacetic acid, drops 60% or 86 A/min at 35 hours.
In some embodiments, the ammonium carboxylate is tetraammonium
ethylenediaminetetraacetic acid. In some embodiments, tetraammonium
ethylenediaminetetraacetic acid stabilizes the TiN etch rate such that TiN etch rate at 50 °C which does not drop more than 20% or 45 A/min at 35 hours. For a removal composition without tetraammonium ethylenediaminetetraacetic acid, the TiN etch rate at 50 °C drops 60% or 86 A/min at 35 hours.
Oxidizing Agent Oxidizing agents useful according to the inventive concept(s) are selected from any substance which has the capability to chemically react with the hard mask and effect its removal. The removal composition oxidizing agent is selected from the group consisting of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4CIO2), ammonium chlorate (NH4CIO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4CIO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)CIO2), tetramethylammionium chlorate ((N(CH3)4)CIO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3),
tetramethylammonium perchlorate ((N(CH3)4)CIO4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8),
((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), and mixtures thereof. Among the foregoing, H2O2 is a most preferred oxidizing agent being low concentration of metals and providing ease of handling and lower relative cost.
In one embodiment, the removal composition comprises from 0.1 wt% to 90 wt% of an oxidizing agent. In another embodiment, the removal composition comprises from 0.1 wt% to 24 wt% of an oxidizing agent. In another embodiment, the removal composition comprises from 3 wt% to 24 wt% of an oxidizing agent.
Acids/Chelating agents
The removal composition may also include an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof. The presence of an amino acid, amine
polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid,
polycarboxylic acid chelating agent, or a mixture thereof in combination with an ammonium carboxylate or mixtures of ammonium carboxylates, was observed to stabilize the etch rate up to at least 22 hours or even up to 35 hours. In some embodiments, the removal composition includes 0.0005 wt% to 20 wt% of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof. In some embodiments, the removal composition includes 0.001 wt% to 20 wt% of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 10 wt% of an amino acid, amine
polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid,
polycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 5 wt% of an amino acid, amine
polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid,
polycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 1 wt% of an amino acid, amine
polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid,
polycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 0.607 wt% of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid,
polycarboxylic acid chelating agent, or a mixture thereof.
Examples of such chelating agents include, but are not limited to, 1 ,2- cyclohexanediamine-N, N, N', N'-tetraacetic acid (CDTA); ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10- tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid
(EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N-{2- [bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); ethylenediamine- N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid (DOCTA); and triethylenetetraamine hexaacetic acid (TTHA).
The addition of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid to a removal composition having an ammonium carboxylate stabilizes the TiN etch rate up to at least 35hrs. The etch rate at 50°C of a removal composition having ammonium carboxylate without 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid may decrease 48% or even 54% after 35 hours. Whereas if 0.2 to 0.8 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is added the the ammonium carboxylate removal composition TiN etch rate at 50°C decreases 8% or less and in one embodiment decreases 0.4 %.
When stability of a removal composition having an ammonium carboxylate is important, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid can be added to the removal composition. The amount of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid can be tailored to achieve the desired stability.
In one embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.0005 to 20 wt% based on the total weight percent of the removal composition. In one embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'- tetraacetic acid is present in an amount from 0.0005 to 10 wt% based on the total weight percent of the removal composition. In one embodiment, 1 ,2- cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 to 10 wt% based on the total weight percent of the removal composition. In another embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 to 5 wt%. In another embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 to 1 wt%. In another embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 wt% to 0.607 wt%.
In some embodiments, a removal composition comprising
(a) 0.1 wt% to 90 wt% at least one oxidizing agent,
(b) 0.0001 wt% to 50 wt% of an ammonium carboxylate;
(c) 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10- tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N-{2- [bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA); and
(d) the balance up to 100 wt% of the removal composition comprising deionized water stabilize the etch rate up to at least 35 hours. In some embodiments, stabilize TiN etch rate up to at least 35 hours. And in some embodiments, stabilize TiN etch rateup to at least 35 hours at a selected operating temperature. In some embodiments, the selected operating temperature is from 20 to 60°C. In another embodiment, the selected operating temperature is between and including any two of the following temperature: 20, 30, 45, 50, 53 and 60°C.
In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN etch rate at 50 °C does not drop more than 23 A min at 24 hours. In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN hard mask etch rate at 50 °C does not drop more than 22.5 A min at 24 hours. In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN etch rate at 50 °C does not drop more than 20.5 A min at 24 hours. In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN etch rate at 50 °C does not drop more than 1 1 A/min at 24 hours.
Metal Corrosion Inhibitor
Although not required for carrying out the invention, at least one corrosion inhibitor may also be present in the removal composition, for example, where the removal composition is to be deployed in semiconductor processing at BEOL applications and other applications where corrosion of copper or other metal components is a concern. The presence of a corrosion inhibitor is needed to protect metal surfaces from being etched or otherwise degraded. For other applications, including FEOL applications, of the inventive composition and associated method, a corrosion inhibitor(s) is not generally needed, i.e., copper or colbalt, is not exposed to the removal chemistry, copper or colbalt is absent from the wafer substrate, or slight etching/degradation of copper or cobalt surfaces is not usually a concern. The metal (copper or colbalt) corrosion inhibitor is an organic compound, such as an azole, thiol, and/or indole preferably selected from the group consisting of a heterocyclic compound containing at least one nitrogen atom, such as, for example, a pyrrole and derivatives thereof, pyrazole and derivatives thereof, imidazole and derivatives thereof, triazole and derivatives thereof, indazole and derivatives thereof, and thiol-triazole and derivatives thereof, benzotriazole (BTA), tolyltriazole, 5-phenyl- benzotriazole, 5-nitro-benzotriazole, 3-amino-5 -mercapto-1 ,2,4-triazole, 1 -amino-1 ,2,4- triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1 -amino-1 ,2,3-triazole, 1 -amino-5-methyl-1 ,2,3-triazole, 3-amino-1 ,2,4-triazole, 3-mercapto-1 ,2,4-triazole, 3- isopropyl-1 ,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo = F, CI, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4- methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, 5-aminotetrazole monohydrate, 5-amino-1 ,3,4-thiadiazole-2-thiol, 2,4-diamino-6-methyl-1 ,3,5-triazine, thiazole, triazine, methyltetrazole, 1 ,3-dimethyl-2-imidazolidinone, 1 ,5- pentamethylenetetrazole, 1 -phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, mercaptobenzimidazole, 4-methyl-4H-1 ,2,4-triazole-3-thiol, 5-amino- 1 ,3,4-thiadiazole-2-thiol, benzothiazole, and mixtures thereof. Among the foregoing, benzotriazole, pyrazole, or a mixture of benzotriazole and pyrazole, or a mixture of benzotriazole and tolyltriazole (available commercially from Wincom, Inc. under the name "Wintrol A-90"), are preferred copper corrosion inhibitors for better removal performance.
The copper or cobalt corrosion inhibitor or mixture thereof may be present in the composition at from 0.0001 wt% to 50 wt%. In another embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.0001 wt% to 10 wt%. In some embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.5 to 0.9 wt%. I In some embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.18 to 0.8 wt%. In another embodiment, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.18 to 0.65 wt%. Other suitable copper or cobalt corrosion inhibitors include, but are not limited to aromatic hydrazides and Schiff base compounds.
In some embodiments, the composition can contain one or more cosolvents that are miscible with water. Cosolvents enhance residue removal. Suitable cosolvents include, but are not limited to, sulfolane, N-methylpyrrolidone, and dimethylsulfoxide. pH adjustment
The composition may also include a base or an acid, as appropriate, to adjust the pH of the working composition. The base can, for example, be selected from the group consisting of quaternary ammonium salts, such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH) and mixtures thereof. The base can also be selected from the group consisting of primary, secondary and tertiary amines, such as, for example, monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof. In some embodiments, the base can be a combination of quaternary ammounium salts and amines. Suitable acids include, for example, are selected from the group consisting of inorganic acids, such as sulfuric acid, nitric acid, phosphoric acid, hydrofluoric acid (HF), or hydrobromic acid; organic acids, such as a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, or a mixture of such acids. The pH of the working composition should be maintained at a value of from 2 to 14, but preferably in the range of from 3 to 12. As noted above, when used in BEOL Cu interconnect fabrication applications, the preferred pH of the working composition is in the range of from 5 to 1 1 when hydrogen peroxide is used as oxidizer in order to achieve high etch rates.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent; (b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) 0.0005 wt% to 20 wt% of an amino acid, amine polycarboxylic acid (i.e.,
aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof; and
(d) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; and
(d) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate; (c) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(d) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and
(d) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) 0.0005 wt% to 20 wt% of an amino acid, amine polycarboxylic acid (i.e.,
aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof;
(d) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(e) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) 0.0005 wt% to 20 wt% of an amino acid, amine polycarboxylic acid (i.e.,
aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof;
(d) 0.0001 to 50 wt% of a metal corrosion inhibitor;
(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(f) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid;
(d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and
(e) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; (d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;
(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(f) the balance up to 100 wt% of the removal composition comprising deionized water.
And further, the removal composition in accordance with any of the above embodiments, wherein the carboxylate is an ammonium carboxylate. The ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium
ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium
ethylenediaminetetraacetic acid, ammonium succinate, ammonium formate, ammonium 1 -H-pyrazole-3-carboxylate and mixtures thereof
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of an ammonium carboxylate;
(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid;
(d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;
(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(f) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of an ammonium tartrate;
(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N\ N'-tetraacetic acid (CDTA);
(d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;
(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(f) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of hydrogen peroxide;
(b) 0.0001 wt% to 50 wt% of an ammonium carboxylate; and
(c) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of hydrogen peroxide;
(b) 0.0001 wt% to 50 wt% of an ammonium carboxylate;
(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; and
(d) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of hydrogen peroxide;
(b) 0.0001 wt% to 50 wt% of an ammonium carboxylate;
(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N\ N'-tetraacetic acid;
(d) from 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and
(e) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of an ammonium ethylenediaminetetraacetic acid;
(c) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;
(d) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(e) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of tetraammonium ethylenediaminetetraacetic acid;
(c) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; (d) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(e) the balance up to 100 wt% of the removal composition comprising deionized water.
In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of tetraammonium ethylenediaminetetraacetic acid;
(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid;
(d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;
(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and
(f) the balance up to 100 wt% of the removal composition comprising deionized water. Kit
Another embodiment of the present disclosure is a kit including one or more containers comprising one or more components adapted to form the removal composition. In some embodiements the kit includes in one or more containers, comprising at least one carboxylate and deionized water for combining with an oxidizing agent at the point or fabrication or the point of use. In another embodiement, the kit includes in one or more containers, comprising at least one carboxylate; deionized water; at least one copper corrosion inhibitor; and optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use. In another embodiement, the kit includes in one or more containers, comprising at least one carboxylate; deionized water; at least one amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent; and optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use. In another embodiement, the kit includes in one or more containers, comprising at least one carboxylate; deionized water; at least one copper corrosion inhibitor; at least one amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent; optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use.
Method
Removal composition is applied in any suitable manner to the semiconductor substrate. Contact or contacting the semiconductor substrate is intended to include spraying, dipping, using a pad or applicator that has the removal composition absorbed thereon or any other suitable manner of contacting the semiconductor substrate with a removal composition.
In one embodiment, a method for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti or W, relative to underlying Low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate having a TiN, TaN, TiNxOy, TiW, W, or Ti hard mask thereon, including an hard mask comprising alloys of Ti or W, wherein the method comprises contacting the semiconductor substrate with a removal composition comprising:
(a) 0.1 wt% to 90 wt% at least one oxidizing agent;
(b) 0.0001 wt% up to 50 wt% of a carboxylate; and
(c) the balance up to 100 wt% of the removal composition comprising deionized water.
In some embodiments, the method for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti or W, relative to underlying Low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate having a TiN, TaN, TiNxOy, TiW, W, or Ti hard mask thereon, including an hard mask comprising alloys of Ti or W, wherein the method comprises contacting the semiconductor substrate with a removal composition comprising:
(a) 0.1 wt% to 90 wt% at least one oxidizing agent;
(b) 0.0001 wt% up to 50 wt% of an ammonium carboxylate; and
(c) the balance up to 100 wt% of the removal composition comprising deionized water.
In some embodiments, the method for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti or W, relative to underlying Low-k, Cu, Co, SiON, SICN, and TEOS materials from a
semiconductor substrate having a TiN, TaN, TiNxOy, TiW, W, or Ti hard mask thereon, including an hard mask comprising alloys of Ti or W, wherein the method comprises contacting the semiconductor substrate with a removal composition comprising:
(a) from 0.1 wt% to 90 wt% at least one oxidizing agent;
(b) from 0.0001 wt% up to 50 wt% of an ammonium carboxylate selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid, ammonium succinate,
ammonium formate, ammonium 1 -H-pyrazole-3-carboxylate and mixtures thereof; and
(c) the balance up to 100 wt% of the removal composition comprising deionized water.
In some embodiments in accordance with any of the above methods, the removal composition may additionally comprise at least one metal corrosion inhibitor. In some embodiments in accordance with any of the above methods, the removal composition may additionally comprise from 0.001 wt% to 20 wt% of an amino acid, an
aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine
pentaacetic acid; 1 ,4,7,10-tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid (DOCTA); and triethylenetetraamine hexaacetic acid (TTHA). In some embodiments in accordance with any of the above methods, the removal composition may additionally comprise at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary
ammonium salts, primary amines, secondary amines, tertiary amines; and wherein the acid is selected from the group consisting of inorganic acids, organic acids or mixtures thereof.
In some embodiments in accordance with any of the above methods, the removal composition may additionally comprise at least one base at least one acid or mixture thereof, wherein the base is selected from tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA),
tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof and the acid is selected from the group consisting of inorganic acids, organic acids or mixtures thereof.
In some embodiments, the method for removing a hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W from a
semiconductor substrate, the method comprising contacting the semiconductor substrate with a removal composition comprising:
(a) 0.1 wt% to 90 wt% at least one oxidizing agent,
(b) 0.0001 wt% to 50 wt% of a carboxylate;
(c) the balance up to 100 wt% of the removal composition comprising deionized water
In some embodiemnts, the method additionally comprises the heating the removal composition up to 60°C. Heating the remoaval composition can occur prior to contacting the semiconductor substrate or after. In some embodiments, the method comprises contacting the semiconductor substrate with a removal composition for at least 2 minutes at a temperature from 20 to 45, 50, 53 or 60°C. In some embodiments, the method comprises contacting the semiconductor substrate with a removal compositionfor at least 2 minutes at a temperature up to 60°C.
A composition formulated according to the present disclosure and exhibiting an inherently high etch rate for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, enables processing at relatively low temperature, e.g., temperatures less than 65°C. A relatively low temperature process exhibits a reduced oxidizer decomposition rate, which, in turn, extends the useful composition bath life and pot life. Additionally, compositions according to the invention which exhibit high and selective etch rates for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, are desirable because they can reduce device processing time and thereby increase throughput. Typically, high etch rates for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, have been achieved by increasing process temperatures. However, for single wafer process applications, the highest processing temperature is around 75°C, which, in turn, can limit the upper end of etch rates for TiN, and thereby limit the ability for one to completely remove TiN hard mask from a dual damascene structure. Compositions according to the invention can effectively deliver high etch rates for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, with single wafer tool applications at a temperature range of from 20°C to 60°C, and the TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, hard mask can be fully removed with single wafer application process equipment if so desired.
In some embodiments, the removal composition is at a temperature from 20 to 45, 50, 53 or 60°C and at a pH from 2 to 14. In some embodiments, the removal composition is at a temperature from 20 to 45, 50, 53 or 60°C and at a pH from 5 to 12. In some embodiments, the removal composition is at a temperature from 20, 30 or 45 to 50, 53 or 60°C and at a pH from 2 to 14.
In some embodiments, the removal composition is at a temperature from 20, 30 or 45 to 50, 53 or 60°C and at a pH from 5 to 12.
The removal composition has an etch rate that is stabilized up to at least 35 hours at a selected operating temperature. In some embodiments, the selected operating temperature is from 20 to 45, 50, 53 or 60°C. In a preferred embodiment, the concentration of ammonium carboxylate is from 0.001 wt% up to 50 wt%. The compositions of the invention are effective in selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and/or W, relative to Low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate comprising said low-k dielectric material and having a TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and/or W, hard mask thereon. In addition, the composition is also functional in simultaneously removing photoresist, polymeric materials, etching residues and copper oxide from the substrate.
The compositions and method according to the inventive concepts described herein are particularly applicable for processing single wafers in single wafer equipment. When a high TiN etch rate is required, a common approach is to process wafers at high process temperatures. However, higher temperatures are known to contribute to degradation of the oxidizing agent which shortens bath life and pot life. It has been observed according to the inventive concepts described herein that satisfactory results can be achieved at substantially lower temperatures in the range of from 20°C to 60°C to generate a pullback scheme or to completely remove the hard mask when the hard mask comprises TiN.
EXAMPLES
Removal compositions according to the invention are now explained in detail by reference to the inventive concepts and examples which follow, but the present invention is not limited by these examples and the results shown for each test.
Compositions of the invention may be embodied in a wide variety of specific
formulations, as hereinafter more fully described. In all such compositions, wherein specific components of the composition are discussed in reference to weight
percentage ranges including a zero lower limit, it will be understood that such
components may be present or absent in various specific embodiments of the
composition, and that in instances where such components are present, they may be present at concentrations as low as 0.0001 wt %, based on the total weight of the composition in which such components are employed. In the examples which follow, 100 g. samples of removal compositions were prepared according to the inventive concept(s) described herein. Each sample composition comprised each of the components listed in the various tables which follow at the weights shown in the corresponding formulation row. For example, a 100 g. quantity of sample composition designated "1 " shown in Table 1 contained 2 g. of 10% aqueous ammonium tartrate, 7.21 g. of 10% aqueous DGA, 12.43 g. of 1 .5% aqueous BTA, 60 g. H2O2 (30% aqueous), and 18.36 g. deionized water (DIW).
The removal compositions can be formulated at the point of use, or they can be conveniently formulated beforehand without an oxidizer and then taken to the point of use where the oxidizer is added. There is also no particular sequence for mixing or blending the various ingredients.
Blank wafers for determining etch rate were purchased as follows:
Cu blank wafer - from Silicon Valley Microelectronics, Inc.,
Co blank wafer - from Silicon Valley Microelectronics, Inc.,
TiN blank wafer - from Silyb wafer services,
W - from Silicon Valley Microelectronics, Inc.
TEOS - from Silicon Valley Microelectronics, Inc
BDII and BDIII blank wafers - from DK Nanotechnology
TiN ,Cu, Co, W and TEOS Etch Rate
Etch rate evaluations were carried out after 1 and 2 minutes of chemical treatment for TiN and 10 minutes for Cu, Co, W, and TEOS at the temperature noted in each example. TiN, Cu, Co, and W thicknesses were measured using a Four
Dimensions Four Point Probe Meter 333A, whereby the resistivity of the film was correlated to the thickness of the film remaining after contact with the composition of the invention. The TEOS thickness was measured with Auto SE Spectroscopic
Ellipsometer by HORIBA JOBIN WON. The etch rate was calculated as the thickness change (before and after chemical treatment) divided by the chemical treatment time. Chemical solution pH was measured with a Beckman 260 pH/Temp/mV meter. The H2O2 used in the experiments was sourced from J. T. Baker. Residue removal efficiency and TiN hard mask etch were evaluated from SEM results (Hitachi S-5500).
The compositions shown in Table 1 were prepared using deionized water as the solvent, BTA or a mixture of BTA and pyrazole as Cu corrosion inhibitor, H2O2 as the oxidizing agent, and diglycolamine (DGA) or benzyltrimethylammonium hydroxide (BTAH) as the base to adjust pH. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and a pH of about 8.
Table 1
Figure imgf000029_0001
Compositions 1 , 2 and 3 demonstrated a removal rate for TiN in the range of from 178 A min up to 340 A/min at a relatively low temperature in the range of from 50°C to 53°C. A copper etch rate of less than 3 A/min is considered good for commercial wafer processing.
Referring now to the Figs., Figs. 1 A and 1 B are SEM images of semiconductor wafer segments which show trenches and vias, respectively, as received following a dual damascene fabrication step, but before treatment with a removal compositon.
Figs. 2A and 2B are views of the wafer segments, similar to the wafer segments shown in Figs. 1A and 1 B, after contact with removal composition 1 for 90 sec. at a
temperature of 50°C. Residue was removed, but some TiN hard mask remained as noted in Fig. 2A. Figs. 3A and 3B are views of wafer segments, similar to the wafer segments shown in Figs. 1 A and 1 B, after contact with removal composition 2 for 90 sec. at a temperature of 50°C wherein TiN hard mask and residue have been
completely removed. Figs. 4a and 4B are views of wafer segments, similar to the wafer segments shown in Figs. 1 A and 1 B, after contact with removal composition 3 for 90 sec. at a temperature of 53°C. TiN hard mask and residue have been completely removed.
The compositions shown in Table 2 were prepared using deionized water as the solvent, BTA as Cu corrosion inhibitor, H2O2 as the oxidizing agent, and
tetramethylammonium hydroxide (TMAH) as the base to adjust pH. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 60°C and a pH of about 7.8.
Each of the removal compositions, which contain, respectively, the ammonium lactate, ammonium tartrate, ammonium carbonate, and ammonium citrate tribasic at the amounts indicated, demonstrated a higher TiN etch rate compared with the
corresponding control, composition 4, that did not contain an ammonium carboxylate.
Table 2
Figure imgf000030_0001
The formulations shown in Table 3 were prepared, and TiN and Cu etch rate
evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and similar copper etch rate when compared to the control, composition 9, that did not contain an ammonium carboxylate. Table 3
Figure imgf000031_0001
The formulations shown in Table 4 were prepared using DGA to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 13, that did not contain an ammonium carboxylate.
Table 4
Figure imgf000031_0002
The formulations shown in Table 5 were prepared using TMAH to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 17, that did not contain an ammonium carboxylate. Table 5
Figure imgf000032_0001
The formulations shown in Table 6 were prepared using
benzyltrimethylammonium hydroxide (BTAH) to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of about 8. The removal
compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 21 , that did not contain an ammonium
carboxylate.
Table 6
Figure imgf000032_0002
The formulations shown in Table 7 were prepared using tetraethylammonium hydroxide (TEAH) to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 25, that did not contain an ammonium carboxylate.
Table 7
Figure imgf000033_0001
The formulations shown in Table 8 were prepared using DGA to adjust the pH, but no copper corrosion inhibitor was used. TiN and TEOS removal rate evaluations were carried out as described above at a temperature of 50°C and pH of about 8. The removal compositions demonstrated a higher TiN etch rate when compared to the control, composition 31 , that did not contain an ammonium carboxylate.
The presence of the ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate and ammonium tartrate at concentrations of from 1 .46 wt % to less than 3 wt% operate to provide the removal compositions of the invention with the capability to deliver very high TiN etch rates at relatively low temperature, e.g., 50°C. It is noteworthy according to the described and claimed inventive concepts that none of the ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate or ammonium tartrate had significant effect on TEOS removal rate when compared to the control, composition 31 . Table 8
Figure imgf000034_0001
The formulations shown in Table 9 were prepared without the use of a pH adjustment agent. The Cu corrosion inhibitor used was Wintrol A-90, a commercial mixture of BTA and tolyltriazole. The desired TiN and Cu etch rates and pH were obtained by varying hydrogen peroxide and ammonium carboxylate concentrations. In these examples, several carboxylates in various concentrations were used. Hydrogen peroxide concentration was either 20 wt% or 80 wt%. The formulation pH's ranged from a low of pH 4.3 up to pH 8.3, and the TiN etch rate, i.e., the removal rate, ranged from a low of 1 1 A min up to 228 A min.
Table 9
Figure imgf000035_0001
The formulations shown in Table 10 were prepared with tartaric acid, or TMAH, or without any pH adjustment agent. Wintrol A-90 was used as a Co corrosion inhibitor In these examples, several carboxylates in various concentrations were used.
Hydrogen peroxide concentration ranged from 10 wt% to 80 wt%. The formulation pH ranged from a low of pH 5 up to pH 10. The Co etch rate was insignificant in all cases (i.e., the highest Co etch rate was 1 .48 A min ).
Tablel O
Figure imgf000035_0002
The results shown in Table 1 1 demonstrate that a mixture of ammonium lactate and ammonium tartrate in removal composition 54 exhibited a higher TiN etch rate when compared to the control, composition 53, which contained no ammonium carboxylate.
Table 1 1
Figure imgf000036_0001
The formulations shown in Table 12 were prepared using TMAH to adjust the pH, and BTA was used as copper corrosion inhibitor. Carboxylates used were potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, and
potassium L-lactate in compositions 56, 57 and 58, respectively. Each of these compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 55, that did not contain a carboxylate.
Table 12
Figure imgf000036_0002
The results shown in Table 13 indicate that at ammonium carboxylate concentrations as low as 0.001 %, removal compositions 60 through 63 exhibited higher TiN etch rates and similar Cu and Co etch rates when compared to the control, composition 59.
Table 13
Figure imgf000037_0001
The results shown in Table 14 demonstrate that at an ammonium acetate concentration of 50 wt%, removal composition 65 exhibited a higher TiN etch rate and similar Cu and Co etch rates when compared to the control, composition 64, which contained no ammonium carboxylate.
Table 14
Figure imgf000037_0002
Tungsten (W) Etch Rate
The formulations shown in Table 15 were prepared, and W (tungsten) etch rate evaluations were carried out at temperatures of 45°C and 55°C as described above in connection with TiN removal. Table 15
Figure imgf000038_0001
Table 15 demonstrates the presence of ammonium carboxylate at a
concentration of 1 .172 wt% to 3 wt% and at a pH ranging from about 4 to slightly higher than 1 1 was shown to significantly increase the W removal rate when compared to the corresponding ammonium carboxy late-free control compositions 66, 70 and 72 at the same pH.
Removal Composition Stability
Pot life is a measure of the ability of the removal composition formula to perform optimally over time and without significant variation in functionality over time. Pot life is a strong function of temperature. After many hours of treatment at high temperature, the chemicals in the mixture can decompose and the formula will lose functionality.
Pot life studies were conducted (to confirm the period of time during which, and the extent to which, the etch rates of the removal compositions of the invention remained constant) as follows: 1200 gram stock solutions were prepared and maintained at 50 °C. 150 gram samples were removed from the heated stock solution and used for TiN and Cu etch rate and pH studies at specific times at 50 °C. The samples were discarded after each etch rate measurement. Removal compositions were prepared according to the described and claimed inventive concept(s) wherein ammonium tartrate was selected as the ammonium carboxylate at a concentration of 0.3 wt%. 1 ,2-cyclohexanediamine-N, N, Ν', N'- tetraacetic acid (CDTA) was selected as the aminopolycarboxylic acid chelating agent in formulation 74 ,75, and no chelating agent (CDTA) was included in the control formulation 76. The compositions are shown in Table 16. Results are shown in Table 17.
Table 16
Figure imgf000039_0001
Table 17
Figure imgf000039_0002
The data presented in Table 17 demonstrates that with CDTA in removal compositions 74 and 75, the TiN etch rate remained stable, i.e., substantialy constant, over a period of 22 hours. The initial TiN etch rate was 157 A min, and it remained at 156 .4 A/min for composition 75 over a 22 hour period. For composition 74 the initial TiN etch rate was 168.1 A/min and remained at 156.6 A/min over a 22 hour period. In composition 76, without CDTA, the TiN etch rate declined from an initial etch rate of 219 A/min to an etch rate of 99.9 A/min at 22 hours.
Table 18
Figure imgf000040_0001
Table 19
Figure imgf000040_0002
The data presented in Table 19 demonstrates that with CDTA in removal compositions 77, the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hour. The initial TiN etch rate was 174 A min, and it is at 160 A/min for composition 77 at 35 hour period. In composition 78 without CDTA, the TiN etch rate declined from an initial etch rate of 212 A/min to an etch rate of 1 10 A/min at 35 hours.
Removal compositions were prepared according to the described and claimed inventive concept(s) wherein Tetraammonium ethylenediaminetetraacetic acid,
Triammonium ethylenediaminetetraacetic acid and Diammonium
ethylenediaminetetraacetic acid was selected as the ammonium carboxylate at a concentration of specified in Table 20. 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid (CDTA) was selected as the aminopolycarboxylic acid chelating agent in
formulation 79, 80 and 81 The compositions are shown in Table 20.
Samples were taken from the removal compositions at intervals of 0, 4, 8, 24, 28, 32 and 35 hours to measure TiN and Cu etch rates. Results are shown in Table 21 .
Table 20
Figure imgf000041_0001
Table 21
Figure imgf000042_0001
The data presented in Table 21 demonstrates that with CDTA in removal compositions 79, 80 and 81 , the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hours. The initial TiN etch rate was 192 A min, and it is at 176 A/min for composition 79 over a 35 hour period. For composition 80, the initial TiN etch rate was 181 A/min and is at 171 A/min over a 35 hour period. For composition 81 the initial TiN etch rate was 167 A/min and is at 160 A/min over a 35 hour period.
Removal compositions were prepared according to the described and claimed inventive concept(s) wherein ammonium tartrate was selected as the ammonium carboxylate at a concentration of 0.3 wt%. 1 ,2-cyclohexanediamine-N, N, Ν', N'- tetraacetic acid (CDTA) was selected as the aminopolycarboxylic acid chelating agent in formulation 82 and 83. The compositions are shown in Table 22. Samples were taken from the removal compositions at intervals of 0, 4, 8, 24, 28, 32 and 35 hours to measure TiN and Cu etch rates. Results are shown in Table 23.
Table 22
Figure imgf000043_0001
Table 23
Figure imgf000044_0001
The data presented in Table 23 demonstrates that with 0.001 % and 0.005% of CDTA in removal compositions 82 and 83, respectively, the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hours. The initial TiN etch rate was 42 A/min, and it remained at 36 A/min for composition 82 over a 35 hour period(16.67% reduced TiN etch rate). For composition 83, the initial TiN etch rate was 48 A/min and remained at 45 A/min over a 35 hour period(6.3% reduced TiN etch rate). For comparision, control formulation 84 without CDTA, the initial TiN etch rate was 47 A/min, and it is at 30 A/min for over a 35 hour period which shows a 36% reduced TiN etch rate. CDTA stabilizes TiN etch rate.
The formulations shown in Table 24 were prepared using TEAH to adjust the pH, and BTA was used as copper corrosion inhibitor. CDTA was used to stabilize TiN etch rate.
A pot life study of Table 24 formuations were conducted according to the method described above. Samples were taken at intervals of 0, 4, 8, 24, 28, 32 and 35 hours to measure TiN and Cu etch rates and pH. Results are shown in Table 25.
Table 24
Figure imgf000045_0001
Table 25
Figure imgf000046_0001
The data presented in Table 25 demonstrate that with 1 %, 2% and 3% CDTA in removal compositions 85, 86 and 87, respectively, the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hours. The initial TiN etch rate was 170 A min, and it remained at 159 A/min for composition 85 at a 35 hour period. For composition 86, the initial TiN etch rate was 170 A/min and remained at 158 A/min at 35 hour period. For composition 87, the initial TiN etch rate was 178A/min and remained at 166 A/min at 35 hour period. For comparision, control formulation 88 without CDTA, the initial TiN etch rate was 233 A/min, and it is at 136 A/min for over a 35 hour period. CDTA stabilizs TiN etch rate.
The formulations shown in Table 26 were prepared using DGA to adjust the pH, and BTA was used as copper corrosion inhibitor. Tetraammonium
ethylenediaminetetraacetic acid was used to stabilize the TiN etch rate.
A pot life study of Table 26 formuations were conducted according to the method described above. Samples were taken at intervals of 0, 2, 4, 8, 24, 28 and 35 hours to measure TiN and Cu etch rates and pH. Results are shown in Table 27.
Table 26
Figure imgf000047_0001
Table 27
Figure imgf000048_0001
Table 27demonstrates that with tetraammoniunn ethylenediaminetetraacetate in removal composition 89, the TiN etch rate remained stable, i.e., remained
substantially constant, over a period of thirty five (35) hours. The initial TiN etch rate was 224 A/min, and it is at 179 A/min at thirty five (35) hour (20% TiN etch rate drop after 35 hours). In composition 90, without Tetraammonium
ethylenediaminetetraacetate , the etch rate dropped from an initial rate of 143 A/min to a rate of 57 A/min after 35 hours (60% TiN etch rate drop after 35 hours).
Tetraammonium ethylenediaminetetraacetate stabilizes TiN etch rate. The formulations in Table 28 were prepared using DGA to adjust pH . BTA was used as copper corrosion inhibitor. The ammonium carboxylate selected was tetraammonium EDTA. The results shown in Table 28 indicate that Tetraammonium ethylenediaminetetraacetate in removal composition 81 exhibited a higher TiN etch rate when compared to the control, composition 82, which contained no ammonium carboxylate.
Table 28
Figure imgf000049_0001
Table 29
Figure imgf000049_0002
The experimental results shown in Table 29 demonstrate that with
tetraammonium ethylenediaminetetraacetate in removal composition 81 , the initial TiN etch rate was 233 A/min, and it is 198 A/min at twenty eight (28) hour period. In composition 92, without Tetraammonium ethylenediaminetetraacetate, the TiN etch rate dropped from an initial rate of 134 A min to a rate of 61 A min at 28 hours.
The presence of ammonium carboxylate in the removal compositions of the invention not only increased TiN etch rate as shown in Tables 2 through 8, 1 1 , 13 through 15, and 26 and 27, but the data support the conclusion that their presence also operates to stabilize the TiN etch rate over an extended period of time, e.g., up to at least 35 hours.
Several embodiments of the inventive concepts have been described. However, those ordinarily skilled in the art will recognize that the invention is not limited to the embodiments described. The inventive concepts can be practiced with modifications and alteration within the spirit and scope of the appended claims.

Claims

CLAIMS What is claimed is:
1 . A removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:
(a) 0.1 wt% to 90 wt% of an oxidizing agent;
(b) 0.0001 wt% to 50 wt% of a carboxylate; and
(c) the balance up to 100 wt% of the removal composition comprising deionized water.
2. The removal composition of claim 1 , wherein
the oxidizing agent is selected from the group consisting of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide,
tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4CIO2), ammonium chlorate (NH4CIO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4CIO4), ammonium periodate (NH4IO3), ammonium persulfate
((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)CIO2), tetramethylammionium chlorate ((N(CH3)4)CIO3), tetramethylammonium iodate ((N(CH3)4)IO3),
tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)CIO4), tetramethylammonium periodate ((N(CH3)4)IO4),
tetramethylammonium persulfate ((N(CH3)4)S2O8), ((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), and mixtures thereof; and
the carboxylate is selected from the group consisting of potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, potassium L-Lactate and mixtures thereof.
3. The removal composition of claim 2 further comprising: 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10-tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'- tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine
(HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA);
dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA).
4. The removal composition of claim 2 further comprising: 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors.
5. The removal composition of claim 4, wherein the metal corrosion inhibitor is selected from benzotriazole, pyrazole, a mixture of benzotriazole and pyrazole, or a mixture of benzotriazole and tolyltriazole.
6. The removal composition of claim 2 further comprising: at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof; and wherein the acid is selected from the group consisting of inorganic acids, a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, and a mixture thereof.
7. The removal composition of claim 2 further comprising: i) 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid;
ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10-tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N- {2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and
ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA); and
ii) 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors.
8. The removal composition of claim 2 further comprising:
i) 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid;
ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10-tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N- {2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and
ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA);
ii) 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and
iii) at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof; and wherein the acid is selected from the group consisting of inorganic acids, a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, and a mixture thereof.
9. The removal composition of claim 1 , wherein the carboxylate is an ammonium carboxylate.
10. The removal composition of claim 9, wherein
the oxidizing agent is selected from the group consisting of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide,
tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4CIO2), ammonium chlorate (NH4CIO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4CIO4), ammonium periodate (NH4IO3), ammonium persulfate
((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)CIO2), tetramethylammionium chlorate ((N(CH3)4)CIO3), tetramethylammonium iodate ((N(CH3)4)IO3),
tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)CIO4), tetramethylammonium periodate ((N(CH3)4)IO4),
tetramethylammonium persulfate ((N(CH3)4)S2O8), ((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), and mixtures thereof; and
the ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium
ethylenediaminetetraacetic acid, ammonium succinate, ammonium formate, ammonium 1 -H-pyrazole-3-carboxylate and mixtures thereof.
1 1 . The removal composition of claim 10, further comprising from 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10-tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'- tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine
(HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA);
dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA).
12. The removal composition of claim 10 further comprising: 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors.
13. The removal composition of claim 12, wherein the metal corrosion inhibitor is selected frombenzotriazole, pyrazole, a mixture of benzotriazole and pyrazole, or a mixture of benzotriazole and tolyltriazole.
14. The removal composition of claim 10 further comprising: at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof; and wherein the acid is selected from the group consisting of inorganic acids, a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, and a mixture thereof.
15. The removal composition of claim 10 further comprising:
i) 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10-tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid; N- {2-[bis(carboxymethyl)annino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and
ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA); and
ii) 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors.
16. The removal composition of claim 10 further comprising:
i) 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid;
ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10-tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N- {2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and
ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA);
ii) 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and
iii) at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA),
tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof; and wherein the acid is selected from the group consisting of inorganic acids, a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, and a mixture thereof.
17. The removal composition of claim 10 further comprising:
0.001 wt% to 20 wt% 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid.
18. The removal composition of claim 17, wherein the ammonium carboxylate is selected from ammonium tartrate.
19. The removal composition of claim 10, wherein the ammonium carboxylate is selected from the group consisting of ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid and mixtures thereof.
PCT/US2014/059840 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask WO2015054460A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US15/028,501 US10155921B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
JP2016522060A JP6523269B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard masks
CN201480055151.5A CN105612599B (en) 2013-10-11 2014-10-09 The removal composition of hard mask is removed for selectivity
KR1020167012242A KR102327432B1 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361889968P 2013-10-11 2013-10-11
US61/889,968 2013-10-11
US14/103,303 2013-12-11
USPCT/US2013/074356 2013-12-11
US14/103,303 US20150104952A1 (en) 2013-10-11 2013-12-11 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
PCT/US2013/074356 WO2015053800A2 (en) 2013-10-11 2014-11-14 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper

Publications (1)

Publication Number Publication Date
WO2015054460A1 true WO2015054460A1 (en) 2015-04-16

Family

ID=52813633

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2014/059840 WO2015054460A1 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask
PCT/US2014/059848 WO2015054464A1 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US2014/059848 WO2015054464A1 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof

Country Status (1)

Country Link
WO (2) WO2015054460A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016213461A (en) * 2015-05-01 2016-12-15 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Titanium nitride hard mask and etch residue removal
JP2017162967A (en) * 2016-03-09 2017-09-14 株式会社Adeka Etchant composition for tantalum-containing layer, and etching method
EP4029050A4 (en) * 2019-09-10 2022-10-12 FUJIFILM Electronic Materials U.S.A, Inc. Etching composition

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113430072B (en) * 2020-03-23 2024-05-07 上海新阳半导体材料股份有限公司 Cobalt-compatible semi-aqueous cleaning solution for removing hard mask, preparation method and application thereof
CN115725369B (en) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 Application of cleaning fluid composition
CN116042331B (en) * 2022-11-11 2024-03-08 上海新阳半导体材料股份有限公司 Application of cleaning liquid

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0292057A1 (en) * 1987-05-18 1988-11-23 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor comprising a titanium-tungsten layer
US20010003061A1 (en) * 1999-08-30 2001-06-07 Gary Chen Manufacture and cleaning of a semiconductor
JP2006339509A (en) * 2005-06-03 2006-12-14 Tosoh Corp Composition for etching metal titanium and etching method using it
EP2234145A1 (en) * 2007-12-21 2010-09-29 Wako Pure Chemical Industries, Ltd. Etching agent, etching method and liquid for preparing etching agent
EP2322692A1 (en) * 2008-09-09 2011-05-18 Showa Denko K.K. Etchant for titanium-based metal, tungsten-based metal, titanium-tungsten-based metal or nitrides thereof
US8080475B2 (en) 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
KR20120051488A (en) * 2010-11-12 2012-05-22 오씨아이 주식회사 Composition for etching metal layer
US20120153287A1 (en) * 2010-12-15 2012-06-21 Dongwoo Fine-Chem Co., Ltd. Etchant, display device and method for manufacturing display device using the same
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0292057A1 (en) * 1987-05-18 1988-11-23 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor comprising a titanium-tungsten layer
US20010003061A1 (en) * 1999-08-30 2001-06-07 Gary Chen Manufacture and cleaning of a semiconductor
JP2006339509A (en) * 2005-06-03 2006-12-14 Tosoh Corp Composition for etching metal titanium and etching method using it
EP2234145A1 (en) * 2007-12-21 2010-09-29 Wako Pure Chemical Industries, Ltd. Etching agent, etching method and liquid for preparing etching agent
EP2322692A1 (en) * 2008-09-09 2011-05-18 Showa Denko K.K. Etchant for titanium-based metal, tungsten-based metal, titanium-tungsten-based metal or nitrides thereof
US8080475B2 (en) 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
KR20120051488A (en) * 2010-11-12 2012-05-22 오씨아이 주식회사 Composition for etching metal layer
US20120153287A1 (en) * 2010-12-15 2012-06-21 Dongwoo Fine-Chem Co., Ltd. Etchant, display device and method for manufacturing display device using the same
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016213461A (en) * 2015-05-01 2016-12-15 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Titanium nitride hard mask and etch residue removal
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
US10711227B2 (en) 2015-05-01 2020-07-14 Versum Materials Us, Llc TiN hard mask and etch residue removal
JP2017162967A (en) * 2016-03-09 2017-09-14 株式会社Adeka Etchant composition for tantalum-containing layer, and etching method
EP4029050A4 (en) * 2019-09-10 2022-10-12 FUJIFILM Electronic Materials U.S.A, Inc. Etching composition
US11499099B2 (en) 2019-09-10 2022-11-15 Fujifilm Electronic Materials U.S.A., Inc. Etching composition

Also Published As

Publication number Publication date
WO2015054464A1 (en) 2015-04-16

Similar Documents

Publication Publication Date Title
US10155921B2 (en) Removal composition for selectively removing hard mask and methods thereof
KR102266832B1 (en) TiN HARD MASK AND ETCH RESIDUE REMOVAL
US9972485B2 (en) Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
KR101444468B1 (en) Oxidizing aqueous cleaner for the removal of post-etch residues
TWI525701B (en) Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
WO2015054460A1 (en) Removal composition for selectively removing hard mask
JP2008546036A (en) Metal and dielectric compatible sacrificial antireflective coating purification and removal composition
TW201348405A (en) Methods for the selective removal of ashed spin-on glass
WO2018136466A1 (en) Post-etch residue removal for advanced node beol processing
CN109642159B (en) Non-aqueous tungsten compatible metal nitride selective etchants and cleaners
CN113430060B (en) Tungsten compatible cleaning solution for removing hard mask, preparation method and application thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14819110

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016522060

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 15028501

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20167012242

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 14819110

Country of ref document: EP

Kind code of ref document: A1