TWI638425B - 高深寬比結構中具有改良階梯覆蓋之密閉性cvd蓋 - Google Patents

高深寬比結構中具有改良階梯覆蓋之密閉性cvd蓋 Download PDF

Info

Publication number
TWI638425B
TWI638425B TW104104378A TW104104378A TWI638425B TW I638425 B TWI638425 B TW I638425B TW 104104378 A TW104104378 A TW 104104378A TW 104104378 A TW104104378 A TW 104104378A TW I638425 B TWI638425 B TW I638425B
Authority
TW
Taiwan
Prior art keywords
silicon
silicon oxide
layer
precursor gas
containing precursor
Prior art date
Application number
TW104104378A
Other languages
English (en)
Other versions
TW201533850A (zh
Inventor
王宗斌
蘇西瑞夏琳娜蒂帕
桑達羅傑艾文德
普山巴拉特
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201533850A publication Critical patent/TW201533850A/zh
Application granted granted Critical
Publication of TWI638425B publication Critical patent/TWI638425B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文所述具體例大體上係關於用於形成具高深寬比特徵之介電質薄膜的方法。在一具體例中,提供一種形成氧化矽層的方法。使含矽前驅物氣體流入具有基板之處理腔室,該基板具有設置其中之高深寬比特徵。然後,將高頻電漿施加至含矽前驅物氣體,以於高深寬比特徵之表面上沉積含矽層。沖洗處理腔室,以移除來自含矽層沉積製程之副產物。使含氧前驅物氣體流入處理腔室。將高頻電漿及低頻電漿施加至含氧前驅物氣體以形成氧化矽層。

Description

高深寬比結構中具有改良階梯覆蓋之密閉性CVD蓋 【相關申請案之相互參照】
本申請案主張於2014年2月18日所申請之美國臨時專利申請案序號61/941,323的優先權。上述之相關專利申請案之內容藉由引用形式而整體併入本文。
本文描述之具體例大體上係關於用於形成具高深寬比特徵之介電質薄膜的方法及系統。
現在所進行發展之電子電路(例如,積體電路、顯示電路、記憶電路、電源電路、及太陽能電池電路)係具有更小之主動及被動特徵。電子電路之三維(3D)結構係藉由在多層堆疊的結構中垂直堆疊複數個基板而形成,其中每個基板上具有特徵。不同基板的特徵係藉由位在基板邊緣外側之習知導線而彼此連接。然而,所得3D電路結構包含更大區域,因為導線結合延伸於堆疊之基板的外側,因而增加電路尺寸及降低電路的面密度。
矽通孔(TSV)係用於在垂直設置層內電路之電連 接特徵,以提供具有更大面密度且沒有側面導線之3D電路結構。在製造TSV時,通孔係被蝕刻於含矽基板(諸如矽晶片或具有矽層之玻璃面板)內,該含矽基板可以是已具有預先製造之電路。蝕刻之通孔被填充電導體(例如,包括諸如銅(Cu)、銀(Ag)、金(Au)、鎢(W)、及焊料之金屬的金屬導體);或摻雜之半導體(例如,多晶矽)。介電質層(諸如氧化矽及氮化矽層)典型地係在沉積金屬導體於其中之前,用於作為通孔之壁的襯墊,以用作擴散阻障層、密封、及其他隔離、擴散阻障或滲透降低層。然後將多個基板堆疊,及藉TSV形成垂直電連接,以連接上層或下層特徵及所得三維電路的部分。這些3D結構通常視為立體封裝(3D packages)、系統級封裝(System in Package)、或晶片堆疊模組(Chip Stack MCM)。相較於導線連結方法,TSV藉由實質地使在垂直堆疊、覆蓋電路之間之電路縮短,使得在更小面“覆蓋區(footprint)”有增強之功能性,且提供更快之操作速度。
現今,密閉性蓋層往往會有高深寬比通孔內部之低階梯覆蓋的問題,以及高深寬比通孔內部之薄膜堆疊仍然有高漏電及低可靠性之問題。
所以,對於可在高深寬比結構中沉積具有改良階梯覆蓋之密閉性蓋層之介電質沉積方法及系統有著需求。
本文描述之具體例大體上係關於用於形成具高深寬比特徵之介電質薄膜的方法及系統。在一具體例中,提供一種在特徵中形成氧化矽襯墊層的方法。使含矽前驅物氣體流 入具有基板設置其中之處理區域,該基板具有設置其中之高深寬比特徵。然後,將高頻電漿及選擇性的低頻電漿施加至含矽前驅物氣體,以於高深寬比特徵之表面上沉積含矽層。隨後沖洗處理區域,以移除來自含矽層沉積製程之副產物。沖洗後,使含氧前驅物流入處理區域。將高頻電漿及低頻電漿施加至含氧前驅物以形成氧化矽襯墊層。
在另一具體例中,提供一種形成矽通孔的方法。複數個通孔被蝕刻於矽板內,通孔各自具有側壁與底壁。氧化矽襯墊層形成於複數個通孔之每一者的側壁與底壁上。氧化矽層係藉由含矽前驅物氣體流入其內設置有矽板之處理區域而形成,其中含矽前驅物氣體包括Si-O鍵。將高頻電漿及選擇性的低頻電漿施加至含矽前驅物氣體,以激發含矽前驅物並於複數個通孔的表面上沉積含矽層。隨後沖洗處理區域,以移除來自含矽層沉積製程之副產物。沖洗後,含氧前驅物流入處理區域。高頻電漿及低頻電漿施加至含氧前驅物,以於複數個通孔之每一者的側壁與底壁上形成氧化矽襯墊層。金屬導體沉積於複數個通孔內。
已被討論的特徵、功用、及優點可在各種不同的具體例單獨地達到或者合併於其他具體例中,進一步的詳情請參見下文說明及圖式。
100‧‧‧方法
110‧‧‧製程
120‧‧‧製程
130‧‧‧製程
140‧‧‧製程
150‧‧‧製程
160‧‧‧製程
170‧‧‧製程
200‧‧‧基板
201‧‧‧矽板
202‧‧‧前表面
203‧‧‧圖案化光阻特徵
204‧‧‧背表面
210‧‧‧暴露的矽部分
212‧‧‧通孔
225‧‧‧主體氧化物層
226‧‧‧表面
228‧‧‧側壁
229‧‧‧底壁
230‧‧‧密閉性蓋層
240‧‧‧金屬導體
250‧‧‧載具
260‧‧‧金屬接點
300‧‧‧方法
310‧‧‧製程
320‧‧‧製程
330‧‧‧製程
340‧‧‧製程
350‧‧‧製程
360‧‧‧製程
370‧‧‧製程
380‧‧‧製程
400‧‧‧系統
402‧‧‧處理腔室
403‧‧‧第一部分
404‧‧‧真空泵
405‧‧‧第二部分
406‧‧‧加熱器電源供給
407‧‧‧支援系統
408‧‧‧氣體面板
410‧‧‧控制器
412‧‧‧蓋
413‧‧‧保護性襯壁
414‧‧‧支援電路
416‧‧‧記憶體
418‧‧‧壓力偵測器
420‧‧‧噴頭
424‧‧‧中央處理單元
426‧‧‧基板底座
430‧‧‧加熱器
431‧‧‧電源
432‧‧‧嵌入式溫度感測器
434‧‧‧RF產生器
435‧‧‧匹配網路
436‧‧‧氣體源
437‧‧‧氣體供給管路
452‧‧‧氣體混合氣室
454‧‧‧反應容積
458‧‧‧側壁
460‧‧‧入口埠
462‧‧‧第一氣室
464‧‧‧阻擋板
466‧‧‧第二氣室
467‧‧‧箭頭
468‧‧‧孔
474‧‧‧絕緣件
476‧‧‧絕緣件
480‧‧‧開關
482‧‧‧制動器
483‧‧‧接地參考
484‧‧‧接地端
486‧‧‧排氣埠
為了讓本揭示之上述特徵被詳細地瞭解,簡潔地概要揭示於上文的更特定說明將以具體例加以說明,一些具體例係以所附圖式說明。然而請注意,所附圖式描述本揭示之 典型的具體例,因此,並非用於限制所揭示之範疇,因為所揭示具體例係可容許加入其他相等有效的具體例。
圖1係描述根據本文所述具體例之簡要氧化矽沉積之簡要概觀的流程圖;圖2A-2H係描述根據本文所述具體例之一種用於形成具有氧化物襯墊之矽通孔的例示性方法的簡要概觀的示意圖;圖3係描述根據本文所述具體例之一種用於形成矽通孔的例示性方法的簡要概觀的流程圖;以及圖4係根據本文所述具體例之一種可用於形成氧化矽薄膜之基板處理系統的剖面示意圖。
為了幫助瞭解,會使用相同的參考符號,可能的話,來表示共同用於附圖之相同元件。可理解揭示於一具體例中之元件可在不需特別說明下有利地用於其他的具體例。
下文說明用於形成具高深寬比特徵之介電質薄膜的方法及用於進行上述方法之裝置。一些細節係描述於下文說明及圖1-4中,以對於所揭示之各種具體例提供詳盡的認識。對於常與具高深寬比特徵之介電質薄膜結合的習知方法及系統並未於下文中加以說明,以避免造成各種具體例之說明上不必要的模糊。
本文所述的很多的細節、組件及其他特徵僅係特定具體例的說明。因此,在不偏離本揭示之精神及範疇下,其他具體例可具有其他的細節、組件及特徵。再者,所揭示之 另外的具體例可在沒有下文所述之多種細節下實施。
本文所述具體例大體上係關於用於形成具高深寬比特徵之介電質薄膜的方法及系統。更明確地,本文所述具體例係關於藉由化學氣相沉積(CVD)技術改良形成於高深寬比結構中高品質氧化矽襯墊層之階梯覆蓋。以前,矽酸四乙酯(TEOS)及O3為基礎之次大氣壓CVD(SACVD)或大氣壓CVD(APCVD)被用於形成氧化矽襯墊介電質。然而,使用這些技術所形成之氧化矽襯墊薄膜易受到濕氣影響,因而常造成高漏電流密度。為解決這些問題,一種先前的嘗試包括使用將增強化學氣相沉積(PECVD)製程在O2為基礎之電漿的存在下流入TEOS,以在經由SACVD或APCVD製程所形成之SiO2薄膜上沉積為電漿增強蓋(PE Cap)層之SiO2襯墊薄膜,以改良介電質薄膜之密封性質及電氣性能。然而,PE Cap層經常造成通孔內部之低階梯覆蓋,並使通孔內部之薄膜堆疊會有高漏電流及低可靠性。
在上述PE Cap製程,TEOS流、O2流及電漿同時被打開,因此,氣相反應及表面反應在基板上同時發生。分子物種一旦被吸收至表面上,會具有低表面擴散性。通孔較深內部的通量較低,即,較低沉積速率。
在本文所述的某些具體例中,TEOS流、O2流及電漿係分開的。首先,TEOS氣體流至腔室一段時間,然後將高頻(HF)電漿及選擇性的低頻(LF)電漿打開以使TEOS活化成亞分子,亞分子則在基板表面上及通孔內部形成表面層。然後以惰性氣體沖洗腔室,以移除腔室周圍內側之TEOS。然後 將氧氣導入腔室一段時間,並將HF電漿及低頻(LF)電漿打開。HF電漿破壞O2以形成更具反應性之氧化劑(例如,O2與O、O3及相關的離子混合)。咸信低頻電漿會使氧化劑加速朝向基板,且TEOS的表面層與進來的氧化劑反應,形成SiO2襯墊薄膜。新穎的製程消除氣相反應,及使反應移至基板表面。允許更多的時間讓物種流入通孔,因此提供改良的階梯覆蓋。並且,藉由分開而成循環,會提供更多的時間用於耗盡會使所沉積SiO2襯墊薄膜品質變差的副產物。
本文所述具體例可在沉積系統上進行,該沉積系統,於其他類型的系統中,包括:高密度電漿化學氣相沉積(HDP-CVD)系統、PECVD系統、SACVD系統、APCVD系統、及熱CVD系統。可進行本文所述具體例之CVD系統之特定範例包括:CENTURA® ULTIMA HDP-CVD腔室/系統、及PRODUCER®腔室/系統,可購自加州聖克拉拉之應用材料公司。
一般而言,至處理腔室之氣體流率係視所處理基板之尺寸而定。本文所述流率典型地係基於在適當大小腔室中用於處理300毫米直徑基板而定的。
圖1係描述根據本文所述具體例之簡要氧化矽沉積方法100之簡要概觀的流程圖。在方法100之製程110中,將包含特徵之基板置於沉積腔室。一例示性沉積系統400描述於圖4。基板可為半導體晶片(例如,具有直徑約300毫米或更小之矽晶片;具有直徑約100毫米、150毫米、200毫米、300毫米、400毫米等之矽晶片),且可包括於之前製程所形成 之結構、元件等。例如,基板可包括特徵,而該特徵具有高的深寬比(裸孔深度除以孔寬度之比值)為至少約5:1或更大(例如,深寬比為6:1或更大,7:1或更大,8:1或更大,9:1或更大,10:1或更大,11:1或更大,12:1,16:7或更大,甚或約10:1至約20:1)。例示性特徵定義包括:通孔、凹槽、線、接觸孔、穿孔(through-hole)或用於半導體、太陽能電池或其他電子裝置之其他特徵定義,諸如高比值接觸插塞。
在沉積製程120期間,基板可維持在約100℃或更高(例如,約200℃或更高,約400℃或更高,約450℃至約750℃,約500℃至約600℃,或從約350℃至約450℃)。在沉積製程期間,處理區域內的氣體壓力可維持在約2托至約8托(例如,約4.4托)。基板可設置在離氣體分配板的約5毫米(大約200密耳)至約20毫米(大約800密耳),例如,約9毫米(大約360密耳)的間距。
方法100包括在製程120中,使包含含矽前驅物氣體流進處理腔室。矽前驅物可包括有機矽烷化合物及/或不包含碳之矽化合物。不包含碳之矽前驅物可包括矽烷(SiH4),在其他者中。含矽前驅物氣體可為有機矽烷化合物。有機矽烷化合物可包括具有Si--C直鏈之化合物及/或具有Si--O--C鍵結之化合物。有機矽烷矽前驅物的範例可包括:二甲基矽烷、三甲基矽烷、四甲基矽烷、二乙基矽烷、矽酸四甲酯(TMOS)、矽酸四乙酯(TEOS)、八甲基三矽氧烷(OMTS)、八甲基環四矽氧烷(OMCTS)、四甲基二甲基二甲氧基二矽烷、四甲基環四矽氧烷(TOMCATS)、二甲基二甲氧基矽烷 (DMDMOS)、二乙氧基甲基矽烷(DEMS)、甲基三乙氧基矽烷(MTES)、三乙氧基矽烷(TES)、苯基二甲基矽烷、苯基矽烷、及上述之組合物。在一些具體例中,使用TEOS。
第一沉積氣體可另外包含載氣。含矽前驅物可在其加至沉積腔室之前或期間與載氣混合。載氣可為非活性氣體,其不會不適當地干擾到在基板上氧化物薄膜之形成。載氣的範例包括氦、氖、氬、氮氣(N2)、氫氣(H2)、及上述之組合物。
在一具體例中,含矽前驅物是藉由載氣(諸如氦或氬)加以傳送,且以質量流率約400mgm(毫克/分鐘)至約12,000mgm(例如,約800mgm至約1,050mgm;約1,000mgm)加以提供。第一沉積氣體可以質量流率約2000至約17,000sccm(例如,約12,000sccm)加以提供。
在製程130,第一沉積氣體暴露至高頻RF電漿,以活化含矽前驅物氣體成亞分子,而亞分子在基板表面上及特徵內部形成表面層。HF電漿可在處理腔室內原處形成。高頻可在約100kHz與約20MHz之間(例如,13.56MHz)。藉由施加在主要頻率13.56MHz下之電流至處理電極,將電漿之功率水平維持在約100瓦至約1200瓦(例如,約200瓦至約400瓦;約350瓦)。製程120及製程130在時間上可部份地重疊,可同時進行或可先後進行。
選擇性地在製程130,第一沉積氣體可暴露至高頻RF電漿及低頻RF電漿,以活化含矽前驅物氣體成亞分子,而亞分子在基板表面上及特徵內部形成表面層。藉由施加在 主要頻率13.56MHz下之電流至處理電極,將電漿之功率水平維持在約100瓦至約1200瓦(例如,約200瓦至約400瓦;約350瓦)。另外,可藉由將高至400kHz(例如,350KHz)之第二頻率下之低頻功率施加至處理電極而增強電漿。可施加之第二功率為功率水平約10瓦至約500瓦(例如,約200瓦至約400瓦;約250瓦)。
在製程140,處理腔室可選擇性地被沖洗/排空,以移除沉積製程期間所產生的副產物。沖洗氣體可為一或更多種惰性氣體,其係選自如下所組成之群組:氬、氮氣、氫氣、氦、氖、氙、及上述之組合物。沖洗氣體流之沖洗時間典型地係足夠地長,以自處理腔室移除製程120及130之後任何的殘餘前驅物及沉積製程期間所產生之副產物。在一些具體例中,沖洗氣體可為製程120中所用之載氣。
在沖洗製程140期間,基板可維持在約100℃或更高的溫度(例如,約200℃或更高,約400℃或更高,約450℃至約750℃,約500℃至約600℃,或者約350℃至約450℃)。在一些具體例中,處理區域在沖洗製程140期間之壓力相對於處理區域在製程120及製程130之壓力係增加的。在沖洗製程140期間,處理區域內的氣體壓力可維持在約100托至約800托(例如,約400托至約600托)。在一些具體例中,基板相對於氣體分配板的間距相較於在製程120內之間距可加以降低。在沖洗製程140期間,基板可設置在離氣體分配板約5毫米(大約200密耳)至約20毫米(大約800密耳)的間距,例如,約7.6毫米(大約300密耳)。
在一些具體例中,沖洗氣體是氮氣。氮氣可以流率為約10,000至約30,000sccm(例如,約25,000sccm至約30,000sccm;27,000sccm)加以提供。在一些具體例中,第一沖洗氣體包括多種沖洗氣體。例如,提供之氮氣的流率可以約10,000至約30,000sccm(例如,約25,000sccm至約30,000sccm;約27,000sccm),提供之氦的流率可以約5,000sccm至約15,000sccm(例如,約10,000sccm至約12,000sccm,約11,000sccm)。
或者,於加入沖洗氣體外,可將處理腔室減壓,以自處理腔室移除殘餘沉積氣體以及副產物。減壓製程會造成處理腔室中腔室壓力的降低。
方法100在沖洗製程140之後的製程150另包括使包含含氧前驅物氣體之第二沉積氣體流進處理腔室。例示性含氧前驅物包括諸如下列之含氧前驅物:分子氧(O2)、臭氧(O3)、氮-氧化合物(例如,NO、NO2、N2O等)、氫-氧化合物(例如,H2O、H2O2等)、碳-氧化合物(例如,CO、CO2等)、以及其他含氧前驅物以及前驅物之組合物。在一具體例,係使用氧氣(O2)。
用於沉積製程150之處理條件可與用於沉積製程120之處理條件類似或相同。在沉積製程150期間,基板可維持在溫度約100℃或更高(例如,約200℃或更高,約400℃或更高,約450℃至約750℃,約500℃至約600℃,或約350℃至約450℃)。在沉積製程150期間,處理區域中之氣體壓力可維持在壓力約2托至約8托(例如,約4.4托)。基板可 設置在自氣體分配板約5毫米(大約200密耳)至約20毫米(大約800密耳)的間隔,例如,約9毫米(大約360密耳)。
含氧前驅物可以流率約10,000至約30,000sccm(例如,約25,000sccm至約30,000sccm;約27,000sccm)提供至處理區域。
第二沉積氣體可另包括載氣。含氧前驅物可在加至沉積腔室之前或期間與載氣混合。載氣可為非活性氣體,其不會不適當地干擾到在基板上氧化物薄膜之形成。載氣的範例包括氦、氖、氬、氮氣(N2)、氫氣(H2)、及上述之組合物。
在製程160中,高頻RF電漿及低頻(LF)電漿施加至含氧前驅物。藉由施加在主要頻率13.56MHz之電流至處理電極,將電漿之功率水平維持在約100瓦至約1200瓦(例如,約200瓦至約400瓦;約350瓦)。另外,藉由施加在高至400kHz(例如,350KHz)之第二頻率的低頻功率至製程電極而增強電漿。所施加之第二功率的功率水平約10瓦至約500瓦(例如,約200瓦至約400瓦;約250瓦)。
不受限於理論,咸信HF RF電漿將含氧前驅物氣體分解成更具反應性氧化劑(例如,O、O3及其個別離子)。亦認為LF RF電漿使氧化劑加速朝向基板。LF電漿及HF電漿可在處理腔室內原處形成。所形成沉積之含矽表面層可與進來之氧化劑反應,以形成氧化矽層。製程150及製程160在時間上可部份地重疊,可同時進行或可先後進行。
在製程170中,處理腔室可選擇性地被沖洗/排空,以移除製程150及160後之任何殘餘前驅物及沉積製程期間 所產生的副產物。沖洗氣體可為一或更多種惰性氣體,該惰性氣體係選自由如下所組成之群組:氬、氮氣、氫氣、氦、氖、氙、及其組合物。沖洗氣體流之沖洗時間典型地係足夠地長,以自處理腔室移除製程150及160之後任何的殘餘前驅物氣體及沉積製程期間所產生之副產物。
或者,於加入沖洗氣體外,可將處理腔室減壓,以自處理腔室移除殘餘沉積氣體以及任何副產物。減壓製程會造成處理腔室中腔室壓力的降低。
製程120至170可加以重複直到所沉積氧化矽層達到所欲厚度。
圖2A-2H係描述根據本文所述具體例之一種用於形成具有氧化物襯墊之矽通孔的例示性方法的簡要概觀的示意圖。圖3係描述根據本文所述具體例之一種用於形成矽通孔的例示性方法300的簡要概觀的流程圖,例如,方法300可被用於形成示於圖2A-2H之矽通孔。
典型地,使用數種不同連續方法,如圖2A至2H所示,在包含矽板201之基板200內製造矽通孔(TSV)。用於矽通孔之插座的矽板201可為,例如:多晶矽;由單晶矽構成之矽晶片;或其他形式之晶性或非晶性矽。本文所述例示性的矽通孔製造製程,以說明示範例示於圖3。然而,亦可使用熟悉此項技藝人士所熟知其他製程,且所有這些製程的組合均落在本揭示之範疇內。並且,本文所述例示性的製程,在不偏移所請申請專利範圍之範疇下,可以不同順序進行,可被其他製程步驟取代,或者全部排除掉。所以,所請申請 專利範圍並不侷限於本文所述例示性及所描述之製程及設備。
在一例示性具體例中,基板200包括矽板201,矽板201具有其上形成有圖案化光阻特徵203之前表面202,及後表面204,如圖2A所示。圖案化光阻特徵203係自抗蝕刻層形成。抗蝕刻層本身可為光阻層,或者具有硬遮罩層(諸如二氧化矽或氮化矽層)之光阻層。使用習知微影製程將各種抗蝕刻層組份圖案化及顯影,以在矽板201之前表面202上形成圖案化光阻特徵203,如圖2A及製程310所示。
之後,其上具有圖案化光阻特徵203之矽板201的暴露的矽部分210在蝕刻製程中加以蝕刻,如圖2B及製程320所示。在蝕刻製程中,基板200置於蝕刻腔室之蝕刻區內,並將蝕刻氣體加至蝕刻區內。在一具體例中,蝕刻氣體包括含氟氣體,諸如SF6、CF4、NF6、C4F8、CHF3及其他,且亦可包括稀釋劑氣體,諸如氬。在一具體例中,矽板201之暴露的矽部分210係以包含SF6之蝕刻氣體加以蝕刻掉,該蝕刻氣體之體積流率約10至約4000sccm,甚或者,例如,約100至約1000sccm。藉由施加頻率400KHz或13.56MHz之電流至設置於蝕刻區附近之處理電極,將電漿之功率水平維持在約50瓦至約2000瓦。在蝕刻製程期間,基板200維持在溫度低於約80℃,例如,約20℃至約60℃。基板200維持在離氣體分配器約75毫米(約3吋)至約180毫米(約7吋)的間距處。
蝕刻電漿蝕刻暴露之矽部分210,以在其內形成複 數個通孔212,如圖2B所示。一般而言,通孔212實質上為垂直的,且延伸通過大部分的矽板201,甚或整個厚度的矽板201。在一具體例中,通孔212具有深寬比(裸孔深度除以蝕刻後當下孔寬度之比值)至少約10:1,甚或約10:1至約20:1。在一具體例中,通孔212具有直徑約1微米至約10微米(例如,約2微米至約5微米)。蝕刻製程後,習知光阻之灰化及汽提製程係用以移除基板200上圖案化光阻特徵203之殘餘物,例如,藉由使用含氧電漿灰化殘餘光阻及其他氣體,諸如鹵素氣體,以移除任何殘餘的硬遮罩材料。灰化或汽提製程可在蝕刻腔室或不同腔室內進行。
氧化矽襯墊層在通孔212內形成。氧化矽襯墊層為多層結構,該多層結構包括其上形成有密閉性蓋層230之主體氧化物層225。主體氧化物層225可為二氧化矽,雖然含氮前驅物可用於替代含氧前驅物形成氮化物層,或者與含氧前驅物形成氮化物層與氧化物層。在一具體例中,主體氧化物層225沉積在矽板201之表面226上,以及通孔212之側壁228及底壁229之暴露的表面,其中通孔212是被蝕刻至矽板201內,如圖3之製程330及圖2C所示。主體氧化物層225可為保角的或實質保角的,如在基板200上所生長的。矽板201的表面226可由矽構成,或者其上可具有其他層,諸如選擇性的氮化矽鈍化層(未顯示出)。主體氧化物層225可使用CVD技術(例如,SACVD或APCVD製程)加以沉積。
在製程330中,將包括包含矽酸四乙酯(TEOS)之含矽前驅物及包含氧氣(O2)之氧前驅物的沉積氣體導入處理區 域。在一具體例中,TEOS前驅物係由載氣(諸如氦)傳送,且質量流率為約400mgm(毫克/分鐘)至約12,000mgm(例如,約3000mgm)。所提供之沉積氣體之質量流率為約2000至約17,000sccm(例如,約12,000sccm)。在沉積區內之氣體壓力可維持在壓力為約10托至約700托(例如,約600托至約700托)。在主體氧化物層225之沉積期間,基板可維持在溫度約100℃或更高(例如,約100℃至約600℃;約200℃或更高,約400℃或更高,約450℃至約750℃,約500℃至約600℃,或約350℃至約450℃)。基板可設置在離氣體分配板約5毫米(大約200密耳)至約20毫米(大約800密耳)的間距處,例如,約9毫米(大約360密耳)。在一具體例中,沉積主體氧化物層225至厚度約30奈米至約4微米,甚或約4微米至約6微米。
沉積主體氧化物層225之後,二氧化矽之密閉性蓋層230沉積於主體氧化物層225上,以形成氧化物襯墊層而密封主體氧化物層225,如圖2D及製程340所示。密閉性蓋層230可為二氧化矽。密閉性蓋層230於主體氧化物層225上生長時,可為保角的或實質保角的。密閉性蓋層230可比其下面之主體氧化物層225更緻密,例如具有密度約2g/cm3至約3g/cm3,其比主體氧化物層225之密度高很多。密閉性蓋層230亦必須是優異的溼氣阻障層。密閉性蓋層230係藉由使用圖1所述方法100沉積氧化矽而製得。
選擇性地,在氧化物襯墊(主體氧化物層225及密閉性蓋層230)沉積之後,阻障層可選擇性地形成於氧化物襯 墊上。當某些導電材料用於互連通孔填充材料(諸如銅)時,可形成阻障層以助於避免銅擴散進入矽基板,此會使元件失敗。然而,當使用其他填充材料(例如,鎢或多晶矽),可不用使用阻障層。擴散阻障層可包括金屬,諸如鉭、氮化鉭、鈦、氮化鈦、鎳、鈮、鋯、鎢、或釕,例如,伴隨其他過渡金屬,或過渡金屬氧化物或氮化物。擴散阻障層亦可避免金屬遷移進入基板。擴散阻障層可用如下方法加以沉積:CVD,或者用物理氣相沉積或濺鍍、脈衝式雷射沉積、或在通孔內沉積另外一層材料的其他方式。
之後,金屬導體240被沉積進入通孔212內,如圖2E及圖3製程350所示。金屬導體240可包括一或更多層之金屬、元素金屬或其合金、金屬化合物、甚或種晶層。在此製程中,金屬導體240沉積進入通孔212內,以填充通孔212。金屬導體240可為元素金屬、金屬合金、金屬化合物、或上述之混合物。金屬導體240係以電導體沉積至通孔212以填充通孔,該電導體係作為互連線以連接在矽板201以及其他矽板(未顯示出)上之二或更多層之主動或被動元件。適當的金屬導體包括鋁、銅、金、鈦、鎢、及上述之合金及化合物。
金屬導體240沉積於矽板201之通孔212以形成TSV後,翻轉基板200,並藉由化學機械拋光(CMP)製程以將矽板201表面上過多之金屬導體240研磨掉,如製程360及圖2F所示,並使沉積進入矽板201之通孔212之金屬導體240的頂部部分暴露出來。在適當的化學機械拋光製程中,矽 板201之表面係藉由裝配在循環拋光機上之拋光墊加以拋光。拋光漿料係藉由連接至拋光漿料源之漿料分配器來提供。當基板200相對於拋光墊係隔離的或旋轉的,矽板201之表面226上之過量金屬導體係會自基板200研磨掉的。適當的拋光漿料包括懸浮在水性或醇溶液中之基礎顆粒。化學機械拋光步驟係進行至在表面226上之所有金屬導體240都被研磨掉。拋光製程可用於移除密閉性蓋層230、主體氧化物層225之任何殘餘物,或仍然留存在矽板201之表面226上之其他此類材料。
矽板201裝配於載具250上,以暴露矽板201之後表面204,如圖2G及圖3之製程370所示。在製造矽通孔期間,載具250支撐矽板201。適當的載具250可為,例如,玻璃、聚合物,陶瓷、或半導體平板;或者自其他材料製得者。在一具體例中,載具250是矽晶片或玻璃平板。
矽板201之前表面202可使用介於之中之黏合層(未顯示出)黏合至載具250,以使矽板201之後表面204暴露出來,後表面204即變成所製得基板200之暴露的處理表面204。適當的黏合層包括,例如,熱塑性黏合劑,諸如熱塑性樹脂,其為UV或熱可固化的。適當的黏合層係由如下公司製造的:Brewer Science,Rolla,Mo.,或3M Corp.,St.Paul,Minn。某些熱塑性樹脂在溫度低於350℃,甚或低於250℃,或甚至在約200℃,係會流動及固化的。
下一個製程包括將基板200之後表面204薄化,以顯露如圖2H之TSV及如圖3之製程380所示。所以,金屬 導體240的頂部部分現被暴露出來以作為金屬接點。拋光後,將基板200翻轉,以暴露在通孔212頂部處金屬導體240之金屬接點260,如圖2H所示。
視所欲最終結構而定,基板200可進行進一步處理。在將TSV製好後,將基板200去連結,以將矽板201與載具250分開。在典型的去連結製程中,使用熱機械活化滑開技術將最終矽板201自載具250移開。此技術使用順應的夾具系統,以保護在基板200後側上所形成之特徵及表面形狀,同時將基板200加熱至高於黏合材料之軟化點的溫度。關於去連結,用順應的真空夾具系統(未顯示出)將基板200固定在適當去連結模組兩側上,並均勻地加熱。當達到去連結溫度時,矽板201從載具250滑開。去連結模組完全支撐矽板201及載具250兩側橫跨彼等全部面積,以在去連結過程使彼等保持平坦及無壓的。將矽板201及載具250分開後,將其上具有TSV特徵之薄矽板201傳送至單晶片清潔腔室,其中用適當溶劑自矽板201之背側移除剩餘的黏合劑。
之後,矽板201係使用習知晶片切割方法,如圖3所示,加以切割,以將形成在矽板201上之個別電子電路分開,諸如積體電路晶片、顯示器、太陽能電池等。使用習知接合方法,將晶片切割之電子電路彼此接合或與其他電子電路接合,諸如擴散結合以形成包括推疊成垂直方位之複數個電子電路的多層堆疊。
圖4係根據本文所述具體例之一種可用於形成氧化矽薄膜之例示性基板處理系統400的剖面示意圖。系統400 包括處理腔室402、射頻(RF)電源431、氣體面板408、後表面氣體源436、加熱器電源供給406、真空泵404、支援系統407、及控制器410。在其他具體例中,系統400可包括至少一個選擇性的電漿磁化電磁圈,選擇性的基板射頻偏壓源,及選擇性的遠端電漿源(未顯示出)。
處理腔室402大體上為真空容器,其包括第一部分403及第二部分405。在一具體例中,第一部分403耦接至真空泵404,且包括基板底座426、保護性襯壁413、及側壁458。第二部分405耦接至氣體面板408且包括蓋412。蓋412另包括選擇性的阻擋板464及氣體分配板(噴頭)420,其定義氣體混合氣室452及反應容積454。
在一具體例中,蓋412、阻擋板464、及噴頭420、以及側壁458係由至少一導電材料所形成,諸如金屬(例如,鋁(Al)等)或金屬合金(例如,不鏽鋼等)。另外,基板底座426及保護性襯壁413可由至少一種此類導電材料所形成或者包括由至少一種此類導電材料所形成之次組件。所指的處理腔室402之組件亦可包括由非導電材料(例如,陶瓷、聚醯亞胺等)或由導電及非導電材料之組合所形成之部分及/或次組件。所以,本揭示的範疇並未侷限於處理腔室402所具有之組件係全部由導電材料所形成。
在CVD製程期間,基板底座426係用於支撐基板200(例如,300毫米矽(Si)晶片)。在一具體例中,基板底座426包括嵌入式電阻加熱器430以加熱基板底座。或者,基板底座426可包括輻射熱源(未顯示出),諸如經氣體填充之 燈等。嵌入式溫度感測器432(例如,熱電偶、監測器)可以習知方式測量基板底座426的溫度。所測量溫度係用於反饋迴路以調節加熱器電源供給406的輸出,加熱器電源供給406係耦合至加熱器430,或者耦合至經氣體填充之燈。
支撐底座426另包括氣體供給管路437,其自氣體源436經由在底座之支撐表面內之凹槽(未顯示出)提供氣體(例如,氦)至基板200的背部。氣體促進支撐底座426與基板200之間的熱交換。使用背部氣體,基板200的溫度可控制在約200與800℃之間。
氣體面板408包括處理氣體及清潔氣體,以及用於調節各氣體流之設備。在一具體例中,處理氣體(或氣體混合物)或沖洗氣體自氣體面板408經由設置在蓋412內之入口埠460傳送至處理腔室402。此處用語“氣體”及“氣體混合物”係交互使用的。入口埠460流體地連接至第一氣室462,其中氣體可徑向擴散越過選擇性的阻擋板464,如箭頭467所示。或者,處理氣體及/或清潔氣體可經由蓋內的個別的入口埠(未顯示出)或噴頭被傳送進入處理腔室402。
處理或沖洗氣體通過阻擋板464內之孔468並進入第二氣室466,第二氣室466形成在噴頭420與阻擋板464之間。噴頭420經由複數個孔468流體地連接第二氣室466至反應容積454。噴頭420可包括不同區域,使得不同氣體可以不同流率釋放進入反應容積454。
真空泵404適於形成於處理腔室402之側壁458內的排氣埠486。真空泵404用於維持處理腔室402內所欲氣體 壓力,以及自處理腔室排空後處理氣體,包括反應副產物及其他的揮發化合物(亦即,沖洗製程期間)。在一具體例中,真空泵404包括節流閥(未顯示出),以控制泵與腔室間路徑的氣體傳導性。處理腔室402內之氣體壓力經由壓力偵測器418偵測。所測得數值用於反饋迴路,以控制處理基板200期間或沖洗製程期間之氣體壓力。
電源431包括RF產生器434及相關的匹配網路435。RF產生器434可為混合的頻率RF功率產生器,其典型地在高RF頻率13.56MHz及低RF頻率360KHz下提供功率,以增強加至處理腔室402中之反應物種的分解。RF產生器434可大體上調整於約40KHz至20MHz範圍內已產生高至3000瓦。在一具體例,電源431(即,RF產生器434及匹配網路435)及處理腔室402係耦合至相同的接地端484,諸如側壁458。接地端484可進一步電耦合(即,短路),而成為半導體基板處理系統(其包括系統400)之共同接地參考。
噴頭420及基板底座426一起形成一對間隔開之電極。當RF功率施加至此種電極之任一者,而另一者耦合至接地端484(例如,側壁458),在此反應容積454內之氣體被點燃而成為電漿。當沒有RF功率提供至噴頭420及基板底座426,系統400被配置以進行CVD製程。例如,為了進行PECVD製程,可將RF功率施加至噴頭420,而基板底座426耦合至接地端484。在PECVD製程期間,電源431之接地參考483及處理腔室402之接地端484(例如,側壁458)係耦合在一起。
為了加速沉積製程,處理腔室402另外包括開關480。開關480之公用接點(即,接點C)係耦合至噴頭420,另一可選用之接點(例如,接點A)係耦合至蓋412及其他可選用之接點(例如,接點B)係耦合至接地端484。
在一具體例中,電源431施加RF功率至蓋412,而蓋412電耦合至阻擋板464。在一具體例中,噴頭420分別使用絕緣件474及476而在第二部分405內(即,從阻擋板464及蓋412)電絕緣的,及與第一部分403電絕緣的。再者,側壁458及,選擇性地,基板底座426係電耦合至連接在一起的接地參考483及接地端484。
絕緣件474及476可以習知地形成,例如,從至少一種介電質材料,諸如氧化鋁(Al2O3)、聚醯亞胺等。絕緣件474及476亦可加以形成,使得處理腔室402可以維持真空效果,例如,各絕緣件可適於O-環或其他通常用於真空容器(諸如處理腔室402)之密封,以使容器內部真空化。
開關480大體上是雙投開關。熟知此項技藝人是可理解,此種連接可使用,例如,兩個單投開關等,而實施之。當開關480設定成第一位置SW1,開關在蓋412(接點A)及噴頭420(接點C)之間提供短路。類似地,當開關480設定成第二位置SW2,開關在噴頭420(接點C)及接地端484(接點B)之間提供短路。如此,當側壁458自導電材料(例如,鋁)形成,第二位置SW2亦可對應至噴頭420與側壁458之間的短路。
為了有較佳功效,使用最少阻抗及長度之導線(例 如,金屬線、同軸電纜等)來連接至接點A、B及C。在一另外的具體例中,開關480可包括多於一組的接點,諸如接點A、B及C,以增強開關的操作(例如,降低第一位置SW1中接點C與A間之接點電阻或第二位置SW2中接點C與B間之接點電阻)。
開關480可用手動操作,或者藉由制動器482(例如,電磁線圈、線性馬達等)控制,例如,藉由控制器410控制。在例示的具體例中,控制器410藉由使用制動器482,可將開關480設定至第一位置SW1、至第二位置SW2、或將開關從一位置轉換到另一位置。
當開關480被設定至第一位置SW1時,處理腔室402被配置成用於進行CVD或PECVD製程。在此製程期間,將處理氣體供應至腔室。當處理腔室402進行CVD製程,沒有提供RF功率至處理腔室402(即,至蓋412以及,分別地,至噴頭420)。如此,在CVD製程期間,沒有電漿在處理腔室402中形成。或者,當處理腔室402進行PECVD製程時,電源431供應RF功率至蓋412(進一步耦合至阻擋板464)及噴頭420,使得處理氣體在反應容積454被激發成電漿。
當開關480被設定至第二位置SW2時,處理腔室402被配置成用以進行清潔製程。在清潔製程期間,清潔氣體被送至腔室。當進行清潔製程時,電源431施加RF功率至蓋412(進一步耦合至阻擋板464),而噴頭420係與蓋絕緣且耦合至接地端484。在此配置中,蓋412(一起與阻擋板464)及噴頭420形成一對間隔開之電極。當電源431供應RF功率 至此類電極時,處理氣體在氣體混合氣室452內被激發成電漿,然而,沒有氣體在反應容積454內被激發成電漿。
在又一具體例中(未顯示出),可設置絕緣件以將蓋412與阻擋板464絕緣。在此具體例中,噴頭420係電耦合至阻擋板464,而絕緣件476將噴頭420與第一部分403絕緣。在PECVD製程期間(即,當開關480被設定至第一位置SW1,電源431施加RF功率至蓋412),處理氣體可在反應容積454內被激發成電漿,如上文圖4所討論的。在處理期間(即,當開關480被設定至第二位置SW2),電源431可在使用阻擋板464為電極下,將第一氣室462內之處理氣體激發成電漿,而在反應容積454或氣體混合氣室452內沒有氣體被激發成電漿。
處理腔室402亦包括用於保持及釋出基板200、偵測製程終點、內部診斷等之習知系統。此類系統係集合式地示於圖4中之支援系統407。
控制器410包括中央處理單元(CPU)424、記憶體416及支援電路414。CPU 424可為用於工業設定之任何形式的一般目的用的電腦處理器。軟體程序可儲存於記憶體416,諸如隨機存取記憶體、唯讀記憶體、磁碟片或硬碟機、或其他形式的數位儲存。支援電路414習知係耦合至CPU 424且可包括快取記憶體、時脈電路、輸入/輸出次系統、電源供應等。
軟體程序當由CPU 424執行時,會將CPU轉換成控制系統400之特定目的電腦(控制器)410,如此可根據本揭 示來進行製程。軟體程序亦可加以儲存及/或由位於系統400遠端之第二控制器(未顯示出)加以執行。
雖然上文提供本發明具體例,但是本發明之其他及另外的具體例可在不偏離本發明所揭示基本範疇下加以修改,本發明範疇係由如下申請專利範圍所決定的。

Claims (20)

  1. 一種用於在一特徵內形成一氧化矽襯墊層的方法,該方法依序包括下列步驟:在一基板內所形成的一高深寬比特徵之上形成一主體氧化矽層;將一含矽前驅物氣體流入具有該基板設置其中之一處理區域;施加一高頻電漿至該含矽前驅物氣體;沉積一含矽層於該主體氧化矽層之表面之上;選擇性地沖洗該處理區域;使一含氧前驅物氣體流入該處理區域;及施加該高頻電漿及一低頻電漿至該含氧前驅物氣體;及使激發之該含氧前驅物氣體與該沉積之含矽層反應,以於該主體氧化矽層上形成一氧化矽蓋層,其中使用不同方法形成該主體氧化矽層與該氧化矽蓋層,且其中該氧化矽襯墊層包含該主體氧化矽層與該氧化矽蓋層。
  2. 如請求項1之方法,其中施加該高頻電漿至該含矽前驅物氣體的步驟另包括下列步驟:施加一低頻電漿至該含矽前驅物氣體。
  3. 如請求項1之方法,其中該含矽前驅物氣體係選自如下所組成之群組:二甲基矽烷、三甲基矽烷、四甲基矽烷、二乙基矽烷、矽酸四甲酯(TMOS)、矽酸四乙酯(TEOS)、八甲基三矽氧烷(OMTS)、八甲基環四矽氧烷(OMCTS)、四甲基二甲基二甲氧基二矽烷、四甲基環四矽氧烷(TOMCATS)、二甲基二甲氧基矽烷(DMDMOS)、二乙氧基甲基矽烷(DEMS)、三乙氧基矽烷(TES)、甲基三乙氧基矽烷(MTES)、苯基二甲基矽烷、苯基矽烷、及上述之組合物。
  4. 如請求項3之方法,其中該含氧前驅物氣體係選自如下所組成之群組:分子氧(O2)、臭氧(O3)、NO、NO2、N2O、H2O、H2O2、CO、CO2及上述之組合物。
  5. 如請求項1之方法,其中該施加一高頻電漿至該含矽前驅物氣體及施加一高頻電漿及一低頻電漿至該含氧前驅物氣體的步驟係在該處理區域中原處進行。
  6. 如請求項1之方法,其中該含矽前驅物氣體是TEOS,及該含氧前驅物氣體是氧氣。
  7. 如請求項1之方法,其中該氧化矽襯墊層之厚度是在約30奈米至約1微米之間。
  8. 如請求項1之方法,其中該特徵至少為下列之一者:通孔、凹槽、線、接觸孔、穿孔(through-hole)、或上述之組合。
  9. 如請求項1之方法,另包括下列步驟:將一金屬導體沉積於該氧化矽襯墊層之上。
  10. 如請求項1之方法,其中,於該特徵內形成該氧化矽蓋層之製程期間,該基板之溫度在350℃與450℃之間。
  11. 如請求項1之方法,其中,於該特徵內形成該氧化矽襯墊層之製程期間,該處理區域之壓力在2托與8托之間。
  12. 如請求項1之方法,其中,藉由在約13.56MHz之頻率下施加一電流,將該高頻電漿之功率水平維持在約200瓦至約400瓦。
  13. 如請求項1之方法,其中,藉由在約350kHz之頻率下施加一電流,將該低頻電漿之功率水平維持在約200瓦至約400瓦。
  14. 一種形成一矽通孔的方法,該方法包括下列步驟:在一矽基板內蝕刻複數個通孔,該等通孔各自具有一側壁及一底壁;在該等複數個通孔各自之該側壁及該底壁上藉由下列步驟形成一氧化矽襯墊層:在該等複數個通孔各自之該側壁及該底壁上形成一主體氧化矽層;將一含矽前驅物氣體流入具有該矽基板設置其中之一處理區域,其中該含矽前驅物氣體包括Si-O鍵;施加一高頻電漿至該含矽前驅物氣體;沉積一含矽層於該主體氧化矽層之一表面上;選擇性地沖洗該處理區域;使一含氧前驅物氣體流進該處理區域;及施加一高頻電漿及一低頻電漿至該含氧前驅物氣體,以形成該氧化矽蓋層於該主體氧化矽層上,其中使用不同方法形成該主體氧化矽層與該氧化矽蓋層,且其中該氧化矽襯墊層包含該主體氧化矽層與該氧化矽蓋層;以及沉積一金屬導體於該氧化矽襯墊層之上。
  15. 如請求項14之方法,其中該含矽前驅物氣體是矽酸四乙酯(TEOS),及該含氧前驅物氣體是氧氣(O2)。
  16. 如請求項14之方法,其中,在形成該氧化矽蓋層的製程期間,該基板之溫度在350℃與450℃之間。
  17. 如請求項14之方法,其中,形成該氧化矽蓋層的製程期間,該處理區域之壓力在2托與8托之間。
  18. 如請求項14之方法,其中,藉由在約13.56MHz之頻率下施加一電流,將該高頻電漿之功率水平維持在約200瓦至約400瓦。
  19. 如請求項14之方法,其中,藉由在約350kHz之頻率下施加一電流,將該低頻電漿之功率水平維持在約200瓦至約400瓦。
  20. 如請求項14之方法,進一步包括下列步驟:在該等通孔內沉積該金屬導體後,翻轉該矽基板及將該基板之後表面化學機械拋光,以暴露被沉積進入該矽基板之該等通孔的該金屬導體的頂部部分。
TW104104378A 2014-02-18 2015-02-10 高深寬比結構中具有改良階梯覆蓋之密閉性cvd蓋 TWI638425B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461941323P 2014-02-18 2014-02-18
US61/941,323 2014-02-18

Publications (2)

Publication Number Publication Date
TW201533850A TW201533850A (zh) 2015-09-01
TWI638425B true TWI638425B (zh) 2018-10-11

Family

ID=53798710

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104104378A TWI638425B (zh) 2014-02-18 2015-02-10 高深寬比結構中具有改良階梯覆蓋之密閉性cvd蓋

Country Status (3)

Country Link
US (1) US9362111B2 (zh)
TW (1) TWI638425B (zh)
WO (1) WO2015126590A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101576637B1 (ko) * 2014-07-15 2015-12-10 주식회사 유진테크 고종횡비를 가지는 오목부 상에 절연막을 증착하는 방법
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
WO2018125142A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Protection layers for magnetic tunnel junctions
FR3070399B1 (fr) * 2017-08-29 2020-09-25 Kobus Sas Procede pour le depot d'un materiau isolant dans un via, etreacteur de cvd pulse mettant en oeuvre ce procede
US20190069496A1 (en) * 2017-09-07 2019-03-07 Joseph Wofford Robotic irrigation system and devices
US10957543B2 (en) * 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
KR20230163578A (ko) * 2018-01-15 2023-11-30 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
KR102501675B1 (ko) 2018-07-13 2023-02-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN113906539A (zh) * 2019-05-23 2022-01-07 应用材料公司 原位原子层沉积工艺
US11222855B2 (en) 2019-09-05 2022-01-11 Skyworks Solutions, Inc. Moisture barrier for bond pads and integrated circuit having the same
JP7478059B2 (ja) * 2020-08-05 2024-05-02 株式会社アルバック シリコンのドライエッチング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090134497A1 (en) * 2007-11-26 2009-05-28 Hans-Joachim Barth Through Substrate Via Semiconductor Components
US8101531B1 (en) * 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120156872A1 (en) * 2010-12-21 2012-06-21 Applied Materials, Inc. Methods for depositing materials in high aspect ratio features

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6905940B2 (en) 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
KR100762243B1 (ko) * 2006-09-19 2007-10-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8525343B2 (en) * 2010-09-28 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device with through-silicon via (TSV) and method of forming the same
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2013177541A1 (en) 2012-05-25 2013-11-28 Applied Materials, Inc. Polymer hot-wire chemical vapor deposition in chip scale packaging
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090134497A1 (en) * 2007-11-26 2009-05-28 Hans-Joachim Barth Through Substrate Via Semiconductor Components
US8101531B1 (en) * 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120156872A1 (en) * 2010-12-21 2012-06-21 Applied Materials, Inc. Methods for depositing materials in high aspect ratio features

Also Published As

Publication number Publication date
WO2015126590A1 (en) 2015-08-27
US9362111B2 (en) 2016-06-07
US20150235844A1 (en) 2015-08-20
TW201533850A (zh) 2015-09-01

Similar Documents

Publication Publication Date Title
TWI638425B (zh) 高深寬比結構中具有改良階梯覆蓋之密閉性cvd蓋
KR101422982B1 (ko) 성막 방법 및 성막 장치
US20090104774A1 (en) Method of manufacturing a semiconductor device
JP2009152544A (ja) 多層配線構造に空隙を形成する方法
TW201133623A (en) Post-planarization densification
KR20140014119A (ko) 실리콘 웨이퍼들 상에서의 스루-실리콘 비아들의 제조
JP2010056579A (ja) 半導体装置の製造方法及び成膜システム
KR20160055227A (ko) 다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들
US10134632B2 (en) Low-K dielectric layer and porogen
US9698095B2 (en) Interconnect structure
KR101836417B1 (ko) 저온 경화 모듈러스 강화
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US9312167B1 (en) Air-gap structure formation with ultra low-k dielectric layer on PECVD low-k chamber
TW202022154A (zh) 非uv高硬度低介電常數膜沉積
JP3749162B2 (ja) 半導体装置の製造方法
JP2005150690A (ja) 半導体素子の金属配線形成方法
US11469195B2 (en) Semiconductor device with tilted insulating layers and method for fabricating the same
JP2006190872A (ja) 半導体装置の製造方法
TW201835980A (zh) 後段介電質蝕刻用之選擇性沉積方法
JP2009094123A (ja) 半導体装置の製造方法
KR20020047523A (ko) 반도체 소자의 층간 절연막 형성 방법
TW202033810A (zh) SiOC膜之氧化還原
TW201907518A (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
KR20080064495A (ko) 반도체소자의 금속배선 형성방법