TWI615383B - 熱酸產生劑以及光阻劑圖案修整組合物及方法 - Google Patents

熱酸產生劑以及光阻劑圖案修整組合物及方法 Download PDF

Info

Publication number
TWI615383B
TWI615383B TW105133105A TW105133105A TWI615383B TW I615383 B TWI615383 B TW I615383B TW 105133105 A TW105133105 A TW 105133105A TW 105133105 A TW105133105 A TW 105133105A TW I615383 B TWI615383 B TW I615383B
Authority
TW
Taiwan
Prior art keywords
group
photoresist
photoresist pattern
pattern
thermal acid
Prior art date
Application number
TW105133105A
Other languages
English (en)
Other versions
TW201714874A (zh
Inventor
艾維戴爾 考爾
聰 劉
凱文 羅威爾
格哈德 波勒斯
明琦 李
Original Assignee
羅門哈斯電子材料有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 羅門哈斯電子材料有限公司 filed Critical 羅門哈斯電子材料有限公司
Publication of TW201714874A publication Critical patent/TW201714874A/zh
Application granted granted Critical
Publication of TWI615383B publication Critical patent/TWI615383B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/62Quaternary ammonium compounds
    • C07C211/63Quaternary ammonium compounds having quaternised nitrogen atoms bound to acyclic carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C25/00Compounds containing at least one halogen atom bound to a six-membered aromatic ring
    • C07C25/18Polycyclic aromatic halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/28Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • C07C309/57Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing carboxyl groups bound to the carbon skeleton
    • C07C309/58Carboxylic acid groups or esters thereof
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/28Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • C07C309/57Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing carboxyl groups bound to the carbon skeleton
    • C07C309/60Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing carboxyl groups bound to the carbon skeleton the carbon skeleton being further substituted by singly-bound oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C321/00Thiols, sulfides, hydropolysulfides or polysulfides
    • C07C321/24Thiols, sulfides, hydropolysulfides, or polysulfides having thio groups bound to carbon atoms of six-membered aromatic rings
    • C07C321/28Sulfides, hydropolysulfides, or polysulfides having thio groups bound to carbon atoms of six-membered aromatic rings
    • C07C321/30Sulfides having the sulfur atom of at least one thio group bound to two carbon atoms of six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C323/00Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups
    • C07C323/10Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and singly-bound oxygen atoms bound to the same carbon skeleton
    • C07C323/18Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and singly-bound oxygen atoms bound to the same carbon skeleton having the sulfur atom of at least one of the thio groups bound to a carbon atom of a six-membered aromatic ring of the carbon skeleton
    • C07C323/20Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and singly-bound oxygen atoms bound to the same carbon skeleton having the sulfur atom of at least one of the thio groups bound to a carbon atom of a six-membered aromatic ring of the carbon skeleton with singly-bound oxygen atoms bound to carbon atoms of the same non-condensed six-membered aromatic ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/06Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom containing only hydrogen and carbon atoms in addition to the ring nitrogen atom
    • C07D213/16Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom containing only hydrogen and carbon atoms in addition to the ring nitrogen atom containing only one pyridine ring
    • C07D213/20Quaternary compounds thereof
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/60Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached to ring carbon atoms
    • C07D213/61Halogen atoms or nitro radicals
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D239/00Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings
    • C07D239/02Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings not condensed with other rings
    • C07D239/24Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings not condensed with other rings having three or more double bonds between ring members or between ring members and non-ring members
    • C07D239/26Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings not condensed with other rings having three or more double bonds between ring members or between ring members and non-ring members with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to ring carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D239/00Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings
    • C07D239/70Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings condensed with carbocyclic rings or ring systems
    • C07D239/72Quinazolines; Hydrogenated quinazolines
    • C07D239/74Quinazolines; Hydrogenated quinazolines with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, attached to ring carbon atoms of the hetero ring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/58Ring systems containing bridged rings containing three rings
    • C07C2603/70Ring systems containing bridged rings containing three rings containing only six-membered rings
    • C07C2603/74Adamantanes

Landscapes

  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Pyridine Compounds (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

提供離子性熱酸產生劑,其具有以下通式(I):
其中:Ar1表示視情況經取代之碳環或雜環芳族基團;W獨立地表示選自羧基、羥基、硝基、氰基、C1-5烷氧基及甲醯基之基團;X為陽離子;Y獨立地表示鍵聯基團;Z獨立地表示選自羥基、氟化醇、酯、視情況經取代之烷基、C5或更高碳的視情況經取代之單環、多環、稠合多環環脂族、或芳基之基團,其可視情況包括雜原子,其限制條件為Z的至少一次出現為羥基;a為0或更大之整數;b為1或更大之整數;其限制條件為a+b為至少1且不超過所述芳族基團的可用芳族碳原子之總數。此外提供光阻劑圖案修整組合物,以及使用所述修整組合物修整光阻劑圖案之方法。所述熱酸產生劑、組合物及方法尤其適用於製造半導體裝置。

Description

熱酸產生劑以及光阻劑圖案修整組合物及方法
本發明大體上係關於電子裝置之製造。更特定言之,本發明係關於修整適用於形成精細微影圖案的光阻劑圖案之組合物及方法。
在半導體製造工業中,光阻劑材料用於將影像轉移至安置在半導體基板上的一個或多個底層,諸如金屬、半導體及介電層,以及所述基板本身。光阻劑材料另外用於例如形成離子植入遮罩中之半導體製造中。為了提高半導體裝置之集成密度及允許形成尺寸在奈米範圍內之結構,已開發並且繼續開發具有高解析度能力之光阻劑及微影處理工具。
正型化學增幅光阻劑習知地用於高解析度處理。此類抗蝕劑通常採用具有酸不穩定離去基之樹脂及光酸產生劑。經由光遮罩逐圖案暴露於活化輻射使得酸產生劑形成酸,其在曝光後烘烤期間造成樹脂之曝光區域中之酸不穩定基團的裂解。此產生水性鹼性顯影劑溶液中的抗蝕劑的曝光與未曝光區之間的可溶性特徵差異。在正型顯影(PTD)方法中,抗蝕劑的曝光區域可溶於水性鹼性顯影劑中且自基板 表面去除,而不溶於顯影劑中的未曝光區在顯影之後保留以形成正像。
微影定標習知地藉由增加光學曝光設備的數值孔徑及使用較短曝光波長,例如200nm或更短,例如193nm或EUV波長(例如13.5nm),以及化學增幅光阻劑實現。為進一步改進微影效能,已開發浸沒微影工具以有效地增加成像裝置,例如具有KrF或ArF光源的掃描儀的鏡頭之數值孔徑(NA)。此藉由在成像裝置之最後一個表面與半導體晶圓的上表面之間使用相對高折射率流體(亦即浸沒流體)實現。浸沒流體允許相比於在空氣或惰性氣體介質之情況下將出現較大量的光聚焦至抗蝕劑層中。當使用水作為浸沒流體時,最大數值孔徑可例如自1.2增加至1.35。在數值孔徑的此類增加的情況下,有可能在單一曝光方法中實現40nm半間距解析度,因此允許改進之設計收縮。但是,此標準浸沒微影方法一般不適合於製造需要較大解析度之裝置。
目前,行業已達到進一步增加數值孔徑或減少曝光波長不可行的點。因此,正研究定標積體電路微影之替代方法。已自材料及處理觀點對於使實際解析度延伸至藉由標準微影技術實現的解析度之外作出大量努力。舉例而言,已提出多重(亦即雙重或更高階)圖案化方法用於超出習知微影工具的解析度下限的印刷CD及間距。一種此類雙重圖案化方法為平版印刷-平版印刷-蝕刻(LLE)雙重圖案化,其涉及形成第一微影光阻劑圖案,接著形成第二微影光阻劑圖案,其中第二圖案之線安置於第一圖案的相鄰線之間。LLE雙重圖案化及其他先進微影方法通常需要藉由直接平版印刷形成 經分離特徵,諸如線或柱。但是,形成具有可接受製程窗口之經分離特徵可由於離焦處之不佳投影對比度而提出挑戰。
為了形成比藉由單獨直接成像所可達到更精細的光阻劑圖案,已提出光阻劑圖案修整方法(參見例如美國專利申請公開案第US2013/0171574A1號、第US2013/0171825A1號、第US2014/0186772A1號及第US2015/0202414A1號)。光阻劑修整方法通常涉及使包含具有酸不穩定基團的聚合物的光阻劑圖案與含有酸或酸產生劑的組合物接觸。酸或所產生之酸在抗蝕劑圖案之表面區域中造成去保護,所述區域隨後例如藉由與顯影劑溶液接觸而去除。所得抗蝕劑圖案之特徵因此相比於初始抗蝕劑圖案而在尺寸方面有所減少。
光阻劑修整方法可遭遇等密度線偏差,經分離抗蝕劑特徵之尺寸因所述等密度線偏差在修整方法之後與更緻密填充的抗蝕劑特徵之尺寸相比有差異。由於抗蝕劑圖案及隨後經蝕刻特徵之尺寸不同,所得裝置的特性(諸如電特性)可受到不利地影響。等密度線偏差可例如引起電導率之雙峰式分佈,其亦可不利地影響裝置效能。不希望受任何具體的理論所束縛,本發明人相信所述問題為與更緻密填充之抗蝕劑圖案區域相比增加可用於抗蝕劑圖案去保護的經分離抗蝕劑圖案區域中酸之存在的結果。照此,可發生經分離抗蝕劑圖案之去保護增加,歸因於較大量的酸進一步滲透至經分離圖案表面中。等密度線偏差提供現有光遮罩是否可用於在不需要光學近接校正(OPC)的情況下在遮罩上印刷經分離及緻密圖案的指示。如果需要OPC,那麼新光遮罩通常為所需的。 減少或避免修整後等密度線偏差發生將為所期望的。
本領域中需要可解決與現有技術水平相關的一種或多種問題的適用於電子裝置製造之熱酸產生劑、修整組合物及修整方法。
根據本發明之第一態樣,提供離子性熱酸產生劑。離子性熱酸產生劑具有以下通式(I):
Figure TWI615383BD00001
其中:Ar1表示視情況經取代之碳環或雜環芳族基團;W獨立地表示選自羧基、羥基、硝基、氰基、C1-5烷氧基及甲醯基之基團;X為陽離子;Y獨立地表示鍵聯基團;Z獨立地表示選自羥基、氟化醇、酯、視情況經取代之烷基、C5或更高碳的視情況經取代之單環、多環、稠合多環環脂族、或芳基之基團,其可視情況包括雜原子,其限制條件為Z的至少一次出現為羥基;a為0或更大之整數;b為1或更大之整數;其限制條件為a+b為至少1且不超過所述芳族基團的可用芳族碳原子之總數。
根據本發明之另一態樣,提供光阻劑圖案修整組合物。組合物包括:基質聚合物、如本文所述之離子性熱酸產生劑及溶劑。
根據本發明之另一態樣,提供修整光阻劑圖案的方法。所述方法包括:(a)提供半導體基板:(b)在所述基 板上形成光阻劑圖案,其中光阻劑圖案由包括以下的光阻劑組合物形成:包括酸不穩定基團之基質聚合物;光酸產生劑;及溶劑;(c)在所述基板上在光阻劑圖案上方塗佈光阻劑修整組合物,所述光阻劑修整組合物包括:基質聚合物、如本文所述之離子性熱酸產生劑及溶劑;(d)加熱經塗佈基板,進而引起光阻劑圖案之表面區域中的光阻劑基質聚合物的極性改變;及(e)使光阻劑圖案與沖洗劑接觸以去除光阻劑圖案之表面區域,進而形成經修整光阻劑圖案。
本發明之離子性熱酸產生劑、光阻劑圖案修整組合物及光阻劑圖案方法可產生具有可控地減小的抗蝕劑圖案尺寸的精細微影圖案。本發明之較佳組合物及方法允許形成具有有益線寬粗糙度特性之圖案及/或允許形成具有所期望的等密度線偏差特徵之經分離圖案,例如經分離線及柱。
本文中所使用之術語僅出於描述特定實施例的目的並且無意限制本發明。除非上下文以其他方式指示,否則單數形式「一(a/an)」以及「所述」意圖包含單數及複數形式。
多種「視情況經取代之」材料及基團可在一個或多個可用位置適合地經取代。除非另有說明,否則「經取代」應理解為意指包含至少一個取代基,諸如鹵素(亦即F、Cl、Br、I)、羥基、胺基、硫醇、羧基、羧酸酯基、酯、醚、醯胺、腈、硫基、二硫基、硝基、C1-18烷基、C1-18烯基(包含降冰片烯基)、C1-18烷氧基、C2-18烯氧基(包含乙烯醚)、C4-18芳基、C6-18芳氧基、C7-18烷基芳基或C7-18烷基芳氧基,視情況包含一個或多個雜原子。
「氟化」應理解為意味著具有一個或多個併入至基團中的氟原子。
如本文中所使用,術語「烷基」包含直鏈烷基、分支鏈烷基、環狀(單環或多環)烷基,以及合併有直鏈、分支鏈及環狀基團的雙向及三向組合的烷基。
100‧‧‧基板
102‧‧‧層
102'‧‧‧經蝕刻特徵圖案
103‧‧‧硬遮罩層
103'‧‧‧圖案化硬遮罩層
104‧‧‧底部抗反射塗層
104'‧‧‧底部抗反射塗層圖案
106‧‧‧光阻劑層
106'‧‧‧抗蝕劑圖案
106"‧‧‧修整圖案
108‧‧‧活化輻射
110‧‧‧光遮罩
112‧‧‧圖案修整層/殘餘修整組合物層
114‧‧‧抗蝕劑圖案表面區域
114a'‧‧‧
L1‧‧‧初始尺寸
L2‧‧‧修整後尺寸
將參照以下附圖描述本發明,其中相同的元件符號表示相同的特徵,且其中:圖1A-H說明形成根據本發明之微影圖案的方法流程。
熱酸產生劑及光阻劑圖案修整組合物
光阻劑圖案修整組合物包含基質聚合物;離子性熱酸產生劑及陽離子;及溶劑,且可包含一種或多種視情況選用之其他組分。當在光阻劑圖案上方塗佈時,根據本發明之光阻劑修整組合物可提供具有可控地減小的抗蝕劑圖案尺寸的精細微影圖案。本發明之較佳的光阻劑組合物可以提供有利的線寬粗糙度(LWR)及/或等密度線偏差特性。
基質聚合物允許組合物以具有所期望的厚度的層形式塗佈於光阻劑圖案上方。此將有助於確保存在足夠含量的所產生之酸以與光阻劑圖案表面相互作用。基質聚合物應在用於修整方法中的漂洗溶液中具有良好可溶性。舉例而言,基質聚合物可以可溶於水性鹼性顯影劑,較佳地水性氫氧化四級銨溶液,諸如水性四甲基氫氧化銨中,或水中。為使來源於外塗層材料的殘留缺陷最小化,修整組合物的乾燥 層之溶解速率應大於待藉由顯影劑溶液去除的光阻劑圖案表面區域之溶解速率。基質聚合物通常展現100Å/s或更高,較佳地1000Å/s或更高之顯影劑溶解速率。基質聚合物可溶於本文所描述的修整組合物的溶劑中。基質聚合物可以例如選自聚乙烯醇、聚丙烯酸、聚乙烯吡咯啶酮、聚乙烯胺、聚乙烯縮醛、聚(甲基)丙烯酸酯及其組合。較佳地,聚合物含有一個或多個選自以下的官能基:-OH、-COOH、-SO3H、SiOH、羥基苯乙烯、羥基萘、磺醯胺、六氟異丙醇、脫水物、內酯、酯、醚、烯丙胺、吡咯啶酮及其組合。
組合物中基質聚合物的含量將取決於例如層的目標厚度,其中較高聚合物含量用於較厚層。基質聚合物通常以按修整組合物之總固體計80至99重量%,更通常90至98重量%的量存在於組合物中。聚合物的重量平均分子量(Mw)通常小於400,000,較佳地3000至50,000,更佳地3000至25,000。
適用於外塗層組合物中的聚合物可以為均聚物或具有多個相異重複單元,例如兩個、三個、四個或更多個相異重複單元之共聚物。修整組合物通常包含單一聚合物,但可以視情況包含一種或多種其他聚合物。用於外塗層組合物中的適合的聚合物及單體為可商購的及/或可由本領域中熟習此項技術者容易地製得。
修整組合物進一步包含離子性熱酸產生劑(TAG)。熱酸產生劑當在其活化溫度或高於其活化溫度加熱時產生相應的共軛物芳族磺酸,其驅動光阻劑圖案修整方法。本發明人已認識到,在修整組合物中使用游離酸而非熱 酸產生劑可使得組合物的存放期穩定性降低,歸因於來自與酸過早反應的基質聚合物中的化學變化。
下文展示本發明之熱酸產生劑的典型TAG反應流程:
Figure TWI615383BD00002
在由光阻劑基於去保護反應形成的光阻劑圖案的情況下,所產生之酸可裂解酸不穩定基團(受保護基團),諸如酸不穩定酯基或縮醛基的鍵,從而引起去保護以及光阻劑圖案表面處的抗蝕劑聚合物中的酸基團的形成。
出於調節微影特性(諸如修整量、減少LWR及/或改進等密度線偏差特性)的目的,使用會產生緩慢擴散的磺酸的離子性熱酸產生劑為較佳的。使用大體積陰離子為較佳的以使得所產生之酸緩慢擴散。較佳的為經大體積基團取代的芳族磺酸。適合的大體積基團包含例如以下各項中的一項或多項:分支鏈、單環或多環視情況經取代之烷基、較佳地視情況經取代之金剛烷基、視情況經取代之芳基、視情況經取代之芳烷基及氟化或未氟化醇。所產生之芳族磺酸較佳地為包括視情況經取代之苯基、聯苯基、萘基、蒽基、噻吩基或呋喃基或其組合的磺酸。較大TAG陰離子莫耳體積通常為減少酸擴散至抗蝕劑圖案中所期望的。TAG陰離子之莫耳體積較佳地為100至1000cm3,300至800cm3或400至600cm3。陰離子之重量平均分子量Mw通常為200至2000,更通常為500至1000。
TAG陰離子上存在一個或多個羥基可進一步促成所產生之酸的緩慢擴散率,歸因於可更有效與聚合物結合 的增加的極性官能基。羥基可為非氟化或氟化醇基團之一部分。氟化醇基團可經部分氟化或完全氟化,亦即,全氟化。較佳的氟化醇基團包含氟原子及/或側位氟化基團,諸如部分或完全氟化的烷基(通常為甲基、乙基或丙基),鍵結於在醇羥基的α位處的碳。尤其較佳的為式-C(CF3)2OH的氟醇基團。較佳的為羥基經由鍵聯基團鍵結於TAG陰離子之芳族環。以此方式使用鍵聯基團可進一步增加TAG陰離子及共軛酸的體容度。適合的鍵聯基團包含例如硫、視情況經取代之胺基、醯胺、醚、羰基酯、磺醯基酯、碸、磺醯胺及二價烴基(例如C1-20直鏈、分支鏈或環狀視情況經取代之烴基)以及其組合。出於增加芳族磺酸的體容度的目的,較佳的為芳族酸包含多個醇基團及/或一個或多個其他類型之基團,例如羧基硝基、氰基、C1-5烷氧基、甲醯基、酯、視情況經取代之烷基、C5或更高碳的單環、多環、稠合多環環脂族、或芳基,其可視情況包括雜原子。較佳地,TAG陰離子具有多個氟化或非氟化醇基團,並且更佳地,TAG陰離子具有多個經由相應鍵聯基團鍵結於芳族環的氟化或非氟化醇基團。
熱酸產生劑具有以下通式(I):
Figure TWI615383BD00003
其中:Ar1表示視情況經取代之碳環或雜環芳族基團。Ar1可例如包含單一芳族環,諸如苯基或吡啶基;經另一芳族基團取代的芳族環,諸如聯苯;稠合芳族環,諸如萘基、蒽基、 芘基或喹啉基;或具有芳族及非芳族環兩者的稠合環系統,諸如1,2,3,4-四氫萘、9,10-二氫蒽或茀。視情況,芳族基團可經取代。舉例而言,芳族基團的氫原子中的一個或多個經一個或多個取代基置換,諸如C1-30烷基、C2-30烯基、C7-30芳烷基、C6-30芳基、-OR1、-C1-30伸烷基-OR1及-C1-30伸烷基-OR1;其中R1選自H、C1-30烷基、C2-30烯基及C6-30芳基。多種芳族基可用於Ar1,其可未經取代或經取代。此類未經取代的芳族基團可具有5至40個碳,較佳地6至35個碳,且更較佳地6至30個碳。適合的芳族基團包含(但不限於):苯基、聯苯基、萘基、蒽基、菲基、芘基、並四苯基、三伸苯基、四苯基、苯并[f]四苯基、苯并[m]四苯基、苯并[k]四苯基、稠五苯基、苝基、苯并[a]芘基、苯并[e]芘基、苯并[ghi]苝基、蔻基、喹諾酮基、7,8-苯并喹啉基、茀基以及12H-二苯并[b,h]茀基,其中每一者可為未經取代或經取代的;W獨立地表示選自羧基、羥基、硝基、氰基、C1-5烷氧基及甲醯基之基團;X為如下文所述的陽離子;Y獨立地表示例如選自以下的鍵聯基團:硫、視情況經取代之胺基、醯胺、醚、羰基酯、磺醯基酯、碸、磺醯胺及二價烴基,例如C1-20直鏈、分支鏈或環狀視情況經取代之烴基,及其組合;Z獨立地表示選自羥基、氟化醇、酯、視情況經取代之烷基、C5或更高碳的視情況經取代之單環、多環、稠合多環環脂族、或芳基之基團,其可視情況包括雜原子,其限制條件為Z的至少一次出現為羥基;a為0或更大之整數,通常為0至2;b為1或更大之整數,通常為1或2;其限制條件為a+b為至少1且不超過芳族基團的可用芳族碳原子的總數,且a+b典型為2至 5,更通常為2或3。
適合的例示性熱酸產生劑陰離子包含(但不限於)以下各項:
Figure TWI615383BD00004
Figure TWI615383BD00005
Figure TWI615383BD00006
Figure TWI615383BD00007
熱酸產生劑陽離子較佳為有機陽離子。較佳地,陽離子為通式(I)之含氮陽離子:(BH)+ (I)
其為含氮鹼B之單質子化形式。適合的含氮鹼B包含例如:視情況經取代之胺,諸如氨、二氟甲基氨、C1-20烷基胺及C3-30芳基胺,例如含氮雜芳族鹼,諸如吡啶或經取代吡啶(例如3-氟吡啶)、嘧啶及吡嗪;含氮雜環基團,例如噁唑、噁唑啉或噻唑啉。前述含氮鹼B可例如視情況經一個或多個選自烷基、芳基、鹵素原子(較佳地氟)、氰基、硝基及烷氧 基之基團取代。其中,鹼B較佳地為雜芳族鹼。
鹼B通常具有0至5.0、或在0與4.0之間、或在0與3.0之間、或在1.0與3.0之間的pKa。如本文所用的術語「pKa」根據其領域公認的含義使用,亦即,pKa為在約室溫下水溶液中鹼性部分(B)的共軛酸(BH)+的解離常數的負對數(以10為底)。在某些實施例中,鹼B的沸點低於約170℃、或低於約160℃、150℃、140℃、130℃、120℃、110℃、100℃或90℃。
例示性適合的含氮陽離子(BH)+包含NH4 +、CF2HNH2 +、CF3CH2NH3 +、(CH3)3NH+、(C2H5)3NH+、(CH3)2(C2H5)NH+及以下各項:
Figure TWI615383BD00008
Figure TWI615383BD00009
其中Y為烷基,較佳地,甲基或乙基。
其他適合的陽離子包含鎓陽離子。適合的鎓陽離子包含例如硫鎓及碘鎓陽離子,例如以下通式(II)的鎓陽離子:
Figure TWI615383BD00010
其中X為S或I,其中當X為I時,則a為2,且當X為S時,則a為3;R3獨立地選自有機基團,諸如視情況經取代之C1-30烷基、多環或單環C3-30環烷基、多環或單環C6-30芳基或其組合,其中當X為S時,R3基團中的兩個一起視情況形成環。
例示性適合的硫鎓及碘鎓陽離子包含以下各者:
Figure TWI615383BD00011
應瞭解,根據本發明之適合的TAG包含所描述的陰離子與所描述的陽離子的任何組合。例示性適合的TAG包含(但不限於)以下各項:
Figure TWI615383BD00012
Figure TWI615383BD00013
TAG的重量平均分子量Mw通常為300至2500,更通常為500至1500。熱酸產生劑可通常在100℃或更低(諸如110至100℃,例如80至90℃或70至80℃)的烘烤溫度下活化。以修整組合物之總固體計,熱酸產生劑通常以0.01至20重量%、更通常0.1至10重量%或1至5重量%的量存在於組合物中。
根據本發明之適合的熱酸產生劑可由本領域中熟習此項技術者使用已知技術及市售起始物質製得。舉例而言,較佳的熱酸產生劑可藉由攪拌游離酸與胺於溶劑中之溶液,通常持續數小時來製得。具有硫鎓或碘鎓陽離子的TAG可藉由將芳族磺酸根陰離子之鹽與硫鎓或碘鎓陽離子的鹽在溶劑中混合在一起,通常持續數小時來產生。
修整組合物進一步包含溶劑或溶劑混合物。配製及澆鑄修整組合物的適合的溶劑材料展現關於修整組合物的非溶劑組分的極良好可溶性特徵,但並不明顯地溶解底層光阻劑圖案以使互混最小化。溶劑通常選自水、有機溶劑以及 其組合。修整組合物的適合的有機溶劑包含例如:烷基酯,諸如丙酸烷基酯,諸如丙酸正丁酯、丙酸正戊酯、丙酸正己酯及丙酸正庚酯,及丁酸烷基酯,諸如丁酸正丁酯、丁酸異丁酯及異丁酸異丁酯;酮,諸如2,5-二甲基-4-己酮及2,6-二甲基-4-庚酮;脂族烴,諸如正庚烷、正壬烷、正辛烷、正癸烷、2-甲基庚烷、3-甲基庚烷、3,3-二甲基己烷及2,3,4-三甲基戊烷,及氟化脂族烴,諸如全氟庚烷;醇,諸如直鏈、分支鏈或環狀C4-C9一元醇,諸如1-丁醇、2-丁醇、異丁醇、第三丁醇、3-甲基-1-丁醇、1-戊醇、2-戊醇、4-甲基-2-戊醇、1-己醇、1-庚醇、1-辛醇、2-己醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇及4-辛醇;2,2,3,3,4,4-六氟-1-丁醇、2,2,3,3,4,4,5,5-八氟-1-戊醇及2,2,3,3,4,4,5,5,6,6-十氟-1-己醇,及C5-C9氟化二醇,諸如2,2,3,3,4,4-六氟-1,5-戊二醇、2,2,3,3,4,4,5,5-八氟-1,6-己二醇及2,2,3,3,4,4,5,5,6,6,7,7-十二氟-1,8-辛二醇;醚,諸如異戊基醚及二丙二醇單甲基醚;及含有此等溶劑中的一種或多種的混合物。在此等有機溶劑中,醇、脂族烴及醚為較佳的。修整組合物的溶劑組分通常以按修整組合物計90至99重量%、更佳95至99重量%的量存在。
修整組合物可包含視情況選用之添加劑。舉例而言,修整組合物可包含與抗蝕劑圖案的表面區域反應的額外組分,使得表面區域可溶於有機溶劑沖洗劑中。此視情況選用之組分較佳地含有選自以下的官能基:-OH、胺、-SH、酮、醛、-SiX(其中X為鹵素)、乙烯基醚及其組合。不希望受任何特定理論束縛,咸信組分擴散至抗蝕劑圖案中且與圖案的 羧酸基反應。此反應導致表面的極性改變,使得表面可溶於有機溶劑中。此組分可例如在光阻劑圖案由負型顯影(NTD)形成時適用,其中圖案由包括酸不穩定基團的光阻劑的暴露部分組成。此類組分(如果使用)通常以按修整組合物之總固體計0.1至10重量%的量存在。
修整組合物可進一步包含界面活性劑。典型的界面活性劑包含展現出兩親性的彼等界面活性劑,意味著其可以同時具有親水性及疏水性。兩親性界面活性劑具有一個或多個對水有較強親和力的親水性頭基,以及親有機物質並且排斥水的長疏水性尾。適合的界面活性劑可以為離子性(亦即陰離子性、陽離子性)或非離子性的。界面活性劑的其他實例包含矽酮界面活性劑、聚(環氧烷)界面活性劑及氟化物界面活性劑。適合的非離子性界面活性劑包含(但不限於)辛基苯酚及壬基苯酚乙氧基化物,諸如TRITON® X-114、X-100、X-45、X-15,以及分支鏈二級醇乙氧基化物,諸如TERGITOLTM TMN-6(美國密歇根州米德蘭陶氏化學公司(The Dow Chemical Company,Midland,Michigan USA))。其他例示性界面活性劑包含醇(一級醇及二級醇)乙氧基化物、胺乙氧基化物、葡糖苷、還原葡糖胺、聚乙二醇、聚(乙二醇-共-丙二醇),或由新澤西州格倫洛克(Glen Rock,N.J.)的製造商Confectioners Publishing Co.於2000年出版的北美版《麥卡琴乳化劑及清潔劑(McCutcheon's Emulsifiers and Detergents)》中所公開的其他界面活性劑。炔系二醇衍生物類非離子性界面活性劑亦為適合的。此類界面活性劑可自賓夕法尼亞州艾倫鎮(Allentown,PA)的Air Products and Chemicals,Inc.購得並且以商品名SURFYNOL®及DYNOL®出售。其他適合的界面活性劑包含其他聚合化合物,諸如三嵌段EO-PO-EO共聚物PLURONIC® 25R2、L121、L123、L31、L81、L101及P123(巴斯夫公司(BASF,Inc.))。此類界面活性劑及其他視情況選用之添加劑(如果使用)通常以微量,諸如按修整組合物之總固體計0.01至10重量%存在於組合物中。
修整組合物較佳地不含交聯劑,因為此類材料可導致抗蝕劑圖案的尺寸增加。
修整組合物可遵循已知程序製備。舉例而言,可以藉由將組合物的固體組分溶解於溶劑組分中來製備組合物。組合物的所期望的總固體含量將取決於諸如所期望的最終層厚度的因素。較佳地,修整組合物的固體含量為按組合物的總重量計1至10重量%,更佳地1至5重量%。
光阻劑圖案修整方法
根據本發明之方法現將參看圖1A-H描述,其說明使用根據本發明之光阻劑圖案修整技術形成微影圖案的例示性方法流程。儘管說明的方法流程為正型顯影方法,本發明亦適用於負型顯影(NTD)方法。另外,儘管說明的方法流程描述單一抗蝕劑遮罩用於將經修整光阻劑圖案轉移至底層基板的圖案化方法,應瞭解修整方法可用於其他微影方法,例如雙重圖案化方法,諸如平版印刷-平版印刷-蝕刻(LLE)、平版印刷-蝕刻-平版印刷-蝕刻(LELE),或自對準雙重圖案化(SADP),呈離子植入遮罩形式,或光阻劑圖案的修整將有益的任何其他微影方法中。
圖1A以橫截面描繪可以包含各種層及特徵的基板100。基板可以具有諸如半導體,諸如矽或化合物半導體(例如III-V或II-VI)、玻璃、石英、陶瓷、銅及其類似材料的材料。通常,基板為半導體晶圓,諸如單晶矽或化合物半導體晶圓,並且可具有形成於其表面上的一個或多個層及經圖案化特徵。待圖案化的一個或多個層102可以設置於基板100上方。視情況,底層基底基板材料自身可經圖案化,例如當所期望的為在基板材料中形成溝槽時。在對基底基板材料自身圖案化的情況下,圖案應被認為形成於基板的層中。
層可以包含例如一種或多種導電層,諸如鋁、銅、鉬、鉭、鈦、鎢、合金、此類金屬的氮化物或矽化物、摻雜非晶矽或摻雜多晶矽的層;一種或多種介電層,諸如氧化矽、氮化矽、氮氧化矽或金屬氧化物的層;半導體層,諸如單晶矽,以及其組合。待蝕刻的層可以由各種技術形成,例如化學氣相沈積(CVD),諸如電漿增強CVD、低壓CVD或磊晶生長,物理氣相沈積(PVD),諸如濺鍍或蒸鍍,或電鍍。待蝕刻的一個或多個層102的特定厚度將取決於材料及形成的特定裝置變化。
取決於待蝕刻的特定層、膜厚度及待使用的微影材料及方法,可能所期望的為在層102上安置硬遮罩層103及/或底部抗反射塗層(BARC)104,在其上塗佈光阻劑層106。使用硬遮罩層可能例如在極薄抗蝕劑層的情況下為所期望的,其中待蝕刻的層需要顯著蝕刻深度,及/或其中特定蝕刻劑具有不良抗蝕劑選擇性。當使用硬遮罩層時,待形成的抗蝕劑圖案可以轉移至硬遮罩層103,其轉而可以蝕刻底層 102的遮罩形式使用。適合的硬遮罩材料及形成方法為本領域中已知的。典型的材料包含例如鎢、鈦、氮化鈦、氧化鈦、氧化鋯、氧化鋁、氮氧化鋁、氧化鉿、非晶碳、氮氧化矽及氮化矽。硬遮罩層可以包含單個層或不同材料的多個層。硬遮罩層可以例如藉由化學或物理氣相沈積技術形成。
當基板及/或底層將在光阻劑曝光期間另外反射大量入射輻射,使得形成的圖案的品質將受不利影響時,底部抗反射塗層可為所期望的。此類塗層可以改進聚焦深度、曝光寬容度、線寬均勻性及CD控制。抗反射塗層通常用於抗蝕劑曝光於深紫外光(300nm或更小),例如KrF準分子雷射(248nm)或ArF準分子雷射(193nm)的情況下。抗反射塗層可以包括單個層或多個不同層。適合的抗反射材料及形成方法在本領域中已知。抗反射材料為可商購的,例如由羅門哈斯電子材料有限責任公司(Rohm and Haas Electronic Materials LLC)(美國馬薩諸塞州馬波羅(Marlborough,MA USA))以ARTM商標出售的彼等,諸如ARTM40A及ARTM124抗反射材料。
光阻劑層106由包括具有酸不穩定基團的基質聚合物的光阻劑材料(通常為化學增幅感光組合物)形成。光阻劑層在抗反射層104(如果存在)上方安置於基板上。光阻劑組合物可以藉由旋塗、浸漬、輥塗或其他習知塗佈技術塗覆至基板。在此等技術中,旋塗為典型的。對於旋塗,塗料溶液的固體含量可以基於所採用的特定塗佈設備、溶液的黏度、塗佈工具的速度以及允許旋轉的時間量進行調節來提供所期望的膜厚度。光阻劑層106的典型厚度為約500至3000 Å。
光阻劑層106可以隨後經軟烘烤以使層中的溶劑含量最小化,進而形成無黏性塗層且改善層與基板的黏附。軟性烘烤可以在加熱板上或烘箱中進行,其中加熱板為典型的。軟性烘烤溫度及時間將取決於例如光阻劑的特定材料及厚度。典型的軟性烘烤在約90至150℃之溫度下進行,且時間為約30至90秒。
光阻劑層106隨後經由光遮罩110暴露於活化輻射108以在曝光區與未曝光區之間產生可溶性差異。本文中提及將光阻劑組合物曝露於使組合物活化的輻射表明輻射能夠在光阻劑組合物中形成潛像。光遮罩具有對應於抗蝕劑層的區的光學透明區及光學不透明區以藉由活化輻射分別曝光及未曝光。曝光波長通常低於400nm、低於300nm或低於200nm,諸如193nm或為EUV波長(例如13.4或13.5nm),其中193nm(浸沒或乾式微影)及EUV為較佳的。曝光能量通常為約10至80mJ/cm2,其取決於曝光工具及感光組合物的組分。
在光阻劑層106曝光後,通常進行曝光後烘烤(PEB)。PEB可以例如在加熱板上或烘箱中進行。PEB的條件將取決於例如特定光阻劑組合物及層厚度。PEB通常在約80至150℃之溫度下進行,且時間為約30至90秒。進而形成由極性切換區與非切換區(分別對應於曝光區與未曝光區)之間的邊界界定的潛像。
光阻劑層106隨後經顯影以去除層的曝光區域,留下形成具有多個如圖1B中所示的特徵的抗蝕劑圖案106' 的未曝光區。特徵不受限制且可包含例如多個線及/或圓柱形柱,其將允許在待圖案化的底層中形成線及/或接觸孔圖案。形成的圖案具有顯示為L1的初始尺寸,其為線圖案情況下的線寬或柱圖案的柱直徑。在負型顯影方法的情況下,當光阻劑層的未曝光區經去除且保留曝光區以形成抗蝕劑圖案時,通常採用有機溶劑顯影劑。有機顯影劑可例如為選自酮、酯、醚、烴及其混合物的溶劑,其中2-庚酮及乙酸正丁酯為典型的。
如本文所述的光阻劑圖案修整組合物的層112形成於光阻劑圖案106'上方,如圖1C中所示。修整組合物通常藉由旋塗塗覆至基板。塗料溶液的固體含量可以基於所採用的特定塗佈設備、溶液的黏度、塗佈工具的速度及允許旋轉的時間量進行調節以提供所期望的膜厚度。圖案修整層112的典型厚度為200至1500Å,通常在未經圖案化的基板上量測。
如圖1D中所示,基板隨後經烘烤以去除修整組合物層中的溶劑、活化熱酸產生劑以及允許所產生之酸擴散至抗蝕劑圖案106'的表面中以在抗蝕劑圖案表面區域114中引起極性改變反應。烘烤可用加熱板或烘箱進行,其中加熱板為典型的。適合的烘烤溫度為大於50℃,例如大於70℃、大於90℃、大於120℃或大於150℃,其中70至160℃之溫度及約30至90秒的時間為典型的。儘管單一烘烤步驟為典型的,但可使用多步驟烘烤且其可適用於抗蝕劑輪廓調節。
光阻劑圖案隨後與沖洗劑,通常顯影液接觸以去除光阻劑圖案的殘餘修整組合物層112及表面區域114,所得 修整圖案106"顯示於圖1E中。沖洗劑通常為水性鹼性顯影劑,例如氫氧化四級銨溶液,例如四烷基氫氧化銨溶液,諸如0.26當量濃度(N)(2.38重量%)四甲基氫氧化銨(TMAH)。或者,可使用有機溶劑顯影劑,例如選自酮、酯、醚、烴及其混合物的溶劑,諸如2-庚酮及乙酸正丁酯。沖洗劑可另外為或包括水。所得結構顯示於圖1E中。修整之後的抗蝕劑圖案具有相比於修整之前的特徵尺寸較小的尺寸(L2)。
使用抗蝕劑圖案106"作為蝕刻遮罩,BARC層104選擇性地經蝕刻以形成BARC圖案104',其暴露底層硬遮罩層103,如圖1F中所示。硬遮罩層隨後選擇性地經蝕刻,再次使用抗蝕劑圖案作為蝕刻遮罩,產生圖案化BARC及硬遮罩層103',如圖1G中所示。用於蝕刻BARC層及硬遮罩層的適合蝕刻技術及化學物質為本領域中已知的且將取決於例如此等層的特定材料。乾式蝕刻方法,諸如反應性離子蝕刻為典型的。抗蝕劑圖案106"及圖案化BARC層104'隨後使用已知技術,例如氧電漿灰化自基板去除。使用硬遮罩圖案103'作為蝕刻遮罩,隨後選擇性蝕刻一個或多個層102。用於蝕刻底層102的適合蝕刻技術及化學物質為本領域中已知的,其中乾式蝕刻法(諸如反應性離子蝕刻)為典型的。圖案化硬遮罩層103'可隨後使用已知技術,例如乾式蝕刻法,諸如反應性離子蝕刻或濕條帶自基板表面去除。所得結構為如圖1H中所示的經蝕刻特徵圖案102'。在替代例示性方法中,可能所期望的為在不使用硬遮罩層103的情況下直接使用光阻劑圖案106"對層102圖案化。是否可採用直接用抗蝕劑圖案進行圖案化將取決於諸如涉及的材料、抗蝕劑選擇性、抗蝕劑 圖案厚度及圖案尺寸的因素。
以下非限制性實例說明本發明。
實例
如下所述的實例中使用表1中展示的熱酸產生劑。
Figure TWI615383BD00014
熱酸產生劑合成
實例1:合成TAG-3
3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸3-氟吡啶-1-鎓(3FP SIPA-DiHFA)(TAG-3)根據下文流程1中展示的反應程序製備。
Figure TWI615383BD00015
合成3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸(酸-A)50重量%水溶液形式的5-磺基間苯二甲酸(6.3g,24.3mmol)與15g(70.7mmol)4,4,4-三氟-3-(三氟甲基)丁烷-1,3-二醇在室溫下在氮氣流下混合。反應混合物之溫度隨後升高至110-120℃。在此溫度下,在持續蒸發水作為副產物的情況下進行反應2-3小時。反應混合物隨後倒入1M HCl水溶液中。在5-10分鐘之後,混合物分成兩層。有機層經回收,用1M HCl水溶液洗滌三次,且隨後用***萃取。粗產物隨後經MgSO4乾燥。揮發性污染物藉由旋轉蒸發去除。粗產物另外用庚烷:丙酮(8:2)洗滌以產生固體 酸A,產率為64%。1H NMR((CD3)2CO,500MHz):δ 2.63(t,4H),4.68(t,4H),7.11(bs,3H),8.68(m,3H)。19F NMR((CD3)2CO,500MHz):δ -76.56。
合成3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸3-氟吡啶-1-鎓(3FP SIPA-DiHFA)TAG-3:向3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸(酸-A)(32g,48.33mmol)於甲醇(200mL)中之溶液中添加3-氟吡啶(7g,72.14mmol)。在室溫下攪拌所得混合物過夜。完成後,在減壓下濃縮反應混合物。將庚烷(300mL)添加至呈膠形式之所得粗產物中,且使混合物經2小時靜置。 膠開始緩慢形成固體。過濾白色固體且用庚烷及二氯甲烷洗滌,得到純產物3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸3-氟吡啶-1-鎓(3FP SIPA-DiHFA)(TAG-3),產率為90%(32g)。1H NMR(CDCl3,500MHz):δ 2.17(t,4H),4.14(t,4H),7.30(m,4H),8.45(m,3H)。19F NMR((CD3)2CO,500MHz):δ -76.56,-123.06。
實例2:合成TAG-4
3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸吡啶-1-鎓(Pyr SIPA-DiHFA)(TAG-4)根據下文流程2中展示的反應程序製備。
Figure TWI615383BD00016
合成3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸吡啶-1-鎓(Pyr SIPA-DiHFA)TAG-4:化合物TAG-4根據如以上實例TAG-3中所述相同的程序合成,產率90%,呈白色固體狀。1H NMR((CD3)2CO,500MHz):δ 2.63(t,4H),4.68(t,4H),7.11(bs,3H),8.68(m,3H),8.50(m,2H),9.16(m,1H),9.23(m,2H)。19F NMR((CD3)2CO,500MHz):δ -76.62
實例3:合成TAG-5
3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸嘧啶-1-鎓(SIPA-DiHFA嘧啶鎓)(TAG-5)根據下文流程3中展示的反應程序製備。
Figure TWI615383BD00017
合成3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸嘧啶-1-鎓(SIPA-DiHFA嘧啶鎓)TAG-5:化合物TAG-5根據如實例TAG-3中所述相同的程序合成,產率86%,呈白色固體狀。1H NMR(DMSO-d6,500MHz):δ 2.59(t,4H),4.63(t,4H),7.65(bs,1H),8.51(m,3H),8.92(BS,2H),9.30(bs,1H)。19F NMR((CD3)2CO,500MHz):δ -76.48。
實例4:合成TAG-6
3,5-雙(((3-羥基金剛烷-1-基)甲氧基)羰基)苯磺酸3-氟吡啶-1-鎓(3FP SIPA-DiAdOH)(TAG-6)根據以下流 程4中所示之反應順序製備。
Figure TWI615383BD00018
合成3,5-雙((((1r,3s,5R,7S)-3-羥基金剛烷-1-基)甲氧基)羰基)苯磺酸(SIPA-DiAdOH)酸-B:將(1s,3r,5R,7S)-3-(羥基甲基)金剛烷-1-醇(20g,0.11mol)溶解於甲苯(70mL)中,且將溶液溫熱至80℃。向此溫熱混合物中,緩慢添加5-磺基間苯二甲酸(10g,40.61mmol)。反應混合物在迪恩-斯達克(dean stark)下回流6小時。在完成後,將反應混合物冷卻且添加至庚烷(1L)中。將反應漿料攪拌1小時。過濾固體且乾燥,得到固體酸B(11g),產率50%。1H NMR((CD3)2CO,500MHz):δ 1.45(m,10H),1.63(m,10H),2.03(m,4H),4.1(s,4H),4.46(bs,2H),8.79(m,3H)。
合成3,5-雙(((3-羥基金剛烷-1-基)甲氧基)羰基)苯磺酸3-氟吡啶-1-鎓(3FP SIPA-DiAdOH)TAG-7:向3,5-雙((((1r,3s,5R,7S)-3-羥基金剛烷-1-基)甲氧基)羰基)苯磺酸(SIPA-DiAdOH)酸-B(9g,15.67mmol)於甲醇(100mL)中之溶液中添加3-氟吡啶(5g,51.49mmol)。將所得混合物 在室溫下攪拌過夜。在完成後,在減壓下濃縮反應混合物。MTBE(甲基第三丁基醚)(200mL)添加至呈膠形式之所得粗產物中,且使混合物經2小時靜置。膠開始緩慢形成固體。過濾白色固體且過濾,用庚烷及二氯甲烷洗滌,得到純產物3,5-雙(((3-羥基金剛烷-1-基)甲氧基)羰基)苯磺酸3-氟吡啶-1-鎓(3FP SIPA-DiAdOH)TAG-6,產率66%(7g)。
光阻劑組合物製備
以下單體M1-M5用於形成用以製備下文所述的光阻劑(光阻劑組合物A)的聚合物:
Figure TWI615383BD00019
正性化學增幅光阻組合物藉由組合4.54g聚合物A(M1/M2/M3/M4/M5=2/1/4/1/2莫耳比,MW=10K)、0.401g降冰片烷基全氟乙氧基乙基磺酸(4-第三丁基苯基)四亞甲基硫鎓(TBPTMS-NBPFEES)、0.178g 4,4,5,5,6,6-六氟二氫-4H-1,3,2-二噻嗪1,1,3,3-四氧化三苯基硫鎓(TPS-PFSI-CY6)、0.039g 1-(第三丁氧基羰基)-4-羥基哌啶(TBOC-4HP)、0.008g POLYFOX 656界面活性劑(歐諾法溶液公司(Omnova Solutions Inc.))、75.87g丙二醇甲醚乙酸酯及18.97g環己酮製備。
光阻劑圖案化晶圓製備
塗佈有80nm BARC層(ARTM40A抗反射劑,美 國馬薩諸塞州馬波羅的陶氏電子材料(Dow Electronic Materials,Marlborough,MA USA))的8英吋矽晶圓經光阻劑組合物A旋塗且在100℃下軟性烘烤60秒以得到900Å的抗蝕劑層厚度。晶圓使用具有NA=0.75、偶極子35Y照射(0.89/0.64δ)的ASML ArF 1100掃描儀,使用具有120nm 1:1及1:8的PSM特徵尺寸的線空圖案的遮罩,在具有0.89/0.64的外/內δ的偶極子-35Y下曝光。曝光的晶圓在100℃下經曝光後烘烤60秒且用0.26N TMAH溶液顯影以形成120nm 1:1及1:8線空圖案(占空比=1:1)成像抗蝕劑層。圖案的CD藉由處理由自上向下的掃描電子顯微術(SEM)捕捉的影像測定,所述SEM使用在500伏特(V)的加速電壓、5.0微微安(pA)的探針電流下操作,使用150Kx放大率的日立(Hitachi)9380 CD-SEM。對於各晶圓獲取三個曝光寬容度且經平均化。平均曝光寬容度隨後使用多項式回歸擬合以確定無抗蝕劑圖案修整的情況下的120nm線的適當尺寸劑量。此尺寸劑量隨後與抗蝕劑圖案修整晶圓的多項式回歸一起使用以計算各圖案修整晶圓的最終CD。CD量測值的結果顯示於表2中。
光阻劑修整組合物、圖案修整及評估
實例5(比較)(PTC-1)
將0.202g甲基丙烯酸正丁酯/甲基丙烯酸聚合物(77/23重量比)之共聚物、0.014g 1,1,2,2,3,3,4,4,4-九氟丁烷-1-磺酸3-氟吡啶-1-鎓TAG 1(3FP PFBuS)、7.827g甲基異丁基甲醇及1.957g異戊基醚混合直至所有組分溶解。混合物用0.2微米耐綸(Nylon)過濾器過濾,產生光阻劑修整組合物PTC-1。PTC-1的60nm膜旋塗於如上製備的光阻劑圖案化 晶圓上,在70℃或90℃下在加熱板上烘烤60秒且用SH噴嘴在2.38重量% TMAH顯影劑中顯影12秒。修整圖案的CD以與修整前圖案相同的方式量測,結果顯示於表2中。
實例6(比較)(PTC-2)
將0.203g甲基丙烯酸正丁酯/甲基丙烯酸聚合物(77/23重量比)之共聚物、0.013g 1,1,2,2,3,3,4,4,4-九氟丁烷-1-磺酸嘧啶-1-鎓TAG 2(PFBuS嘧啶鎓)、7.827g甲基異丁基甲醇及1.957g異戊基醚混合直至所有組分溶解。PTC-2中的TAG-2的含量與實例1中的TAG 1含量等莫耳。混合物用0.2微米耐綸過濾器過濾,產生光阻劑修整組合物PTC-2。PTC-2的60nm膜旋塗於如上製備的光阻劑圖案化晶圓上,在70℃或90℃之溫度下在加熱板上烘烤60秒且用SH噴嘴在2.38重量% TMAH顯影劑中顯影12秒。修整圖案的CD以與修整前圖案相同的方式量測,結果顯示於表2中。
實例7(PTC-3)
將0.191g甲基丙烯酸正丁酯/甲基丙烯酸聚合物(77/23重量比)之共聚物、0.025g 3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸3-氟吡啶-1-鎓TAG-3(3FP SIPA-DiHFA)、7.827g甲基異丁基甲醇及1.957g異戊基醚混合直至所有組分溶解。PTC-3中的TAG-3的含量與實例1中的TAG-1含量等莫耳。混合物用0.2微米耐綸過濾器過濾,產生光阻劑修整組合物PTC-3。PTC-3的60nm膜在如上製備的光阻劑圖案化晶圓上旋塗,在90℃之溫度下在加熱板上烘烤60秒,且用SH噴嘴在2.38重量% TMAH顯影劑中顯影12秒。修整圖案的CD以與修整前圖案相同的方式量測,結果顯 示於表2中。
實例8(PTC-4)
將0.191g甲基丙烯酸正丁酯/甲基丙烯酸聚合物(77/23重量比)之共聚物、0.025g 3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸吡啶-1-鎓TAG-4(Pyr SIPA-DiHFA)、7.827g甲基異丁基甲醇及1.957g異戊基醚混合直至所有組分溶解。PTC-4中的TAG-4的含量與實例1中的TAG-1含量等莫耳。混合物用0.2微米耐綸過濾器過濾,產生光阻劑修整組合物PTC-4。PTC-4的60nm膜旋塗於如上製備的光阻劑圖案化晶圓上,在90℃或105℃之溫度下在加熱板上烘烤60秒且用SH噴嘴在2.38重量% TMAH顯影劑中顯影12秒。修整圖案的CD以與修整前圖案相同的方式量測,結果顯示於表2中。
實例9(PTC-5)
將0.191g甲基丙烯酸正丁酯/甲基丙烯酸聚合物(77/23重量比)之共聚物、0.025g 3,5-雙((4,4,4-三氟-3-羥基-3-(三氟甲基)丁氧基)羰基)苯磺酸嘧啶-1-鎓TAG-5(SIPA-DiHFA嘧啶鎓)、7.827g甲基異丁基甲醇及1.957g異戊基醚混合直至所有組分溶解。PTC-5中的TAG-5的含量與實例1中的TAG-1含量等莫耳。混合物用0.2微米耐綸過濾器過濾,產生光阻劑修整組合物PTC-5。PTC-5的60nm膜旋塗於如上製備的光阻劑圖案化晶圓上,在90℃或105℃之溫度下在加熱板上烘烤60秒且用SH噴嘴在2.38重量% TMAH顯影劑中顯影12秒。修整圖案的CD以與修整前圖案相同的方式量測,結果顯示於表2中。
實例10(PTC-6)
將0.193g甲基丙烯酸正丁酯/甲基丙烯酸聚合物(77/23重量比)之共聚物、0.023g 3,5-雙(((3-羥基金剛烷-1-基)甲氧基)羰基)苯磺酸3-氟吡啶-1-鎓TAG-6(3FP SIPA-DiAdOH)、7.827g甲基異丁基甲醇及1.957g異戊基醚混合直至所有組分溶解。PTC-6中的TAG-6的含量與實例1中的TAG-1含量等莫耳。混合物用0.2微米耐綸過濾器過濾,產生光阻劑修整組合物PTC-6。PTC-6的60nm膜旋塗於如上製備的光阻劑圖案化晶圓上,在90℃之溫度下在加熱板上烘烤60秒且用SH噴嘴在2.38重量% TMAH顯影劑中顯影12秒。修整圖案的CD以與修整前圖案相同的方式量測,結果顯示於表2中。
等密度線偏差
使用以下方程式計算各種樣品的等密度線偏差:IDB=△CD1:8-△CD1:1
其中:IDB=等密度線偏差;△CD1:8=[(修整之前的120nm 1:8圖案的CD)-(修整之後的120nm 1:8圖案的CD)];且△CD1:1=[(修整之前的120nm 1:1圖案的CD)-(修整之後的120nm 1:1圖案的CD)]。10nm或更多的等密度線偏差視為較差且低於10nm良好,更低值指示相對於較高值等密度線偏差改進。結果提供於表2中。
Figure TWI615383BD00020
如自表2可見,含有大體積芳族磺酸根TAG陰離子之修整組合物PTC-3至PTC-6與含有較小非芳族磺酸根TAG陰離子之比較組合物PTC-1及PTC-2相比在相同或較高溫度下產生修整量更低的抗蝕劑圖案。咸信更低修整值為與比較實例的TAG相比PTC-3至PTC-6 TAG的相對大體積陰離子之結果。與比較組合物PTC-1及PTC-2,修整組合物PTC-3、PTC-4及PTC-6的相比等密度線偏差改進(更低)。在不同烘烤溫度下測試的修整組合物各自展現出修整量隨著溫度增加而增加,指示在相關去保護反應的情況下酸進入抗蝕劑的擴散增加。
100‧‧‧基板
102‧‧‧層
103‧‧‧硬遮罩層
104‧‧‧底部抗反射塗層
106'‧‧‧抗蝕劑圖案
112‧‧‧圖案修整層/殘餘修整組合物層
114‧‧‧抗蝕劑圖案表面區域

Claims (8)

  1. 一種離子性熱酸產生劑,其具有以下通式(I): 其中:Ar1表示視情況經取代之碳環或雜環芳族基團;W獨立地表示選自羧基、羥基、硝基、氰基、C1-5烷氧基及甲醯基之基團;X為陽離子;Y獨立地選自硫、視情況經取代之胺基、醯胺、醚、羰基酯、磺醯基酯、碸、磺醯胺、二價烴基及其組合;Z獨立地表示選自羥基、氟化醇、酯、視情況經取代之烷基、C5或更高碳的視情況經取代之單環、多環、稠合多環環脂族、或芳基之基團,其可視情況包括雜原子,其限制條件為Z的至少一次出現為羥基;a為0或更大之整數;b為1或更大之整數;其限制條件為a+b為至少1且不超過所述芳族基團的可用芳族碳原子之總數。
  2. 如申請專利範圍第1項所述的離子性熱酸產生劑,其中所述羥基經由酯基鍵結於芳族環。
  3. 如申請專利範圍第2項所述的離子性熱酸產生劑,其中陰離子包括多個羥基。
  4. 如申請專利範圍第3項所述的離子性熱酸產生劑,其中所述陰離子包括多個經由各別酯基鍵結於芳族環之羥基。
  5. 如申請專利範圍第1項至第4項中任一項所述的離子性熱酸產生劑,其中陽離子為吡啶衍生物。
  6. 一種光阻劑圖案修整組合物,其包括:如申請專利範圍第1項至第5項中任一項所述的離子性熱酸產生劑、基質聚合物及溶劑。
  7. 如申請專利範圍第6項所述的光阻劑圖案修整組合物,其中所述溶劑為有機溶劑。
  8. 一種修整光阻劑圖案的方法,其包括:(a)提供半導體基板;(b)在所述基板上形成光阻劑圖案,其中所述光阻劑圖案由包括以下的光阻劑組合物形成:包括酸不穩定基團之基質聚合物;光酸產生劑;及溶劑;(c)在所述基板上在所述光阻劑圖案上方塗佈根據權利要求6或7所述的光阻劑修整組合物;(d)加熱所述經塗佈基板,進而引起所述光阻劑圖案之表面區域中的所述光阻劑基質聚合物的極性改變;以及(e)使所述光阻劑圖案與沖洗劑接觸以去除所述光阻劑圖案之所述表面區域,進而形成經修整光阻劑圖案。
TW105133105A 2015-10-31 2016-10-13 熱酸產生劑以及光阻劑圖案修整組合物及方法 TWI615383B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562249259P 2015-10-31 2015-10-31
US62/249,259 2015-10-31

Publications (2)

Publication Number Publication Date
TW201714874A TW201714874A (zh) 2017-05-01
TWI615383B true TWI615383B (zh) 2018-02-21

Family

ID=58637473

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105133105A TWI615383B (zh) 2015-10-31 2016-10-13 熱酸產生劑以及光阻劑圖案修整組合物及方法

Country Status (5)

Country Link
US (1) US10241407B2 (zh)
JP (1) JP6336545B2 (zh)
KR (1) KR101809582B1 (zh)
CN (1) CN106631922A (zh)
TW (1) TWI615383B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI628159B (zh) * 2015-10-31 2018-07-01 羅門哈斯電子材料有限公司 熱酸產生劑以及光阻劑圖案修整組合物及方法
US10241411B2 (en) * 2016-10-31 2019-03-26 Rohm And Haas Electronic Materials Llc Topcoat compositions containing fluorinated thermal acid generators
US10662274B2 (en) 2016-12-02 2020-05-26 Georgia Tech Research Corporation Self-immolative polymers, articles thereof, and methods of making and using same
US10429737B2 (en) * 2017-09-21 2019-10-01 Rohm And Haas Electronic Materials Korea Ltd. Antireflective compositions with thermal acid generators
US10153161B1 (en) * 2017-11-27 2018-12-11 Nanya Technology Corporation Method for manufacturing a semiconductor structure
US20210165325A1 (en) * 2018-08-31 2021-06-03 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, pattern forming method, method for manufacturing electronic device, and compound
KR102156273B1 (ko) * 2019-05-03 2020-09-15 (주)코이즈 유기 하드마스크용 중합체 및 이를 포함하는 유기 하드마스크용 조성물
US11506981B2 (en) * 2019-05-31 2022-11-22 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6756179B2 (en) * 2000-09-19 2004-06-29 Fuji Photo Film Co., Ltd. Positive resist composition
CN103258720A (zh) * 2011-12-31 2013-08-21 罗门哈斯电子材料有限公司 光刻胶图案修整方法
JP2013218191A (ja) * 2012-04-11 2013-10-24 Shin Etsu Chem Co Ltd パターン形成方法
US20140065544A1 (en) * 2012-09-05 2014-03-06 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US20140212810A1 (en) * 2013-01-29 2014-07-31 Shin-Etsu Chemical Co., Ltd. Negative resist composition and patterning process

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS64541A (en) * 1987-03-20 1989-01-05 Konica Corp Silver halide photographic sensitive material containing oxonol dyestuff
JPS63231445A (ja) * 1987-03-20 1988-09-27 Konica Corp オキソノ−ル染料を含有するハロゲン化銀写真感光材料
JPH04329216A (ja) 1991-05-02 1992-11-18 Hitachi Cable Ltd 絶縁電線
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
JP4329216B2 (ja) 2000-03-31 2009-09-09 Jsr株式会社 レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法
US6492075B1 (en) 2000-06-16 2002-12-10 Advanced Micro Devices, Inc. Chemical trim process
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
JP2002299202A (ja) 2001-03-29 2002-10-11 Sony Corp 半導体装置の製造方法
TW576859B (en) * 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6869899B2 (en) 2001-07-12 2005-03-22 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
JP3953822B2 (ja) 2002-01-25 2007-08-08 富士通株式会社 レジストパターン薄肉化材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US20030199578A1 (en) 2002-04-19 2003-10-23 Turner Sean C. Naphthalene amides as potassium channel openers
US7862982B2 (en) 2008-06-12 2011-01-04 International Business Machines Corporation Chemical trim of photoresist lines by means of a tuned overcoat material
JP5544098B2 (ja) * 2008-09-26 2014-07-09 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物、及び該感光性組成物を用いたパターン形成方法
JP5731807B2 (ja) * 2010-12-02 2015-06-10 株式会社トクヤマデンタル 歯科用硬化性組成物
JP6155025B2 (ja) 2011-12-31 2017-06-28 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9541834B2 (en) 2012-11-30 2017-01-10 Rohm And Haas Electronic Materials Llc Ionic thermal acid generators for low temperature applications
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
JP6108869B2 (ja) * 2013-02-22 2017-04-05 旭化成株式会社 感光性樹脂組成物、硬化レリーフパターンの製造方法、半導体装置及び表示体装置
WO2014129582A1 (ja) * 2013-02-25 2014-08-28 日産化学工業株式会社 水酸基を有するアリールスルホン酸塩含有レジスト下層膜形成組成物
TW201529743A (zh) * 2014-01-28 2015-08-01 Fujifilm Corp 著色組成物、硬化膜、彩色濾光片的製造方法、彩色濾光片、固體攝像元件及圖像顯示裝置
TWI617611B (zh) 2014-12-31 2018-03-11 羅門哈斯電子材料有限公司 光致抗蝕劑圖案修整組合物及方法
TWI628159B (zh) * 2015-10-31 2018-07-01 羅門哈斯電子材料有限公司 熱酸產生劑以及光阻劑圖案修整組合物及方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6756179B2 (en) * 2000-09-19 2004-06-29 Fuji Photo Film Co., Ltd. Positive resist composition
CN103258720A (zh) * 2011-12-31 2013-08-21 罗门哈斯电子材料有限公司 光刻胶图案修整方法
JP2013218191A (ja) * 2012-04-11 2013-10-24 Shin Etsu Chem Co Ltd パターン形成方法
US20140065544A1 (en) * 2012-09-05 2014-03-06 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US20140212810A1 (en) * 2013-01-29 2014-07-31 Shin-Etsu Chemical Co., Ltd. Negative resist composition and patterning process

Also Published As

Publication number Publication date
JP2017088865A (ja) 2017-05-25
KR101809582B1 (ko) 2017-12-15
JP6336545B2 (ja) 2018-06-06
US10241407B2 (en) 2019-03-26
CN106631922A (zh) 2017-05-10
TW201714874A (zh) 2017-05-01
US20170123313A1 (en) 2017-05-04
KR20170051273A (ko) 2017-05-11

Similar Documents

Publication Publication Date Title
TWI615383B (zh) 熱酸產生劑以及光阻劑圖案修整組合物及方法
TWI617611B (zh) 光致抗蝕劑圖案修整組合物及方法
TWI481969B (zh) 光阻劑圖案修整方法
TWI587091B (zh) 光阻圖案修整方法
JP6456146B2 (ja) フォトレジストパターントリミング組成物および方法
TWI628520B (zh) 圖案修整方法
TWI628159B (zh) 熱酸產生劑以及光阻劑圖案修整組合物及方法
JP2019219680A (ja) パターントリミング組成物及び方法
JP7461917B2 (ja) フォトレジストパターントリミング組成物及びフォトレジストパターンをトリミングする方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees