TWI602301B - 鰭式場效電晶體裝置結構及其形成方法 - Google Patents

鰭式場效電晶體裝置結構及其形成方法 Download PDF

Info

Publication number
TWI602301B
TWI602301B TW104134169A TW104134169A TWI602301B TW I602301 B TWI602301 B TW I602301B TW 104134169 A TW104134169 A TW 104134169A TW 104134169 A TW104134169 A TW 104134169A TW I602301 B TWI602301 B TW I602301B
Authority
TW
Taiwan
Prior art keywords
fin
epitaxial
height
finfet
field effect
Prior art date
Application number
TW104134169A
Other languages
English (en)
Other versions
TW201626571A (zh
Inventor
張哲豪
程潼文
陳建穎
張哲誠
張永融
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201626571A publication Critical patent/TW201626571A/zh
Application granted granted Critical
Publication of TWI602301B publication Critical patent/TWI602301B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

鰭式場效電晶體裝置結構及其形成方法
本發明有關於積體電路元件,特別是有關於鰭式場效電晶體(FinFET)裝置及其形成方法。
半導體裝置應用於各種電子產品,例如個人電腦、行動電話、數位相機和其他電子設備。通常藉由在半導體基底上方依序沉積絕緣或介電層、導電層和半導體材料層以及使用微影技術圖案化各個材料層以在各個材料層上形成電路構件和元件來製造半導體裝置。在單一個半導體晶圓上通常會製造許多積體電路,並且藉由沿著在積體電路之間的切割線(scribe line)切開以分割晶圓上的單獨的晶粒。例如,單獨的晶粒通常以多晶片模組或其他種類的封裝技術來進行封裝。
隨著半導體工業為了尋求更高的裝置密度、更高的性能和更低的成本而進入奈米技術節點,來自製造和設計問題已經導致半導體裝置的三維設計,例如鰭式場效電晶體(FinFET)的發展面臨挑戰。FinFET為具有從基底延伸的薄垂直“鰭”(或鰭結構),在該垂直鰭中形成FinFET的通道。在鰭上方提供閘極。FinFET的優勢可以包括降低短通道效應和更高的電流。
雖然現有的FinFET裝置以及FinFET裝置的製造方 法對於它們的預期目的通常已經足夠,但是它們不是在所有方面完全令人滿意。
本發明一實施例提供一種鰭式場效電晶體(FinFET)裝置結構,包括:基底;鰭結構,延伸於上述基底之上方;磊晶結構,形成在上述鰭結構上,其中上述磊晶結構具有第一高度;鰭側壁間隔物,鄰接上述磊晶結構,其中上述鰭側壁間隔物具有第二高度,並且上述第一高度大於上述第二高度,並且其中上述鰭側壁間隔物設置用以控制上述磊晶結構的體積和上述第一高度。
本發明一實施例一種鰭式場效電晶體(FinFET)裝置結構,包括:基底;鰭結構,延伸於上述基底之上方;隔離結構,形成在上述基底上,其中上述鰭結構嵌入於上述隔離結構中;以及第一磊晶結構,形成於上述鰭結構上,其中上述第一磊晶結構和上述鰭結構之間的界面位於上述隔離結構的頂面下方,並且沒有形成鄰接上述第一磊晶結構的鰭側壁間隔物。
本發明一實施例提供一種鰭式場效電晶體(FinFET)裝置結構的形成方法,包括:提供基底;在上述基底之上形成鰭結構;在上述鰭結構的中心部分上方形成閘極堆疊結構;在上述閘極堆疊結構的頂面和側壁上形成閘極側壁間隔物,並且在上述鰭結構的頂面和側壁上形成鰭側壁間隔物;去除上述閘極側壁間隔物的頂部和上述鰭側壁間隔物的頂部以暴露上述閘極堆疊結構的頂部和上述鰭結構的頂部;去除一 部分的上述鰭側壁間隔物,其中,上述鰭側壁間隔物具有第二高度;凹陷化一部的上述鰭結構的以形成溝槽;以及由上述溝槽磊晶成長磊晶結構,其中在上述鰭結構上方形成上述磊晶結構,並且其中上述磊晶結構具有第一高度,並且上述第一高度大於上述第二高度。
10‧‧‧鰭式場效電晶體裝置結構
15‧‧‧N型FinFET裝置結構(NMOS)
25‧‧‧P型FinFET裝置結構(PMOS)
102‧‧‧基底
104‧‧‧鰭結構
105‧‧‧鰭側壁間隔物
108‧‧‧隔離結構
110‧‧‧閘極
112‧‧‧第一硬罩幕
114‧‧‧第二硬罩幕
115‧‧‧閘極側壁間隔物
202‧‧‧底部抗反射塗層
210、212、214‧‧‧磊晶結構
210A、210B、210C、210D‧‧‧小平面
212A、212B、212C、212D‧‧‧小平面
214A、214B、214C、214D‧‧‧小平面
204a、204b、304‧‧‧溝槽
H1‧‧‧鰭側壁間隔物第一高度
H2‧‧‧鰭側壁間隔物的第二高度
Ht1‧‧‧磊晶結構210的高度
Ht2‧‧‧磊晶結構212的高度
Ht3‧‧‧磊晶結構214的高度
W1‧‧‧磊晶結構210的寬度
W2‧‧‧磊晶結構212的寬度
W3‧‧‧磊晶結構214的寬度
D1‧‧‧溝槽的深度
D2‧‧‧溝槽的深度
S‧‧‧磊晶結構之間的間距
θ 1‧‧‧鰭結構的頂面與鰭側壁間隔物側壁的角度
θ 2、θ 3‧‧‧鰭結構的頂面與隔離結構側壁的角度
第1圖顯示根據本發明的一些實施例的鰭式場效電晶體(FinFET)裝置結構的立體圖。
第2A圖至第2F圖顯示根據本發明的一些實施例的形成鰭式場效電晶體(FinFET)裝置結構的各個階段的側視圖。
第2G圖是根據本發明的一些實施例的第2F圖的區域A的放大圖。
第3A圖至第3B圖顯示根據本發明的一些實施例的形成鰭式場效電晶體(FinFET)裝置結構的各個階段的側視圖。
第3C圖是根據本發明的一些實施例的第3B圖的區域B的放大圖。
第4A圖至第4D圖顯示根據本發明的一些實施例的形成鰭式場效電晶體(FinFET)裝置結構的各個階段的側視圖。
第4E圖是根據本發明的一些實施例的第4D圖的區域C的放大圖。
下述內容提供的不同實施例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本 發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種實例將重複標號及/或符號以簡化並清楚說明。不同實施例中具有相同標號的元件並不必然具有相同的對應關係及/或排列。標號重複僅為了簡化並清楚說明,並不代表不同實施例及/或排列中具有相同標號的元件具有類似的相對關係。
提供用以形成鰭式場效應電晶體(FinFET)裝置結構的實施例。第1圖顯示了根據本發明的一些實施例的鰭式場效電晶體(FinFET)裝置結構10的立體圖。FinFET裝置結構10包括N型FinFET裝置結構(NMOS)15和P型FinFET裝置結構(PMOS)25。
FinFET裝置結構10包括基底102。基底102可以由矽或其他半導體材料製成。取而代之地或額外地,基底102可以包括例如鍺的其他元素半導體材料。在一些實施例中,基底102由例如碳化矽、砷化鎵、砷化銦或磷化銦的化合物半導體製成。在一些實施例中,基底102由例如矽鍺、碳化矽鍺、磷砷化鎵或磷化鎵銦的合金半導體製成。在一些實施例中,基底102包括磊晶層。例如,基底102具有位於塊狀半導體上的磊晶層。
在一些實施例中,可以藉由使用例如微影和蝕刻步驟的合適的步驟形成鰭結構104。在一些實施例中,藉由使用乾蝕刻或電漿步驟從基底102蝕刻得到鰭結構104。
在一些其他實施例中,可以藉由雙重圖案化微影 (DPL)製程以形成鰭結構104。DPL是一種藉由將圖案分成兩個交錯圖案而在基底上構造圖案的方法。DPL允許高的圖樣(例如,鰭)密度。
形成例如淺溝槽隔離(STI)結構的隔離結構108以圍繞鰭結構104。如第1圖所示,在一些實施例中,隔離結構108圍繞鰭結構104的下部,並且鰭結構104的上部凸出於隔離結構108。換句話說,鰭結構104的部分嵌入在隔離結構108中。此隔離結構108能夠防止電性干擾或串音(crosstalk)。
FinFET裝置結構10還包括閘極堆疊結構,閘極堆疊結構包括閘極110和閘極介電層(未顯示)。閘極堆疊結構形成在鰭結構104的中心部分上方。在一些其他實施例中,多個閘極堆疊結構形成在鰭結構104上方。
在一些其他實施例中,閘極堆疊結構是偽閘極堆疊並且在實施高熱預算步驟之後由金屬閘極(MG)代替。
閘極介電層(未顯示)可以包括例如氧化矽、氮化矽、氮氧化矽、具有高介電常數(高k)的介電材料或其組合的介電材料。高k介電材料的實例包括氧化鉿、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯等或其組合。
閘極110可以包括多晶矽或金屬。金屬包括氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)、目(Mo)、銅(Cu)、鎢(W)、鋁(Al)、鈷(Co)、鋯(Zr)、鉑(Pt)或其他適用的材料。可以在後閘極步驟(或閘極替代步驟)中形成閘極110。在一些實施例中,閘極堆疊結構包括例如介面 層、覆蓋層、擴散/阻障層或其他適用的層的額外的層。
藉由沉積步驟、微影製程和蝕刻步驟形成閘極堆疊結構。沉積步驟包括化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿CVD(HDPCVD)、金屬有機CVD(MOCVD)、遠端電漿CVD(RPCVD)、電漿增強CVD(PECVD)、鍍膜、其他合適的方法和/或其組合。微影步驟包括光阻塗佈(例如,旋塗)、軟烘烤、罩幕對準、曝光、曝光後烘烤、顯影光阻、沖洗和乾燥(例如,硬烘烤)。蝕刻步驟包括乾蝕刻步驟或濕蝕刻步驟。在另一實施例中,由例如無罩幕微影、電子束寫入和離子束寫入的其他適當的方法實施或代替微影製程。
第2A圖至第2F圖顯示根據本發明的一些實施例的形成鰭式場效電晶體(FinFET)裝置結構的各個階段的側視圖。第2A圖至第2F圖顯示沿著第1圖的箭頭1截取的側視圖,並且箭頭1平行於X軸。
參照第2A圖及第1圖,在閘極110上形成第一硬罩幕層112,並且在第一硬罩幕層112上形成第二硬罩幕層114。在一些實施例中,第一硬罩幕層112由氧化矽、氮化矽、氮氧化矽或其他適用的材料製成。在一些實施例中,第二硬罩幕層114由氧化矽、氮化矽、氮氧化矽或其他適用的材料製成。
在閘極110的相對側壁上形成閘極側壁間隔物115,在鰭結構104的相對側壁上形成鰭側壁間隔物105。然後,在閘極側壁間隔物115上形成底部抗反射塗層(BARC)202。BARC層202使用於光阻層底部,以在圖案化過程中提升圖案轉 印於硬罩幕層112、114的效果。在一些實施例中,當進行N型FinFET裝置結構(NMOS)15植入步驟時,在閘極110上形成BARC 202和光阻層(未顯示)(光阻層形成在BARC 202上)以覆蓋P型FinFET裝置結構(PMOS)25中的閘極110。
然後,如圖2B所示,根據本發明的一些實施例,藉由蝕刻步驟去除光阻層(未顯示)和BARC 202。蝕刻步驟可以是乾蝕刻步驟或濕蝕刻步驟。在一些實施例中,為約3毫托至約50毫托的範圍的壓力下操作乾蝕刻步驟。以下又稱此步驟為第一乾蝕刻步驟。在一些實施例中,在第一乾蝕刻步驟中使用的氣體包括甲烷(CH4)、氮氣(N2)、氦氣(He)、氧氣(O2)或其組合。在一些實施例中,以約50W至約1000W的範圍的功率操作第一乾蝕刻步驟。在一些實施例中,為約20℃至約80℃的範圍的溫度下操作第一乾蝕刻步驟。
如圖2C所示,根據本發明的一些實施例,在去除BARC 202之後,去除部分的閘極側壁間隔物115和部分的鰭側壁間隔物105。更具體地,去除閘極側壁間隔物115的頂部以暴露第二硬罩幕層114。去除鰭側壁間隔物105的頂部以暴露鰭結構104。
在一些實施例中,當閘極側壁間隔物115和鰭側壁間隔物105由氮化矽製成時,進行蝕刻步驟以去除氮化矽。在一些實施例中,此蝕刻步驟是乾蝕刻步驟,以下又稱此蝕刻步驟為”第二乾蝕刻步驟”,第二乾蝕刻步驟在約3毫托至約50毫托的範圍的壓力下操作。在一些實施例中,在第二乾蝕刻步驟中使用的氣體包括氟甲烷(CH3F)、二氟甲烷(CH2F2)、 甲烷(CH4)、氬氣(Ar)、溴化氫(HBr)、氮氣(N2)、氦氣(He)、氧氣(O2)或其組合。在一些實施例中,以約50W至約1000W的範圍的功率操作第二乾蝕刻步驟。在一些實施例中,在約20℃至約70℃的範圍的溫度下操作第二乾蝕刻步驟。
在第二乾蝕刻步驟之後,每個鰭側壁間隔物105均具有第一高度H1。在一些實施例中,第一高度H1為約0.1nm至約100nm的範圍。
如圖2D所示,根據本發明的一些實施例,在前述去除一部分的閘極側壁間隔物115和一部分的鰭側壁間隔物105之後,再進一步去除一部分留下的鰭側壁間隔物105。亦即,藉由乾蝕刻步驟或濕蝕刻步驟去除鰭側壁間隔物105的上部。
在一些實施例中,此蝕刻步驟為乾蝕刻步驟,以下又稱此乾蝕刻步驟為”第三乾蝕刻步驟”,在一些實施例中,第三乾蝕刻步驟可以在約3毫托至約50毫托的範圍的壓力下操作,且在第三乾蝕刻步驟中使用的氣體包括氟甲烷(CH3F)、二氟甲烷(CH2F2)、甲烷(CH4)、氬氣(Ar)、溴化氫(HBr)、氮氣(N2)、氦氣(He)、氧氣(O2)或其組合。在一些實施例中,以約50W至約1000W的範圍的功率操作第三乾蝕刻步驟。在一些實施例中,在約20℃至約70℃的範圍的溫度下操作第三乾蝕刻步驟。
在第三乾蝕刻步驟之後,鰭側壁間隔物105的高度從第一高度H1降低至第二高度H2。在一些實施例中,第二高度 H2為約0.1nm至約90nm的範圍。
值得注意的是,鰭側壁間隔物105的第二高度H2可決定磊晶結構(例如圖2E中的磊晶結構210)是至關重要的。磊晶結構的高度和體積受到鰭側壁間隔物105的第二高度H2的影響。換句話說,鰭側壁間隔物105形成是能夠控制磊晶結構210的體積和高度。
如圖2E所示,根據本發明的一些實施例,在第三乾蝕刻步驟之後,去除一部分的鰭結構104。去除鰭結構104的步驟是藉由例如乾蝕刻步驟或濕蝕刻步驟來進行。如圖2E所示,留下的鰭結構104的頂面與隔離結構108的頂面大致上齊平。藉由使位於隔離結構108之上的鰭結構104的部分凹陷以形成溝槽204a。溝槽204a的側壁是垂直於隔於留下的鰭結構104的頂面,並且彼此平行。在一些實施例中,溝槽204a的側壁和鰭結構104的頂面之間的角度θ 1為約90度。
如第2F圖所示,根據本發明的一些實施例,去除一部分的鰭結構104之後,在溝槽204a中形成磊晶結構210。
磊晶結構210包括源極/汲極磊晶結構。在一些實施例中,當想要形成N型FET(NFET)元件時,源極/汲極磊晶結構包括磊晶成長的矽(磊晶Si)。另一方面,當想要形成P型FET(PFET)元件時,源極/汲極磊晶結構包括磊晶成長的矽鍺(SiGe)。
第2G圖是根據本發明的一些實施例的第2F圖的區域A的放大圖。如第2G圖所示,磊晶結構210具有菱形上部和柱狀下部。磊晶結構210的菱形上部具有四個小平面210A、 210B、210C和210D。每個小平面均具有(111)結晶方位(orientation)。磊晶結構210的柱狀下部具有底面和鄰接底面的側壁。底面和側壁之間的角度θ 1為約90度。此外,磊晶結構210的柱狀下部的底面與隔離結構108的頂面基本齊平。
如第2G圖所示,磊晶結構210具有高度Ht1和寬度W1。在一些實施例中,高度Ht1為約10nm至約300nm的範圍。如果高度Ht1太大,則電阻將變低。如果高度Ht1太小,則電阻變高而影響元件的操作速度。在一些實施例中,寬度W1為約10nm至約100nm的範圍。如果寬度W1太大,則磊晶結構210可能會與相鄰的磊晶結構210合併而引起短路效應。如果寬度W1太小,則用於與磊晶結構210接觸的接觸面積將變窄,並且因此可以破壞電路效應。
此外,磊晶結構210的高度Ht1與鰭側壁間隔物105的高度H2的比例(Ht1/H2)為約1.5至約10的範圍。如果比例太小,則鰭側壁不能對EPI高度提供有效支撐並且引起短EPI結構。
第3A圖至第3B圖顯示根據本發明的一些實施例的形成鰭式場效電晶體(FinFET)裝置結構的各個階段的側視圖。
如第3A圖所示,在一些實施例中,留下的鰭結構104的頂面低於隔離結構108的頂面。藉由使位於隔離結構108下方的鰭結構104的部分凹陷以形成溝槽204b。在一些其他實施例中,溝槽204b的側壁和鰭結構104的頂面之間的角度θ 2為約90度。溝槽204b從隔離結構108的頂面延伸至深度D1,深度D1為約0.1nm至約50nm的範圍。
如第3B圖所示,根據本發明的一些實施例,在去除一部分的鰭結構104之後,在溝槽204b中形成磊晶結構212。磊晶結構212包括源極/汲極磊晶結構。在一些實施例中,當想要形成N型FET(NFET)元件時,源極/汲極磊晶結構包括磊晶成長的矽(磊晶Si)。另一方面,當想要形成P型FET(PFET)元件時,源極/汲極磊晶結構包括磊晶成長的矽鍺(SiGe)。
第3C圖是根據本發明的一些實施例的第3B圖的區域B的放大圖。如第3C圖所示,磊晶結構212具有菱形上部和柱狀下部。磊晶結構212的菱形上部具有四個小平面212A、212B、212C和212D。每個小平面均具有(111)結晶方位。磊晶結構212的柱狀下部具有底面和鄰接底面的側壁。底面和側壁之間的角度θ 2為約90度。此外,磊晶結構212的柱狀下部的底面低於隔離結構108的頂面。
如第3C圖所示,磊晶結構212具有高度Ht2和寬度W2。高度Ht1小於高度Ht2,並且寬度W1大於寬度W2。在一些實施例中,高度Ht2為約15nm至約150nm的範圍。在一些實施例中,寬度W2為約10nm至約100nm的範圍。
磊晶結構210和磊晶結構212各自地包括例如鍺(Ge)或矽(Si)的單元素半導體材料;或例如砷化鎵(GaAs)、砷化鋁鎵(AlGaAs)的化合物半導體材料;或例如矽鍺(SiGe)、磷砷化鎵(GaAsP)的半導體合金。
藉由磊晶步驟形成磊晶結構210和212。磊晶步驟包括選擇性磊晶成長(SEG)步驟、CVD沉積技術(例如,氣相磊晶(VPE)和/或超高真空CVD(UHV-CVD))、分子束 磊晶或其他適用的磊晶步驟。
可以在磊晶過程中,臨場摻雜(in-situ)或未臨場摻雜磊晶結構210和212。例如,磊晶成長的SiGe磊晶結構可以摻雜有硼;並且磊晶成長的Si磊晶結構可以摻雜有碳以形成Si:C磊晶結構、摻雜有磷以形成Si:P磊晶結構,或摻雜有碳和磷以形成SiCP磊晶結構。可以藉由離子植入步驟、電漿浸潤離子植入(PIII)步驟、氣體和/或固體源擴散製程、或其他合適的製程來進行摻雜。磊晶結構210和212可以進一步進行例如快速熱退火步驟的退火步驟。
如果未臨場摻雜磊晶結構210和212,則進行第二植入步驟(即,接面(junction)植入步驟)以摻雜磊晶結構210和212。
鰭結構104包括由閘極110圍繞或包裹的通道區(未顯示)。磊晶結構210和212的晶格常數與基底102的晶格常數不同,通道區被應變或施加應力以提高FinFET裝置結構的載子遷移率並且提高FinFET裝置結構性能。
值得注意的是,藉由調整鰭側壁間隔物105的高度H2和/或深度D1來控制磊晶結構210和212的體積和高度Ht1、Ht2。一旦磊晶結構210和212的體積和高度Ht1、Ht2受到良好地控制,則可以進一步改良FinFET裝置結構。例如,FinFET裝置結構改善時,元件遷移率(Id_Sat)將增大。
第4A圖至第4D圖顯示根據本發明的一些實施例的形成鰭式場效電晶體(FinFET)裝置結構的各個階段的側視圖。第4E圖是根據本發明的一些實施例的第4D圖的區域C的放 大圖。第4A圖至第4D圖顯示沿著第1圖的箭頭1截取的側視圖,並且箭頭1平行於X軸方向。
參照第4A圖,在閘極110的相對側壁上形成閘極側壁間隔物115,在鰭結構104的相對側壁上形成鰭側壁間隔物105。
然後,如第4B圖所示,根據本發明的一些實施例,完全去除鰭側壁間隔物105。結果,暴露鰭結構104的頂面和側壁的部分。在鰭結構104上沒有形成鰭側壁間隔物105。
如第4C圖所示,根據本發明的一些實施例,在完全去除鰭側壁間隔物105之後,去除一部分的鰭結構104。結果,藉由使一部分的鰭結構104凹陷以形成溝槽304。
溝槽304具有位於隔離結構108下方的深度D2。在一些實施例中,深度D2為約0.1nm至約50nm的範圍。在一些實施例中,溝槽304的側壁和鰭結構104的頂面之間的角度θ 3為約90度。
如第4D圖所示,根據本發明的一些實施例,在去除一部分的鰭結構104之後,在溝槽304中且在鰭結構104上形成磊晶結構214。
磊晶結構214包括例如鍺(Ge)或矽(Si)的單元素半導體材料;或例如砷化鎵(GaAs)、砷化鋁鎵(AlGaAs)的化合物半導體材料;或例如矽鍺(SiGe)、磷砷化鎵(GaAsP)的半導體合金。
藉由磊晶步驟形成磊晶結構214。磊晶步驟可以包括選擇性磊晶成長(SEG)步驟、CVD沉積技術(例如,氣相 磊晶(VPE)和/或超高真空CVD(UHV-CVD))、分子束磊晶或其他適用的磊晶步驟。
與磊晶結構210和212相同,磊晶結構214具有菱形上部和柱狀下部。磊晶結構214的菱形上部具有四個小平面214A、214B、214C和214D。每個小平面均具有(111)結晶方位。
值得注意的是,與第2G圖和第3C圖相比,在鄰近第4E圖中的磊晶結構214處,沒有形成鰭側壁間隔物。因此,可藉由調整溝槽304(第4C圖中顯示)的深度來控制磊晶結構214的體積和高度。此外,由於沒有鰭側壁間隔物抑制磊晶結構214的成長,所以磊晶結構214傾向於在X軸的方向上成長。因此,磊晶結構214的寬度W3大於鰭結構104的寬度W4
磊晶結構214具有高度Ht3和寬度W3。磊晶結構214的高度Ht3小於磊晶結構212的高度Ht2,而磊晶結構212的寬度W2大於磊晶結構214的寬度W3。此外,磊晶結構214的高度Ht3小於磊晶結構210的高度Ht1,並且磊晶結構210的寬度W1大於磊晶結構214的寬度W3
再次參照第4D圖,兩個鄰近的磊晶結構214之間的間距S為約0.1nm至約100nm的範圍。在一些實施例中,磊晶結構214的寬度W3為約10nm至約100nm的範圍。在一些實施例中,磊晶結構214的高度Ht3為約10nm至約300nm的範圍。在一些實施例中,磊晶結構214的高度與寬度的比例(Ht3/W3)為約0.1至約10的範圍。
然後,FinFET裝置結構可以繼續進行其他步驟以 形成其他結構或元件。在一些實施例中,金屬化包括例如傳統的通孔或接觸物的垂直內連線以及例如金屬線的水平的內連線。各種內連線可以採用包括銅、鎢和/或矽化物的各種導電材料。
提供鰭式場效電晶體(FinFET)裝置結構的形成方法的一些實施例。FinFET裝置結構包括延伸於基底之上的鰭結構以及形成在鰭結構上方的磊晶結構。在一些實施例中,形成鰭側壁間隔物以鄰接磊晶結構。鰭側壁間隔物是設置來控制磊晶結構的體積和高度。在一些其他實施例中,不形成鄰接磊晶結構的鰭側壁間隔物,而是藉由調整溝槽的深度來控制磊晶結構的體積和高度,其中藉由使鰭結構的頂部凹陷以形成溝槽。一旦磊晶結構的體積和高度受到控制,就進一步改良FinFET裝置結構的性能。
提供鰭式場效電晶體(FinFET)裝置結構的一些實施例。FinFET結構包括基底和在基底之上延伸的鰭結構。FinFET結構包括形成在鰭結構上的磊晶結構,並且磊晶結構具有第一高度。FinFET結構也包括形成為鄰近磊晶結構的鰭側壁間隔物。鰭側壁間隔物具有第二高度,並且第一高度大於第二高度,並且鰭側壁間隔物配置為控制磊晶結構的體積和第一高度。
在一些實施例中,提供了一種鰭式場效電晶體(FinFET)裝置結構。FinFET結構包括基底和在基底之上延伸的鰭結構。FinFET結構也包括形成在基底上的隔離結構,並且鰭結構嵌入在隔離結構中。FinFET結構還包括形成在鰭結構上 的第一磊晶結構,第一磊晶結構和鰭結構之間的介面位於隔離結構的頂面下方,並且沒有形成鄰接第一磊晶結構的鰭側壁間隔物。
在一些實施例中,提供了一種鰭式場效電晶體(FinFET)裝置結構的形成方法。該方法包括提供基底以及在基底之上形成鰭結構。該方法也包括在鰭結構的中心部分上方形成閘極堆疊結構以及在閘極堆疊結構的頂面和側壁上形成閘極側壁間隔物,以及在鰭結構的頂面和側壁上形成鰭側壁間隔物。該方法還包括去除閘極側壁間隔物的頂部和鰭側壁間隔物的頂部以暴露閘極堆疊結構的頂部和鰭結構的頂部。該方法包括去除一部分的鰭側壁間隔物,並且鰭側壁間隔物具有第二高度。該方法還包括凹陷化鰭結構的部分以形成溝槽。該方法也包括由溝槽磊晶成長磊晶結構,並且在鰭結構上方形成磊晶結構,並且磊晶結構具有第一高度,並且第一高度大於第二高度。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本申請案作為基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明之精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
10‧‧‧鰭式場效電晶體裝置結構
15‧‧‧N型FinFET裝置結構(NMOS)
25‧‧‧P型FinFET裝置結構(PMOS)
102‧‧‧基底
104‧‧‧鰭結構
105‧‧‧鰭側壁間隔物
108‧‧‧隔離結構
110‧‧‧閘極
112‧‧‧第一硬罩幕
114‧‧‧第二硬罩幕
115‧‧‧閘極側壁間隔物

Claims (9)

  1. 一種鰭式場效電晶體(FinFET)裝置結構,包括:基底;鰭結構,延伸於上述基底之上方;磊晶結構,形成在上述鰭結構上,其中上述磊晶結構具有第一高度,並且上述磊晶結構包括源極/汲極結構;以及鰭側壁間隔物,鄰接上述磊晶結構,其中上述鰭側壁間隔物具有第二高度,並且上述第一高度大於上述第二高度,並且其中上述鰭側壁間隔物設置用以控制上述磊晶結構的體積和上述第一高度。
  2. 如申請專利範圍第1項所述之鰭式場效電晶體(FinFET)裝置結構,更包括:閘極堆疊結構,形成在上述鰭結構的中心部分上方,其中,上述磊晶結構形成為鄰接上述鰭結構的中心部分。
  3. 如申請專利範圍第1項所述之鰭式場效電晶體(FinFET)裝置結構,其中,上述第二高度為約0.1nm至約100nm的範圍。
  4. 如申請專利範圍第1項所述之鰭式場效電晶體(FinFET)裝置結構,還包括:隔離結構,其中,上述鰭結構嵌入在上述隔離結構中。
  5. 如申請專利範圍第4項所述之鰭式場效電晶體(FinFET)裝置結構,其中,上述磊晶結構的底面與上述隔離結構的頂面大致上齊平。
  6. 如申請專利範圍第4項所述之鰭式場效電晶體(FinFET)裝置結構,其中,上述磊晶結構的底面低於上述隔離結構的 頂面。
  7. 如申請專利範圍第6項所述之鰭式場效電晶體(FinFET)裝置結構,其中,上述磊晶結構從上述隔離結構的頂面延伸至約0.1nm至約50nm的範圍的深度。
  8. 一種鰭式場效電晶體(FinFET)裝置結構,包括:基底;鰭結構,延伸於上述基底之上方;隔離結構,形成在上述基底上,其中上述鰭結構嵌入於上述隔離結構中;以及磊晶結構,形成於上述鰭結構上,其中上述磊晶結構和上述鰭結構之間的界面位於上述隔離結構的頂面下方,並且沒有形成鄰接上述磊晶結構的鰭側壁間隔物,並且上述磊晶結構包括源極/汲極結構。
  9. 一種鰭式場效電晶體(FinFET)裝置結構的形成方法,包括:提供基底;在上述基底之上形成鰭結構;在上述鰭結構的中心部分上方形成閘極堆疊結構;在上述閘極堆疊結構的頂面和側壁上形成閘極側壁間隔物,並且在上述鰭結構的頂面和側壁上形成鰭側壁間隔物;去除上述閘極側壁間隔物的頂部和上述鰭側壁間隔物的頂部以暴露上述閘極堆疊結構的頂部和上述鰭結構的頂部;去除一部分的上述鰭側壁間隔物,其中,上述鰭側壁間隔物具有第二高度;凹陷化一部的上述鰭結構的以形成溝槽;以及 由上述溝槽磊晶成長磊晶結構,其中在上述鰭結構上方形成上述磊晶結構,並且其中上述磊晶結構具有第一高度,並且上述第一高度大於上述第二高度。
TW104134169A 2014-10-17 2015-10-19 鰭式場效電晶體裝置結構及其形成方法 TWI602301B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/517,209 US10164108B2 (en) 2014-10-17 2014-10-17 Fin field effect transistor (FinFET) device and method for forming the same
US14/517,310 US9653605B2 (en) 2014-10-17 2014-10-17 Fin field effect transistor (FinFET) device and method for forming the same

Publications (2)

Publication Number Publication Date
TW201626571A TW201626571A (zh) 2016-07-16
TWI602301B true TWI602301B (zh) 2017-10-11

Family

ID=55638043

Family Applications (3)

Application Number Title Priority Date Filing Date
TW104123375A TWI628793B (zh) 2014-10-17 2015-07-20 鰭式場效電晶體裝置結構與其形成方法
TW104123514A TWI582989B (zh) 2014-10-17 2015-07-21 鰭式場效電晶體裝置結構與其形成方法
TW104134169A TWI602301B (zh) 2014-10-17 2015-10-19 鰭式場效電晶體裝置結構及其形成方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW104123375A TWI628793B (zh) 2014-10-17 2015-07-20 鰭式場效電晶體裝置結構與其形成方法
TW104123514A TWI582989B (zh) 2014-10-17 2015-07-21 鰭式場效電晶體裝置結構與其形成方法

Country Status (5)

Country Link
US (10) US10164108B2 (zh)
KR (3) KR20160045526A (zh)
CN (3) CN113299608A (zh)
DE (2) DE102015104490A1 (zh)
TW (3) TWI628793B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI758655B (zh) * 2018-11-30 2022-03-21 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102168936B1 (ko) * 2014-03-28 2020-10-22 인텔 코포레이션 수직 반도체 디바이스들을 위한 선택적으로 재성장된 상부 컨택트
US10164108B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10164050B2 (en) 2014-12-24 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
US9461043B1 (en) 2015-03-20 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102224849B1 (ko) * 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
KR102310076B1 (ko) * 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US9601495B2 (en) * 2015-07-30 2017-03-21 Globalfoundries Inc. Three-dimensional semiconductor device with co-fabricated adjacent capacitor
US9620644B2 (en) * 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
US9905641B2 (en) * 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9601492B1 (en) * 2015-11-16 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10163912B2 (en) * 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10510608B2 (en) 2016-03-04 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI691076B (zh) * 2016-08-03 2020-04-11 聯華電子股份有限公司 半導體結構及其製作方法
US10468310B2 (en) * 2016-10-26 2019-11-05 Globalfoundries Inc. Spacer integration scheme for FNET and PFET devices
KR102483546B1 (ko) 2016-11-28 2023-01-02 삼성전자주식회사 수직 채널을 가지는 반도체 소자
US10347750B2 (en) * 2016-11-28 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3340308B1 (en) 2016-12-22 2022-09-07 IMEC vzw Method for forming transistors on a substrate
KR102587891B1 (ko) 2016-12-22 2023-10-12 삼성전자주식회사 반도체 소자
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10243079B2 (en) 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10665719B2 (en) * 2017-07-31 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with asymmetrical drain/source feature
US10692769B2 (en) * 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10504797B2 (en) 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10490650B2 (en) 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same
CN109872972A (zh) * 2017-12-04 2019-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10629706B2 (en) * 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US11616144B2 (en) 2018-09-05 2023-03-28 Samsung Electronics Co., Ltd. Semiconductor device
JP7042726B2 (ja) * 2018-10-04 2022-03-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR102524803B1 (ko) 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
US11075120B2 (en) * 2019-08-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US11527650B2 (en) * 2019-10-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having a source/drain region with a multi-sloped undersurface
US11289602B2 (en) 2020-01-03 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FeFET of 3D structure for capacitance matching
US11264502B2 (en) * 2020-02-27 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11755808B2 (en) * 2020-07-10 2023-09-12 Taiwan Semiconductor Manufacturing Company Limited Mixed poly pitch design solution for power trim
US11594117B2 (en) 2020-12-18 2023-02-28 Itron, Inc. Network edge detection and notification of gas pressure situation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130313619A1 (en) * 2012-05-24 2013-11-28 Mieno Fumitake Fin field-effect-transistor (fet) structure and manufacturing method
US20140035066A1 (en) * 2012-07-31 2014-02-06 Shih-Hung Tsai Non-Planar FET and Manufacturing Method Thereof
US20140167264A1 (en) * 2012-12-13 2014-06-19 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures
TWI456760B (zh) * 2009-10-01 2014-10-11 Taiwan Semiconductor Mfg 鰭式場效電晶體及其形成方法
TWI463655B (zh) * 2007-07-16 2014-12-01 Ibm 具有合併式源汲極的鰭式場效電晶體結構及形成該結構的方法
TWI496291B (zh) * 2011-09-06 2015-08-11 Taiwan Semiconductor Mfg Co Ltd 半導體元件及其形成方法

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
JP2007149942A (ja) 2005-11-28 2007-06-14 Nec Electronics Corp 半導体装置およびその製造方法
US20070176253A1 (en) 2006-01-31 2007-08-02 Peng-Fei Wang Transistor, memory cell and method of manufacturing a transistor
JP4960007B2 (ja) 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7410854B2 (en) * 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
JP4267659B2 (ja) 2006-12-05 2009-05-27 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7692254B2 (en) 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8716797B2 (en) * 2009-11-03 2014-05-06 International Business Machines Corporation FinFET spacer formation by oriented implantation
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US9312179B2 (en) * 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8841701B2 (en) * 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
WO2013058746A1 (en) * 2011-10-18 2013-04-25 Intel Corporation Antifuse element utilizing non-planar topology
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8574995B2 (en) 2011-11-10 2013-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain doping method in 3D devices
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8466012B1 (en) * 2012-02-01 2013-06-18 International Business Machines Corporation Bulk FinFET and SOI FinFET hybrid technology
US20130200455A1 (en) 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US8748989B2 (en) 2012-02-28 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8865560B2 (en) * 2012-03-02 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with LDD extensions
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9910008B2 (en) 2012-07-06 2018-03-06 Robert Bosch Gmbh Methods for generating pH/ionic concentration gradient near electrode surfaces for modulating biomolecular interactions
US8673718B2 (en) * 2012-07-09 2014-03-18 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US20140103451A1 (en) 2012-10-17 2014-04-17 International Business Machines Corporation Finfet circuits with various fin heights
US9159831B2 (en) * 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8669607B1 (en) 2012-11-01 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for non-volatile memory cells with increased programming efficiency
CN103811345B (zh) 2012-11-09 2016-08-03 中国科学院微电子研究所 半导体器件及其制造方法
US8946029B2 (en) * 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US9059022B2 (en) 2012-12-28 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US8796093B1 (en) * 2013-03-14 2014-08-05 International Business Machines Corporation Doping of FinFET structures
US9070710B2 (en) * 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) * 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
KR102066848B1 (ko) 2013-06-24 2020-01-16 삼성전자 주식회사 반도체 소자 및 그 제조 방법
KR102068980B1 (ko) 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
EP3832710B1 (en) 2013-09-27 2024-01-10 INTEL Corporation Non-planar i/o and logic semiconductor devices having different workfunction on common substrate
US9236480B2 (en) * 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9647113B2 (en) 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9123744B1 (en) 2014-03-07 2015-09-01 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9412822B2 (en) * 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9209179B2 (en) 2014-04-15 2015-12-08 Samsung Electronics Co., Ltd. FinFET-based semiconductor device with dummy gates
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9190488B1 (en) 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US10164108B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN105826257B (zh) * 2015-01-06 2019-03-12 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US20180122908A1 (en) 2016-10-31 2018-05-03 International Business Machines Corporation Silicon germanium alloy fin with multiple threshold voltages

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI463655B (zh) * 2007-07-16 2014-12-01 Ibm 具有合併式源汲極的鰭式場效電晶體結構及形成該結構的方法
TWI456760B (zh) * 2009-10-01 2014-10-11 Taiwan Semiconductor Mfg 鰭式場效電晶體及其形成方法
TWI496291B (zh) * 2011-09-06 2015-08-11 Taiwan Semiconductor Mfg Co Ltd 半導體元件及其形成方法
US20130313619A1 (en) * 2012-05-24 2013-11-28 Mieno Fumitake Fin field-effect-transistor (fet) structure and manufacturing method
US20140035066A1 (en) * 2012-07-31 2014-02-06 Shih-Hung Tsai Non-Planar FET and Manufacturing Method Thereof
US20140167264A1 (en) * 2012-12-13 2014-06-19 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI758655B (zh) * 2018-11-30 2022-03-21 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11949002B2 (en) 2018-11-30 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
KR20160045542A (ko) 2016-04-27
TW201616652A (zh) 2016-05-01
US10164109B2 (en) 2018-12-25
TWI628793B (zh) 2018-07-01
US10964819B2 (en) 2021-03-30
KR101800977B1 (ko) 2017-11-23
US20160111540A1 (en) 2016-04-21
US20160111542A1 (en) 2016-04-21
US20160111420A1 (en) 2016-04-21
US20200220019A1 (en) 2020-07-09
US20200161474A1 (en) 2020-05-21
US20230352592A1 (en) 2023-11-02
US10686077B2 (en) 2020-06-16
US10546956B2 (en) 2020-01-28
US20190115473A1 (en) 2019-04-18
US11721762B2 (en) 2023-08-08
US10840378B2 (en) 2020-11-17
US20210074859A1 (en) 2021-03-11
KR20160045526A (ko) 2016-04-27
TWI582989B (zh) 2017-05-11
US20190123205A1 (en) 2019-04-25
CN106206580A (zh) 2016-12-07
US9653605B2 (en) 2017-05-16
TW201616653A (zh) 2016-05-01
KR20160140562A (ko) 2016-12-07
KR101718212B1 (ko) 2017-03-20
DE102015104490A1 (de) 2016-04-21
CN106206727B (zh) 2020-04-17
DE102015104454A1 (de) 2016-04-21
TW201626571A (zh) 2016-07-16
CN113299608A (zh) 2021-08-24
US20170250286A1 (en) 2017-08-31
CN106206727A (zh) 2016-12-07
US11158744B2 (en) 2021-10-26
US10164108B2 (en) 2018-12-25

Similar Documents

Publication Publication Date Title
TWI602301B (zh) 鰭式場效電晶體裝置結構及其形成方法
KR101735204B1 (ko) 채널 영역의 이동성을 개선시키기 위한 apt(anti-punch through) 주입 영역 위의 장벽층을 포함하는 핀 전계 효과 트랜지스터(finfet) 디바이스 구조물 및 그 형성 방법
KR101670558B1 (ko) 변형 생성 채널 유전체를 포함하는 비평면 디바이스 및 그 형성방법
CN105280639B (zh) 鳍式场效应晶体管的结构和形成方法
TWI785126B (zh) 半導體裝置結構及其形成方法
KR20170130327A (ko) 핀 전계 효과 트랜지스터(finfet) 디바이스 구조물 및 이의 형성 방법
KR20170004827A (ko) 핀 전계 효과 트랜지스터(finfet) 디바이스 구조물 및 이의 형성 방법
KR20140042622A (ko) 반도체 소자를 위한 소스/드레인 스택 스트레서
KR101844712B1 (ko) 핀 전계 효과 트랜지스터 (FinFET) 디바이스 및 이의 형성 방법