TWI571953B - 真空處理裝置 - Google Patents

真空處理裝置 Download PDF

Info

Publication number
TWI571953B
TWI571953B TW101102219A TW101102219A TWI571953B TW I571953 B TWI571953 B TW I571953B TW 101102219 A TW101102219 A TW 101102219A TW 101102219 A TW101102219 A TW 101102219A TW I571953 B TWI571953 B TW I571953B
Authority
TW
Taiwan
Prior art keywords
vacuum
substrate
transport
group
modules
Prior art date
Application number
TW101102219A
Other languages
English (en)
Other versions
TW201243985A (en
Inventor
若林真士
小林仙尚
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201243985A publication Critical patent/TW201243985A/zh
Application granted granted Critical
Publication of TWI571953B publication Critical patent/TWI571953B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

真空處理裝置
本發明係關於一種叢集工具式的真空處理系統,特別是有關一種在真空運送室內設有複數個真空運送機構之真空處理裝置。
作為具有真空運送室的真空處理系統之一形態,叢集工具式係為人們所知。叢集工具式,係為了謀求處理的一貫化、連結化或複合化而將在減壓下進行既定處理之複數個處理模組配置於真空運送室周圍之方式,亦稱為多腔室方式,一般為半導體製造裝置所採用。
近年來,叢集工具式的真空處理裝置中,自進行收納未處理基板或已處理基板的基板匣盒之置入、移出之裝載埠側觀察,則縮小或維持裝置整體的寬度,並且使真空運送室往進深方向大幅延伸,因而沿著其長邊增設處理模組之設計已成為一種趨勢(例如參照專利文獻1)。
作為如此使真空運送室往進深方向大幅延伸來並列多數個處理模組之叢集工具式的一形態,採用以下構成:將真空運送室內的運送空間於進深方向分割為複述個真空運送區域,在各真空運送區域設置與其周圍的處理模組進行基板傳遞之真空運送機械臂,並且將用來在基板運送機械臂彼此之間進行基板傳遞之中繼台配置於真空運送區域間的邊界附近(例如參照專利文獻2)。此時,自連結裝載埠側的大氣空間與真空運送室內的減壓空間之真空預備模組觀察,則是前方的真空運送機械臂與內側的真空運送機械臂係介由中繼台呈直列連接之構成。
[習知技術文獻] [專利文獻]
專利文獻1:日本特表2005-534176號
專利文獻2:美國專利第6440261號
採用如上所述在真空運送室內複數個真空運送機械臂呈直列連接之構成之習知叢集工具,在使所有處理模組一律並列進行相同之單一處理時,或是在使按照真空運送區域區分的複數個處理模組並列進行相同之複合處理時,運送能力達到了界限。
此時,各個真空運送機械臂,在一定的循環內,必須依序進行:接收來自真空預備模組側的未處理基板之動作、對於其負責的真空運送區域周圍的各處理模組使基板進出之動作、以及將已處理基板送出至真空預備模組側之動作。可是,鄰接真空預備模組的前方真空運送機械臂,不只有負責在真空區域內所指派的上述一連串運送作業,還加上了作為在真空預備模組與內側真空運送機械臂之間所進行的未處理基板或已處理基板傳送之橋樑的作業。因此,運送負載集中於前方的真空運送機械臂,在此,系統整體的運送能力達到了界限,處理量受到限制。此問題在處理時間越短時則越明顯,在1分鐘以下或30秒以下之短時間處理中,乃是致命性的處理量限制主要原因。
又,在如上所述使複數個處理模組並列進行相同之單一處理或複合處理時,必須在裝載埠側,對於持有批量處理中或準備中的基板之1個晶圓匣盒,集中地(配合所有真空預備模組中的所有基板之進出)頻繁且迅速進行未處理基板的取出與已處理基板的裝入。從而,不只是真空運送室內的運送效率,大氣運送室內的運 送效率之提升亦成為重大課題。
本發明係解決上述習知技術的問題點,而提供一種提升具有複數個真空運送機構之真空運送系統的運送效率及處理量之真空處理裝置。
再者,本發明提供一種提升在裝載埠與真空預備模組之間運送基板的大氣運送系統的運送效率之真空處理裝置。
本發明的第1觀點中的真空處理裝置,包含:真空運送室,室內保持在減壓狀態;第1及第2真空運送區域,在該真空運送室內在水平方向分開設置;第1組處理模組及第1組真空預備模組,鄰接該第1真空運送區域,配置於該真空運送室的周圍;第2組處理模組,鄰接該第2真空運送區域,配置於該真空運送室的周圍;第1真空運送機構,接近該第1組處理模組及該第1組真空預備模組,與接近對象的各模組進行基板之傳遞,在該第1真空運送區域內運送基板;第2真空運送機構,接近該第2組處理模組,與接近對象的各模組進行基板之傳遞,在該第2真空運送區域內運送基板;第2組真空預備模組,配置於較該第1組真空預備模組高的層或低的層;第3真空運送區域,在該真空運送室內鄰接該第2組真空預備模組設置,與該第2真空運送區域相連接;以及第3真空運送機構,接近該第2組真空預備模組,與接近對象的各模組進行基板之傳遞,並且在該第3真空運送區域內所設的第1移載位置與該第2運送機構進行基板之傳遞,在該第3真 空運送區域運送基板。
在上述構成的真空處理裝置中,第1真空運送機構與第2真空運送機構互相獨立運轉;第1真空運送機構主要只從事第1真空運送區域內的基板運送即可,而完全無須參與第2組(第2層)的真空預備模組與第2組的處理模組之間的基板運送(作為其橋樑)。從而,運送負載不會集中於第1真空運送機構。如此,運送負載均等或合適地分散在所有真空運送機械臂,故可提高系統整體的運送能力及運送效率,可大幅提升叢集工具的短時間處理之處理量。
本發明的第2觀點中的真空處理裝置,包含:真空運送室,室內保持在減壓狀態;1個或複數個處理模組,並排設置於該真空運送室的周圍,在減壓下的室內對基板進行既定的處理;複數個真空預備模組,並排設置於該真空運送室的周圍,室內可選擇性地切換為大氣狀態或減壓狀態,可暫時留置在大氣空間與該真空運送室之間傳送的基板;1個或複數個真空運送機構,用來在任一該真空預備模組與任一該處理模組之間,或在不同的該處理模組之間運送基板,而設於該真空運送室內;第1裝載埠,用於進行以一定間隔並排多數片基板並可使其進出而予以收納之晶圓匣盒之置入、移出,設置於面向該複數個真空預備模組之大氣空間內的既定位置;第1大氣運送機構,在置於該第1裝載埠的第1晶圓匣盒與任一該真空預備模組之間進行基板的運送;以及第2大氣運送機構,在該第1裝載埠上的該第1晶圓匣盒與其他任一該真空預備模組之間進行基板的運送;而該第1及第2大氣運送機構,對於該第1晶圓匣盒一次一片地交互進行基板的取出或基板的裝入。
在上述構成的真空處理裝置中,對於第1裝載埠上的批量處理中或準備中的第1晶圓匣盒,第1及第2大氣運送機械臂交互進行接近而迅速且順利地進行基板之取出/裝入,故可大幅提升第1裝載埠與真空預備模組間的大氣系統的運送效率,亦能輕易地對應真空系統的高處理量化。
根據本發明的真空處理裝置,藉由上述的構成及作用,可提升具有複數個真空運送機構之真空運送系統的運送效率及處理量,更亦可提升在裝載埠與真空預備模組之間運送基板的大氣運送系統的運送效率。
(實施發明之最佳形態)
以下參照附加圖式說明本發明的較佳實施形態。
[裝置整體之構成]
圖1及圖2係分別以俯視圖及縱剖面圖顯示本發明一實施形態的真空處理裝置之整體構成。圖3及圖4係分別分為並列運轉之第1及第2處理部來顯示圖1的整體構成。
此真空處理裝置,以叢集工具式的電漿處理裝置所構成,於往裝置進深方向(圖的X方向)延伸的一對邊為其他邊的約2倍長之五角形狀之真空運送室10周圍,呈叢集狀配置有4個處理模組PM1、PM2、PM3、PM4及4個真空預備模組LML1、LML2、LMU1、LMU2
更詳細而言,真空運送室10為2層建造,第1層設有在水平 進深方向(X方向)分開的第1及第2真空運送區域TE1、TE2。而第1真空運送區域TE1的周圍,於其斜邊的2個側壁上透過閘閥GVL1、GVL2分別連結有第1組的2個真空預備模組LML1、LML2,並且於寬度方向(Y方向)平行面對的2個側壁上透過閘閥GV1、GV2分別連結有第1組的2個處理模組PM1、PM2。另一方面,第2真空運送區域TE2的周圍,於寬度方向(Y方向)平行面對的2個側壁上透過閘閥GV3、GV4分別連結有第2組的2個處理模組PM3、PM4
真空運送室10的第2層均為第3真空運送區域TE3。此第3真空運送區域TE3,藉由水平的隔板11與第1層的第1及第2真空運送區域TE1、TE2上下區隔。但是,在進深方向(X方向)的中間部,隔板11有缺口而形成開口部13,第3真空運送區域TE3通過此開口部13從第2層下降至第1層,***第1及第2真空運送區域TE1、TE2之間。
第2層的第3真空運送區域TE3的周圍,於斜邊的2個側壁,亦即第1層的第1組真空預備模組LML1、LML2之上,透過閘閥GVU1、GVU2分別連結有第2組的2個真空預備模組LMU1、LMU2
處理模組(處理裝置)PM1、PM2、PM3、PM4,具有藉由未圖示的各專用排氣裝置使室內在可變壓力下常保持在減壓狀態之真空腔室12;一般是於室內中央部所配置的載置台14之上載置1片或複數片被處理基板例如半導體晶圓W,使用既定的設施(處理氣體、高頻電力等)進行所期望之電漿處理,例如CVD、ALD(Atomic Layer Deposition,原子層沉積)或濺鍍等真空成膜處理、熱處理、半導體晶圓表面的清洗處理、乾蝕刻加工等。
真空預備模組LML1、LML2、LMU1、LMU2,分別透過門閥DVL1、DVL2、DVU1、DVU2可與後述的裝載模組15之大氣運送室 相連通;在各個真空預備室16內設有載置台18,用以暫時留置在裝載模組15與真空運送室10之間傳送的半導體晶圓W。如圖2所示,各真空預備模組LML1、LML2、LMU1、LMU2的真空預備室16,透過開閉閥20與排氣裝置22相連接,並且透過開閉閥24與沖洗氣體供給部26相連接;可將室內的環境氣氣選擇性切換為減壓狀態及大氣壓狀態任一者。另,圖2中,為了簡化圖解,排氣用的開閉閥20僅以對應第1層的真空預備模組LML1、LML2之單方之1個顯示,沖洗用的開閉閥24僅以對應第2層的真空預備模組LMU1、LMU2之單方之1個顯示。
真空運送室10,與專用的排氣裝置28相連接,室內通常在一定壓力下常保持在減壓狀態。於室內,在第1及第2真空運送區域TE1、TE2分別設有第1及第2真空運送機械臂(真空運送機構)30、32;在第3真空運送區域TE3設有第3真空運送機械臂(真空運送機構)34。
第1真空運送機械臂30具有:本體,設置於第1真空運送區域TE1的中心部,以及一對運送臂Fa、Fb,可在此本體上旋轉、升降及前進後退(或伸縮);各個運送臂Fa、Fb係能以其叉形的機械腕水平固持或支持1片半導體晶圓W。而第1真空運送機械臂30,可接近配置於第1真空運送區域TE1周圍的第1組的處理模組PM1、PM2及第1組的真空預備模組LML1、LML2任一者,可使運送臂Fa、Fb任一方通過開啟狀態的閘閥GV(GV1、GV2、GVL1、GVL2)選擇性地***接近對象的模組之腔室12(16)之中,可將處理前的半導體晶圓W送入(裝載)至載置台14(18)之上,或是將處理後的半導體晶圓W從載置台14(18)送出(卸載)。
第2真空運送機械臂32具有:本體,設置於第2真空運送區域TE2的中心部,以及一對運送臂Fc、Fd,可在此本體上旋轉、升降及前進後退(或伸縮);各個運送臂Fc、Fd係能以其叉形的機械 腕水平固持或支持1片半導體晶圓W。而第2真空運送機械臂32,可接近配置於第2真空運送區域TE2周圍的第2組的處理模組PM3、PM4及第1組的真空預備模組LML1、LML2任一者,可使運送臂Fc、Fd任一方通過開啟狀態的閘閥GV(GV3、GV4)選擇性地***接近對象的模組之腔室12之中,可將處理前的半導體晶圓W送入(裝載)至載置台14之上,或是將處理後的半導體晶圓W從載置台14送出(卸載)。再者,第2真空運送機械臂32,如後所述,可在第2真空運送區域TE2的第1層部分與第3真空運送機械臂34進行半導體晶圓W的傳遞。
第3真空運送機械臂34具有:左側及右側的水平運送部HR1、HR2,可在第3真空運送區域TE3的第2層部分往進深方向(X方向)直進移動;以及左側及右側的升降運送部VR1、VR2,在第3真空運送區域TE3的開口部13往鉛直方向(Z方向)直進移動亦即可進行升降。在此,左側及右側的水平運送部HR1、HR2和左側及右側的升降運送部VR1、VR2,係分別對應在第2層於寬度方向(Y方向)並排配置的左右一對之真空預備模組LMU1、LMU2,於寬度方向(Y方向)左右並排設置。亦即,從裝載模組15側朝向真空運送室10的進深方向(X方向)進行觀察,則左側真空預備模組LMU1與左側水平運送部HR1與左側升降運送部VR1係設於第3真空運送區域TE3的左半部;右側真空預備模組LMU2與右側水平運送部HR2與右側升降運送部VR2係設於第3真空運送區域TE3的右半部。
[第3真空運送機械臂的具體構成例]
圖5係顯示在第3真空運送區域TE3右半部運轉之第3真空運送臂34之右側水平運送部HR2及右側升降運送部VR2之具體構成例。
右側水平運送部HR2具有:1根叉形的運送臂AM2,朝向右 側真空預備模組LMU2之方向可水平固持或支持1片半導體晶圓W;以及水平直進運送部36,使此運送臂AM2僅在真空運送室10的進深方向(X方向)直進移動。在此,右側水平直進運送部36具有:無端狀的運送驅動皮帶例如正時皮帶38,沿著真空運送室10的第2層部分之右側側壁(或上壁)往進深方向(X方向)延伸;驅動部(馬達40、帶輪42及未圖示的相反側之遊動帶輪),用以驅動此運送驅動皮帶38;托架型的臂支持部44,使運送臂AM2與運送驅動皮帶38相結合;以及導軌46,將此臂支持部44引導於進深方向(X方向)。藉由控制馬達40的啟動、旋轉方向、旋轉速度及停止,可使運送臂AM2在第3真空運送區域TE3的第2層部分之右半部雙向直進移動,在任意位置停止。
右側升降運送部VR2具有:墊片形狀的上部及下部緩衝器48U、48L,背對右側真空預備模組LMU2一方,可在不同高度分別水平載置或支持1片半導體晶圓W;以及升降驅動部52,介由升降棒50使兩緩衝器48U、48L在分別設於第3真空運送區域TE3的開口部13的第1層部分及第2層部分之第1層移載位置(第1移載位置)TPL及第2層移載位置TPU(第2移載位置)間升降移動。升降驅動部52,例如由線性馬達、滾珠螺桿機構或缸筒所構成。升降棒50,係以在真空運送室10的第1層的底壁所設之孔穴介由密封構構件54可在垂直方向滑動之方式貫通。藉由控制升降驅動部52的啟動、升降之動向、動程及停止,可在第3真空運送區域TE3的開口部13使兩緩衝器48U、48L升降移動,其等的高度位置可任意變動。
另,上部及下部緩衝器48U、48L,在圖5中係以1處支持半導體晶圓W,但亦能以多處(例如在旋轉方向隔著120°的間隔而配置之3根插銷)支持半導體晶圓W。
右側水平運送部HR2,在第3真空運送區域TE3的第2層部 分之右半部,使運送臂AM2在進深方向(X方向)移動,藉此與真空預備模組LMU2在其真空預備室16內進行半導體晶圓W之傳遞,並且與右側升降運送部VR2的兩緩衝器48U、48L在第2層移載位置TPU進行半導體晶圓W之傳遞。
更詳細而言,在右側真空預備模組LMU2中,使後述升降銷17的上下與運送臂AM2的進出連繫動作,藉此可將未處理的半導體晶圓W從真空預備室16送出,或是將處理完畢的半導體晶圓W送入真空預備室16。
又,當將從右側真空預備模組LMU2送出之未處理的半導體晶圓W從右側水平運送部HR2傳遞至右側升降運送部VR2時,首先使運送臂AM2通過第2層移載位置TPU後退移動至內側位置,其後使右側升降運送部VR2從第1層上升移動至第2層。此時,使兩緩衝器48U、48L中欲進行接收的一方例如緩衝器48U對準較運送臂AM2稍低之位置。其次,使運送臂AM2前進移動,使半導體晶圓W伸出至緩衝器48U之上。而使右側升降運送部VR2以短動程上升,則半導體晶圓W從運送臂AM2移轉至緩衝器48U。此後,使運送臂AM2暫時後退,使右側升降運送部VR2從第2層下降移動至第1層。
又,當右側水平運送部HR2藉由右側升降運送部VR2接收處理完畢的半導體晶圓W時,仍是使運送臂AM2後退移動至比第2層移載位置TPU更內側的位置後,使右側升降運送部VR2從第1層上升移動至第2層。此時,使兩緩衝器48U、48L中載置有處理完畢的半導體晶圓W的一方例如緩衝器48L對準較運送臂AM2稍高之位置。其次,使運送臂AM2前進移動至緩衝器48L之下,使右側升降運送部VR2以短動程下降,則半導體晶圓W從緩衝器48L移轉至運送臂AM2。此後,使運送臂AM2暫時後退,使右側升降運送部VR2從第2層下降移動至第1層。
如上所述,介由右側水平運送部HR2,可在右側真空預備模組LMU2與右側升降運送部VR2的各緩衝器48U、48L之間一次一片地雙向運送半導體晶圓W。又,右側水平運送部HR2與兩緩衝器48U、48L之間,亦可進行未處理的半導體晶圓W與處理完畢的半導體晶圓W之交換。
另一方面,右側升降運送部VR2的兩緩衝器48U、48L下降至第1層,藉此在第1層移載位置TPL與第2真空運送機械臂32進行半導體晶圓W的傳遞。亦即,右側升降運送部VR2抵達第1層移載位置TPL時,第2真空運送機械臂32可任意接近兩緩衝器48U、48L任一者,使用運送臂Fc、Fd自各緩衝器48U、48L接收未處理的半導體晶圓Wi,或是可將處理完畢的半導體晶圓Wj傳遞至各緩衝器48U、48L,藉由取出置入動作來進行未處理的半導體晶圓Wi與處理完畢的半導體晶圓Wj之交換。
另,此實施形態中,基本上第2真空運送機械臂32的運送臂Fc、Fd之水平進退移動與右側升降運送部VR2的緩衝器48U、48L的上下移動協同,藉此於兩者之間進行半導體晶圓W的傳遞。然而,在半導體晶圓W的傳遞時,第2真空運送機械臂32不只是進行運送臂Fc、Fd之水平進退移動亦可進行上下移動,因而在右側升降運送部VR2可省去使緩衝器48U、48L上下移動的動作。
如此,第3真空運送機械臂34藉由右側水平運送部HR2及右側升降運送部VR2,可在第2層的右側真空預備模組LMU2與第1層的第2真空運送機械臂32之間一次一片地雙向運送半導體晶圓W。
在第3真空運送區域TE3的左半部運轉的第3真空運送機械臂34之左側水平運送部HR1及左側升降運送部VR1,亦與上述右 側水平運送部HR2及左側升降運送部VR2具有相同構成及機能。從而第3真空運送機械臂34,藉由左側水平運送部HR1及左側升降運送部VR1,可在第2層的左側真空預備模組LMU1與第1層的第2真空運送機械臂32之間一次一片地雙向運送半導體晶圓W。
另,如圖2所示,第2組(第2層)的真空預備模組LMU1、LMU2,為了在裝載/卸載時在載置台18上與運送臂AM2進行半導體晶圓W的傳遞,而設有使複數個升降銷17升降移動(出沒)之升降銷機構。第1組(第1層)的真空預備模組LML1、LML2及所有處理模組PM1、PM2、PM3、PM4亦設有同樣的升降銷機構。
在上述真空運送室10周圍的真空處理系統中,由第1真空運送機械臂30和此第1真空運送機械臂30可接近的第1層的第1組的真空預備模組LML1、LML2及第1組的處理模組PM1、PM2,構成獨立的第1真空運送暨處理部60(圖3)。另一方面,由第2真空運送機械臂32及此第2真空運送機械臂32可接近的第1層的第2組的處理模組PM3、PM4,與第3真空運送機械臂34及此第3真空運送機械臂34可接近的第2層的第2組的真空預備模組LMU1、LMU2,構成獨立的第2真空運送暨處理部62(圖4)。此等第1及第2處理部60、62,可對於置入此真空處理裝置的半導體晶圓W,並列或同時進行同一處理或不同處理。
另,水平運送部HR1、HR2的直進運送部36,在此實施例中雖使用皮帶機構,但亦可使用滾珠螺桿機構或線性馬達等其他直進驅動機構。
[大氣系統的構成]
此真空處理裝置的大氣系統具有:裝載模組15,介由門閥DVL1、DVL2、DVU1、DVU2與真空預備模組LML1、LML2、LMU1、LMU2連結;複數個例如4個裝載埠LP1~LP4,設於此裝載模組15 的正面側;以及第1及第2大氣運送機械臂(大氣運送機構)64、66,在裝載模組15的大氣運送室內運轉。
裝載埠LP1~LP4,面向真空預備模組LML1、LML2、LMU1、LMU2呈橫向一列配置,用於在與外部運送車之間置入、移出例如可收納1批次或1批量25片的半導體晶圓W之晶圓匣盒CR。在此,晶圓匣盒CR係以SMIF(Standard Mechanical Interface,標準機械化介面)或FOUP(Front Opening Unified Pod,前開式晶圓盒)等箱子或盒子所構成。
第1大氣運送機械臂64,係以不具備水平方向的滑動軸之垂直多關節機械臂所構成,並具有:本體65,在裝載模組15內設置於例如左側門閥DVL1、DVU1的左邊,可升降移動;以及一對臂部Ja、Jb(僅以1根臂部圖示),自此本體65往空中延伸,以複數個旋轉軸進行旋轉。各臂部Ja、Jb,能以其叉形的機械腕固持或支持1片半導體晶圓W。而第1大氣運送機械臂64,可接近置於除了右端裝載埠LP4以外的3個裝載埠LP1、LP2、LP3任一者之晶圓匣盒CR,及所有真空預備模組LML1、LML2、LMU1、LMU2,可對於接近對象的晶圓匣盒CR或真空預備模組LM將半導體晶圓W一次一片地取出(送出),或一次一片地裝入(送入),並且亦可在1次接近中藉由取出置入方式來交換處理完畢的晶圓Wi與未處理的晶圓Wj
第2大氣運送機械臂66,亦以不具備水平方向的滑動軸之垂直多關節機械臂所構成,並具有:本體67,在裝載模組15內設置於例如右側門閥DVL2、DVU2的右邊,可升降移動;以及一對臂部Ka、Kb(僅以1根臂部圖示),自此本體67往空中延伸,以複數個旋轉軸進行旋轉。各臂部Ka、Kb,能以其叉形的機械腕固持或支持1片半導體晶圓W。而第2大氣運送機械臂66,可接近置於除了左端裝載埠LP1以外的3個裝載埠LP2、LP3、LP4任一者之晶圓 匣盒CR,及所有真空預備模組LML1、LML2、LMU1、LMU2,可對於接近對象的晶圓匣盒CR或真空預備模組LM將半導體晶圓W一次一片地取出(送出),或一次一片地裝入(送入),並且亦可在1次接近中藉由取出置入方式(先從對方接收晶圓,取而代之將另一晶圓傳遞至對方之方式)來交換處理完畢的晶圓Wi與未處理的晶圓Wj
如此,對於置於中間2個裝載埠LP2、LP3任一者之晶圓匣盒CR,第1及第2大氣運送機械臂64、66均可接近之,能以雙方的手部或臂部不會互相干擾(衝突)之方式在個別的時序進行半導體晶圓W之取出/裝入。又,左端的裝載埠LP1係第1大氣運送機械臂64專用,右端的裝載埠LP4係第2大氣運送機械臂66專用。
在此實施形態中,可同時或並列實行第1及第2大氣運送機械臂64、66的一方接近中間的共通裝載埠LP2、LP3上之晶圓匣盒CR之動作,以及另一方接近真空預備模組LML1、LML2、LMU1、LMU2任一者之動作;由不具備水平滑動軸之垂直多關節機械臂構成各個大氣運送機械臂64、66,並且如圖2所示將裝載埠LP1~LP4設於較第1層的真空預備模組LML1、LML2低之位置,以便迅速且安全地進行各個操作或臂部動作。
另,在叢集工具中,將半導體晶圓W置入來自大氣系統的真空系統時,宜使半導體晶圓W的定向平面或缺口對準既定的位置。此實施形態的真空處理裝置中,各真空預備模組LML1、LML2、LMU1、LMU2以具有個別的真空預備室16之獨立單元所構成,具有用來搭載定向平面對準機構的空間及硬體上的裕度。雖省略圖示,但作為一例,係在載置台18上安裝旋轉夾盤,並且設置用來在方位角方向光學性偵測半導體晶圓W的缺口之光學感測器,因而可在各模組(單元)另外裝設定向平面對準機構。
此實施形態的裝載模組,由於對於共通裝載埠LP2、LP3上的批量處理中或準備中的晶圓匣盒CR,第1及第2大氣運送機械臂64、66交互進行接近而迅速且順利地進行半導體晶圓W之取出/裝入,故大幅提升大氣系統的運送效率,亦能輕易地對應真空系統的高處理量化。
[一實施例的裝置整體之動作]
在此,參照圖6A及圖6B,說明此真空處理裝置的整體動作之一實施例。此實施例的叢集工具式,係將送入了以分時(time sharing)方式可同時接近的中間裝載埠LP2、LP3之晶圓匣盒CR2、CR3內的半導體晶圓W作為處理對象,對所有的處理模組PM1、PM2、PM3、PM4一律進行同一條件的電漿處理,特別適合採用於以高處理量實施短時間(例如30秒以下)處理之情形。
此實施例中,例如自裝載埠LP2上的晶圓匣盒CR2將1批量25片的晶圓W1~W25依序一次一片地取出,均等地分派而送入並列運轉的處理模組PM1、PM2、PM3、PM4之任一者,將在各處理模組PM1、PM2、PM3、PM4處理完畢的晶圓W迅速送出而一次一片地送回晶圓匣盒CR2。在裝載模組15中,第1大氣運送機械臂64主要擔任第1組(第1層)的真空預備模組LML1、LML2,第2大氣運送機械臂66主要擔任第2組(第2層)的真空預備模組LMU1、LMU2
圖6A及圖6B中,”66”、”64”分別表示第2大氣運送機械臂66、64的動作順序。其中,”LP”表示使臂部Ja(Jb)、Ka(Kb)朝向裝載埠LP(此例中為LP2、LP3)移動;”退回”表示使臂部Ja(Jb)、Ka(Kb)自真空預備模組LM(LML1、LML2、LMU1、LMU2)的真空預備室16退出而回到初始臂部位置之動作。
又,圖中的”LML1”、”LMU1”、”LML2”、”LMU2”分別表示真 空預備模組LML1、LMU1、LML2、LMU2的動作順序。其中,”vac”為真空預備室16的真空吸引,”atm”為真空預備室16的沖洗或大氣開放。
又,圖中的”HR1”、”HR2”、”VR1”、”VR2”分別表示第3真空運送機械臂34的左側水平運送部HR1、右側水平運送部HR2、左側升降運送部VR1、右側升降運送部VR2的動作順序。”30”、”32”分別表示第1及第2真空運送機械臂30、32的動作順序。”PM1”、”PM3”、”PM4”、”PM2”分別表示處理模組PM1、PM3、PM4、PM2的動作順序。
此實施例中,第2大氣運送機械臂66使用臂部Ka、Kb任一方,在t0~t1的期間中移動至裝載埠LP2上的晶圓匣盒CR2,在t1~t2的期間中從晶圓匣盒CR2取出第1片晶圓W1,在t2~t3的期間中將晶圓W1送入第2組(第2層)的左側真空預備模組LMU1。而第2大氣運送機械臂66在t3~t4的期間中使本次所使用的臂部Ka、Kb從真空預備模組LMU1的待機位置回到初始臂部位置。在有晶圓W1送入的真空預備模組LMU1中,在t3~t5的期間中進行真空吸引。另,將晶圓W自裝載模組15送入真空預備模組LMU1時,或是相反地將晶圓W從真空預備模組LMU1送出至裝載模組15時,將門閥DVU1暫時開啟。同樣地,在其他真空預備模組LMU2、LML1、LML2與裝載模組15之間進行晶圓W的送入/送出時,將門閥DVU2、DVL1、DVL2分別暫時開啟。
另一方面,第1大氣運送機械臂64使用臂部Ja、Jb任一方,在t2~t3的期間中移動至晶圓匣盒CR2,在t3~t4的期間中從晶圓匣盒CR2取出第2片晶圓W2,在t4~t5的期間中將晶圓W2送入第1組(第1層)的左側真空預備模組LML1。而第1大氣運送機械臂64在t5~t6的期間中從真空預備模組LML1的待機位置回到初始臂部位置。有晶圓W2送入的真空預備模組LML1,在t5~t7的期間中進 行真空吸引。
再者,第2大氣運送機械臂66使用臂部Ka、Kb任一方,在t4~t5的期間中移動至裝載埠LP2上的晶圓匣盒CR2,在t5~t6的期間中從晶圓匣盒CR2取出第3片晶圓W3,在t6~t8的期間中將晶圓W3送入第2組(第2層)的右側真空預備模組LMU2。如此,第2大氣運送機械臂66如後所述在處理完畢的第1片晶圓W1從真空系統回到大氣系統之前,重複進行以下動作:以一定的循環使奇數的晶圓W1、W3、W5、W7...依序一次一片地自晶圓匣盒CR2分派而傳送至第2組(第2層)的真空預備模組LMU1、LMU2任一者。
另一方面,第1大氣運送機械臂64使用臂部Ja、Jb任一方,在t5~t6的期間中移動至裝載埠LP2上的晶圓匣盒CR2,在t8~t10的期間中從晶圓匣盒CR2取出第4片晶圓W4,在t10~t11的期間中將晶圓W4送入第1組(第1層)的右側真空預備模組LML2。如此,第1大氣運送機械臂64如後所述在處理完畢的第2片晶圓W2從真空系統回到大氣系統之前,重複進行以下動作:以一定的循環使偶數的晶圓W2、W4、W6、W8...依序一次一片地自晶圓匣盒CR2分派而傳送至第1組(第1層)的真空預備模組LML1、LML2任一者。
在接收第1片晶圓W1並進行過真空吸引之第2組(第2層)的左側真空預備模組LMU1中,在t6~t7的期間中,第3真空運送機械臂34的左側水平運送部HR1將晶圓W1送出至真空處理室16之外亦即真空運送室10。另,將晶圓W從真空預備模組LMU1送出至真空運送室10時,或是相反地將晶圓W從真空運送室10送入真空預備模組LMU1時,將閘閥GVU1暫時開啟。同樣地,在其他真空預備模組LMU2、LML1、LML2與真空運送室10之間進行晶圓W的送入/送出時,將閘閥GVU2、GVL1、GVL2分別暫時開啟。
左側水平運送部HR1,固持由真空預備模組LMU1送出的第1 片晶圓W1在第2層的左側水平運送路徑呈水平一直線移動,在t8~t9的期間中於第2層移載位置TPU將晶圓W1傳遞至左側升降運送部VR1(緩衝器48U、48L任一者)。
接收了第1片晶圓W1的左側升降運送部VR1,不久之後下降至第1層,在t10~t11的期間中在第1層移載位置TPL使第2真空運送機械臂32收取晶圓W1。第2真空運送機械臂32,若以運送臂Fc、Fd任一者從左側升降運送部VR1收取第1片晶圓W1,則進行旋轉運動,在t12~t14的期間中將晶圓W1送入第2組一方的處理模組PM3
另一方面,在對於第2片晶圓W2進行過真空吸引之第1組(第1層)的左側真空預備模組LML1中,在t10~t11的期間中,第1真空運送機械臂30使用運送臂Fa、Fb任一者將第2片晶圓W2從真空預備室16送出。其次,第1真空運送機械臂30進行旋轉運動,在t12~t14的期間中將晶圓W2送入第1組一方的處理模組PM1
如上所述,將第1片晶圓W1送入第2組一方的處理模組PM3,同時將第2片晶圓W2送入第1組一方的處理模組PM1。兩處理模組PM3、PM1,在t14~t26的期間中對於該等晶圓W1、W2以同一處理條件施以電漿處理。
另一方面,在送入第3片晶圓W3並在t8~t11的期間中進行過真空吸引之第2組(第2層)的右側真空預備模組LMU2中,在t12~t13的期間中第3真空運送機械臂34的右側水平運送部HR2將該晶圓W3從真空預備室16送出。右側水平運送部HR2,固持由真空預備模組LMU2送出的第3片晶圓W3在第2層的右側水平運送路徑呈水平一直線移動,在t14~t15的期間中於第2層移載位置TPU將晶圓W3傳遞至右側升降運送部VR2(緩衝器48U、48L任一者)。
接收了第3片晶圓W3的右側升降運送部VR2,不久之後下降至第1層,在t16~t18的期間中在第1層移載位置TPL使第2真空運送機械臂32收取第3片晶圓W3。第2真空運送機械臂32,若以運送臂Fc、Fd任一者從左側升降運送部VR1收取晶圓W3,則進行旋轉運動,在t19~t21的期間中將晶圓W3送入第2組另一方的處理模組PM4
另一方面,在送入第4片晶圓W4並在t11~t14的期間中進行過真空吸引之第1組(第1層)的右側真空預備模組LML2中,在t16~t18的期間中第1真空運送機械臂30使用運送臂Fa、Fb任一者將晶圓W4從真空預備室16取出。其次,第1真空運送機械臂30進行旋轉運動,在t19~t21的期間中將晶圓W4送入第1組另一方的處理模組PM2
如上所述,將第3片晶圓W3送入第2組另一方的處理模組PM4,同時將第4片晶圓W4送入第1組另一方的處理模組PM2。兩處理模組PM4、PM2,在t21~t33的期間中對於該等晶圓W3、W4以上述同一處理條件施以電漿處理。
第3真空運送機械臂34中,在t19~t25的期間中左側水平運送部HR1及左側升降運送部VR1重複進行與對於第1片晶圓W1進行的動作完全相同之動作,將第5片晶圓W5從第2組(第2層)的左側真空預備模組LMU1傳送至第2真空運送機械臂32。第2真空運送機械臂32若使用運送臂Fc、Fd任一者從左側升降運送部VR1收取此第5片晶圓W5,則進行旋轉運動,在t26~t28的期間中接近第2組一方的處理模組PM3,以運送臂Fc、Fd空著的一方將處理完畢的第1片晶圓W1送出,取而代之將未處理的第5片晶圓W5送入。
另一方面,第1真空運送機械臂30,自在t18~t21的期間中進 行過真空吸引之第1組(第1層)的右側真空預備模組LML2,使用運送臂Fa、Fb任一者在t23~t25的期間中將第6片晶圓W6送出。其次,第1真空運送機械臂30進行旋轉運動,在t26~t28的期間中接近第1組一方的處理模組PM1,以運送臂Fa、Fb空著的一方將處理完畢的第2片晶圓W2送出,取而代之將未處理的第6片晶圓W6送入。
如上所述,將第5片晶圓W5送入第2組的處理模組PM3,同時將第6片晶圓W6送入第1組的處理模組PM1。兩處理模組PM3、PM1,在t28~t41的期間中對於該等晶圓W5、W6以上述同一處理條件施以電漿處理。
第2真空運送機械臂32,在如上所述自處理模組PM3將第1片晶圓W1送出不久之後的t30~t32的期間中,接近第3真空運送機械臂34的右側升降運送部VR2,將處理完畢的第1片晶圓W1傳遞至右側升降運送部VR2(緩衝器48U、48L任一方),取而代之接收右側升降運送部VR2所運送來的未處理的第7片晶圓W7
另一方面,第1真空運送機械臂30,在如上所述自處理模組PM1將第2片晶圓W2送出不久之後的t30~t32的期間中,接近第1組(第1層)的右側真空預備模組LML2,從其真空預備室16將未處理的第8片晶圓W8送出,取而代之將處理完畢的第2片晶圓W2送入。
有第2片晶圓W2送入的真空預備模組LML2,在t32~t35的期間中進行大氣開放。然後,第1大氣運送機械臂64在t37~t39的期間中接近真空預備模組LML2,從其真空預備室16將處理完畢的第2片晶圓W2送出,取而代之將未處理的第12片晶圓W12送入。
如此將處理完畢的第2片晶圓W2從真空預備模組LML2送出 之第1大氣運送機械臂64,在t39~t41的期間中移動至裝載埠LP2上的晶圓匣盒CR2之待機位置,在t41~t42的期間中將晶圓W2送回,將未處理的第14片晶圓W14取出。
另一方面,如上所述在t30~t32的期間中以右側升降運送部VR2自第2真空運送機械臂32接收了處理完畢的第1片晶圓W1之第3真空運送機械臂34,在t41~t42的期間中將晶圓W1從右側升降運送部VR2轉移至右側水平運送部HR2,在t43~t44的期間中將晶圓W1送入第2組(第2層)的右側真空預備模組LMU2
有第1片晶圓W1送入的真空預備模組LMU2,在t44~t47的期間中進行大氣開放。然後,第2大氣運送機械臂66在t47~t48的期間中接近真空預備模組LMU2,從其真空預備室16將處理完畢的第1片晶圓W1送出,取而代之將未處理的第15片晶圓W15送入。
如此將處理完畢的第1片晶圓W1從真空預備模組LMU2送出之第2大氣運送機械臂66,在t48~t49的期間中移動至裝載埠LP2上的晶圓匣盒CR2之待機位置而將晶圓W1送回,在t50~t51的期間中將未處理的第17片晶圓W17取出。
另一方面,第2真空運送機械臂32在t33~t35的期間中接近第2組另一方的處理模組PM4,將處理完畢的第3片晶圓W3送出,取而代之將未處理的第7片晶圓W7送入。又,第1真空運送機械臂30在t33~t35的期間中接近第1組另一方的處理模組PM2,將處理完畢的第4片晶圓W4送出,取而代之將未處理的第8片晶圓W8送入。
第2真空運送機械臂32,在如上所述自處理模組PM4將第3片晶圓W3送出不久之後的t37~t39的期間中,接近第3真空運送機械臂34的左側升降運送部VR1,將處理完畢的第3片晶圓W3傳 遞至左側升降運送部VR1(緩衝器48U、48L任一方),取而代之接收左側升降運送部VR1所運送來的未處理的第9片晶圓W9
另一方面,第1真空運送機械臂30,在如上所述自處理模組PM4將第4片晶圓W4送出不久之後的t37~t39的期間中,接近第1組(第1層)的左側真空預備模組LML1,從其真空預備室16將未處理的第10片晶圓W10送出,取而代之將處理完畢的第4片晶圓W4送入。
有第4片晶圓W4送入的真空預備模組LML1,在t39~t42的期間中進行大氣開放。然後,第1大氣運送機械臂64在t44~t45的期間中接近真空預備模組LML1,從其真空預備室16將處理完畢的第4片晶圓W4送出,取而代之將未處理的第14片晶圓W14送入。
如此將處理完畢的第4片晶圓W4從真空預備模組LML1送出之第1大氣運送機械臂64,在t45~t47的期間中移動至裝載埠LP2上的晶圓匣盒CR2之待機位置,在t47~t48的期間中將晶圓W4送回,將未處理的第16片晶圓W16取出。
另一方面,如上所述在t37~t39的期間中以左側升降運送部VR1自第2真空運送機械臂32接收了處理完畢的第3片晶圓W3之第3真空運送機械臂34,在t47~t48的期間中將晶圓W3從左側升降運送部VR1轉移至左側水平運送部HR1,在t48~t49的期間中將晶圓W3送入第2組(第2層)的左側真空預備模組LMU1
有第3片晶圓W3送入的真空預備模組LMU1,在t49~t52的期間中進行大氣開放。然後,第2大氣運送機械臂66在t52~t53的期間中接近真空預備模組LMU1,從其真空預備室16將處理完畢的第3片晶圓W3送出,取而代之將未處理的第17片晶圓W17送入。
如此將處理完畢的第3片晶圓W3從真空預備模組LMU1送出之第2大氣運送機械臂66,在t54~t55的期間中移動至裝載埠LP2上的晶圓匣盒CR2之待機位置而將晶圓W3送回,在t55~t56的期間中將未處理的第19片晶圓W19取出。
此後亦於各部重複進行與上述同樣的動作。而若對於裝載埠LP2上的晶圓匣盒CR2進行之1批量分的處理結束,則對於相鄰裝載埠LP3上的晶圓匣盒CR3,亦重複進行與上述同樣之1批量分的處理。如此,對於2個裝載埠LP2、LP3上的晶圓匣盒CR2、CR3交互地連續重複進行1批量分的處理。
如圖6A及圖6B所示,此實施例中,所有真空運送機械臂(30、32、34)、所有大氣運送機械臂(64、66)、真空預備模組(LML1、LML2、LMU1、LMU2)及所有處理模組(PM1、PM2、PM3、PM4)幾乎沒有等待時間,或是相隔最低限度的等待時間而高效率地完全運轉。其中,第1真空運送暨處理部60與第2真空運送暨處理部62互相獨立運轉;第1真空運送機械臂30主要只從事第1真空運送暨處理部60內的晶圓運送即可,而完全無須參與第2組(第2層)的真空預備模組LMU1、LMU2與第2組的處理模組PM3、PM4之間的晶圓運送(作為其橋樑)。從而,運送負載不會集中於第1真空運送機械臂30。如此,運送負載合適地分散在所有真空運送機械臂(30、32、34),故可提高系統整體的運送能力及運送效率,可大幅提升叢集工具的短時間處理之處理量。
[其他實施形態或變形例]
上述實施例中,使所有處理模組(PM1、PM2、PM3、PM4)全部一律並列進行同一處理。作為另一實施例,亦可進行如下的並列處理:在第1真空運送暨處理部60中將1片半導體晶圓Wi依序運送至處理模組PM1、PM2而連續進行2種或2階段的處理;另一方面在第2真空運送暨處理部62中將另1片半導體晶圓Wj依 序運送至處理模組PM3、PM4而以同一處理條件連續進行2種或2階段的處理。
又,各個第1真空運送暨處理部60、62所包含的處理模組之個數係任意,例如3台以上亦可,又,第1及/或第2真空運送機械臂30、32的本體亦可在第1及/或第2真空運送區域TE1、TE2內往一定方向或任意方向移動。
上述實施形態中,各個真空預備模組LML1、LML2、LMU1、LMU2係將半導體晶圓W以1片為單位收納於真空預備室16內,故可精簡真空預備模組的內部,並且能以單片單位隨時且短時間地進行供氣排氣動作或冷卻動作。不過,亦可因應需要,採用在真空預備室16內同時收納複數片半導體晶圓W之構成。
再者,亦可使真空運送室10往進深方向更加大幅延伸,於其第1層部分更加設置另一(第4)真空運送區域(未圖示),在此第4真空運送區域內配置第4真空運送機械臂,於其周圍配置第3組的處理模組。此時,令真空運送室10為3層建造,在第2組(第2層)的真空預備模組LMU1、LMU2上設置第3組(第3層)的一對真空預備模組。而具備第5真空運送機械臂,其具有與上述第3真空運送機械臂34同樣的構成,在第3層與第1層之間移動,在第3組(第3層)的真空預備模組與第4真空運送機械臂之間一次一片地傳送半導體晶圓W。另,亦可在各層僅設置1台真空預備模組,或是3台以上。
又,上述實施形態中,在第3真空運送機械臂34中,升降運送部VR1(VR2)具有一對緩衝器48U、48L,因此可在升降運送部VR1(VR2)與水平運送部HR1(HR2)之間交換未處理的半導體晶圓Wi與處理完畢的半導體晶圓Wj
然而,作為一變形例,升降運送部VR1(VR2)亦可只有1個緩衝器48。此時,第2真空運送機械臂32可使用一對運送臂Fc、Fd藉由取出置入方式從升降運送部VR1(VR2)的緩衝器48收取未處理的半導體晶圓Wi,取而代之傳遞處理完畢的半導體晶圓Wj。然而,在水平運送部HR1(HR2)與升降運送部VR1(VR2)之間,無法進行此種半導體晶圓Wi、Wj之交換。因此,如圖7所示,在各真空預備模組LM的真空預備室16內設置:可一次一片地載置支持半導體晶圓W且可獨立升降移動之2個晶圓支持部70、72。此時,水平運送部HR1(HR2)將以1根臂部AM1(AM2)運送來之處理完畢的半導體晶圓Wj裝載至一方例如上部的晶圓支持部72之後,使臂部AM1(AM2)暫時退出。不久之後,在真空預備室16內,使上部的晶圓支持部72往上方退避,使支持未處理的半導體晶圓Wi之下部晶圓支持部70預先對準臂部AM1(AM2)的高度。而水平運送部HR1(HR2)使臂部AM1(AM2)***或進入真空預備室16內,從下部晶圓支持部70接收未處理的半導體晶圓Wi,其次使臂部AM1(AM2)退出。
上述實施形態中,在各個真空預備模組LML1、LML2、LMU1、LMU2裝入了定向平面對準機構。然而,亦可在裝載模組15的大氣運送室內於第1大氣運送機械臂64、66可接近的位置設置各專用或共通的定向平面對準機構。
上述實施形態中,係將第2組(第2層)的真空預備模組LMU1、LMU2配置於第1組(第1層)的真空預備模組LML1、LML2之上。作為一變形例,如圖8所示,亦可將第2組(第2層)的真空預備模組LMU1、LMU2配置於第1真空運送區域TE1之上。
此時,第1大氣運送機械臂64、66的臂部Ja(Jb)、Ka(Kb)從裝載模組15側通過第1組(第1層)的真空預備模組LML1、LML2之上,接近第2組(第2層)的真空預備模組LMU1、LMU2。在真空運 送室10中,在第2層的第3真空運送區域TE3減少了真空預備模組LML1、LML2的容積量,進深方向(X方向)的尺寸大幅縮小。因此,就第3真空運送機械臂34的水平運送部HR1、HR2而言,運送距離或運送時間縮短。
再者,第2組(第2層)的真空預備模組LMU1、LMU2與第1組(第1層)的真空預備模組LML1、LML2中,皆可將其等的頂板任意地卸下,故維修性變得良好。又,亦可在第3真空運送區域TE3的開口部13的位置分別在真空運送室10的底板與頂板裝設可開閉的開閉蓋(未圖示),在大氣開放下實施真空運送室10內部的維修時,可開啟該等開閉蓋讓作業人員進入開口部13,進行各真空運送區域TE1、TE2、TE3內的零件交換或清掃等。
另,在大氣系統中,如圖8所示,在第2組(第2層)的真空預備模組LMU1、LMU2的正面側運送區域之上,亦即第1組(第1層)的真空預備模組LML1、LML2之上方,宜設置裝載模組15的延長頂棚部(或另外的防塵壁或防塵蓋)80。而在裝載模組15的頂棚設置空氣清洗裝置例如風扇過濾器單元(FFU,Fan Filter Unit)82時,於此延長頂棚部80亦宜設置FFU82。
又,如圖9所示,第1組(第1層)的真空預備模組LML1、LML2的佔有空間在系統寬度方向(Y方向)擴張,因而在大氣運送室內使兩真空預備模組LML1、LML2的正面側區域的中心部擴大,而亦可在該處配置1台大氣運送機械臂84。此大氣運送機械臂84,係以不具備水平方向的滑動軸之垂直多關節機械臂所構成,並具有:本體86;以及一對臂部Ma、Mb(僅以1根臂部圖示),自此本體86往空中延伸,以複數個旋轉軸進行旋轉。各臂部Ma、Mb,能以其叉形的機械腕固持或支持1片半導體晶圓W。而此大氣運送機械臂84,可接近所有裝載埠LP1、LP2、LP3、LP4上之晶圓匣盒CR,及所有真空預備模組LML1、LML2、LMU1、LMU2,可對 於接近對象的晶圓匣盒CR或真空預備模組LM將半導體晶圓W一次一片地取出(送出),或一次一片地裝入(送入),並且亦可在1次接近中藉由取出置入方式來交換處理完畢的晶圓Wi與未處理的晶圓Wj。大氣系統的運送速度及運送效率雖降低,但亦可使此1台大氣運送機械臂84負擔上述2台大氣運送機械臂64、66的運送作業。
又,作為另一變形例,雖省略圖示,但亦可將第2組(第2層)的真空預備模組LMU1、LMU2橫跨配置於第1組(第1層)的真空預備模組LML1、LML2及第1真空運送區域TE1之上。
圖10顯示有關第3真空運送機械臂34的水平運送部之一變形例。此變形例之特徵為:在左右的水平運送部HR1、HR2之間的空間分別設置直進運送部36、36。例如,在水平運送部HR1、HR2之間往進深方向(X方向)延伸之長條狀中心框架90,在此中心框架90的兩面分別裝設直進運送部36、36。左側升降運送部VR1及右側升降運送部VR2之個別的升降棒(升降軸)50,係面對中心框架90側的直進運送部36、36,鄰近真空運送室10左右的側壁所配置。
根據此種構成,在左側水平運送部HR1中,使臂部AM1在進深方向(X方向)移動時,沿著中心框架90運動之直進運送部36(特別是臂支持部44),不會與左側升降運送部VR1的升降棒(升降軸)50互相干擾(衝突)。因此,左側水平運送部HR1,在與左側升降運送部VR1之間進行半導體晶圓W之傳遞時,可使臂部AM1退避至前方(靠近真空預備模組LMU1)。例如,並非是將處理完畢的半導體晶圓W從左側升降運送部VR1的緩衝器48U(或48L)移轉至臂部AM1不久之後,使臂部AM1暫時後退,使左側升降運送部VR1從第2層下降移動至第1層;而是可使左側升降運送部VR1停留在第2層不動,使臂部AM1前進移動。從而,亦可使接收了 處理完畢的半導體晶圓W之臂部AM1直接立即前進移動至真空預備模組LMU1的室內。因此,可提升左側水平運送部HR1的運送速度或運送效率。右側水平運送部HR2亦具有與左側水平運送部HR1相同之構成,故可進行同樣的運送動作。
上述實施形態中,在真空運送室10的第1層設置第1及第2真空運送區域TE1、TE2、第1組及第2組的處理模組PM1~PM4、第1組的真空預備模組LML1、LML2,在第2層設置第3真空運送區域TE3及第2組的LMU1、LMU2。然而,亦可將真空運送室10的內部及其周圍的第1層部分與第2層部分上下相反,亦即在真空運送室10的第2層設置第1及第2真空運送區域TE1、TE2、第1組及第2組的處理模組PM1~PM4、第1組的真空預備模組LML1、LML2;在第1層設置第3真空運送區域TE3及第2組的LMU1、LMU2。此時,第1及第2真空運送臂30、32,在真空運送室10的第2層於水平方向分離的第1及第2真空運送區域TE1、TE2內分別進行晶圓運送動作;第3真空運送機械臂34在第1層及開口部13中展開的第3真空運送區域TE3內進行晶圓運送動作。
再者,第3真空運送機械臂34亦可只具有一組水平運送部HR及升降運送部VR,或是可構成為1台兼具水平運送部HR及升降運送部VR各別的性能之真空運送機械臂等。
本發明的被處理基板,並不限於半導體晶圓,亦可為例如FPD(平面顯示器)基板,亦可為在叢集式真空處理裝置接受任意處理之任意基板。
10‧‧‧真空運送室
11‧‧‧隔板
12‧‧‧腔室
13‧‧‧開口部
14‧‧‧載置台
15‧‧‧裝載模組
16‧‧‧真空預備室
17‧‧‧升降銷
18‧‧‧載置台
20‧‧‧開閉閥
22‧‧‧排氣裝置
24‧‧‧開閉閥
26‧‧‧沖洗氣體供給部
32‧‧‧第2真空運送機械臂
34‧‧‧第3真空運送機械臂
36‧‧‧直進運送部
38‧‧‧運送驅動皮帶
40‧‧‧馬達
42‧‧‧帶輪
44‧‧‧臂支持部
46‧‧‧導軌
48U、48L‧‧‧緩衝器
50‧‧‧升降棒
52‧‧‧升降驅動部
54‧‧‧密封構件
60‧‧‧第1真空運送暨處理部
62‧‧‧第2真空運送暨處理部
64‧‧‧第1大氣運送機械臂
65‧‧‧本體
66‧‧‧第2大氣運送機械臂
67‧‧‧本體
70‧‧‧晶圓支持部
72‧‧‧晶圓支持部
80‧‧‧延長頂棚部
82‧‧‧風扇過濾器單元(FFU)
84‧‧‧大氣運送機械臂
86‧‧‧本體
90‧‧‧中心框架
AM1、AM2‧‧‧運送臂
CR2、CR3‧‧‧晶圓匣盒
DVL1、DVL2、DVU1、DVU2‧‧‧門閥
Fa、Fb、Fc、Fd‧‧‧運送臂
GV1~GV4‧‧‧閘閥
Fa、Fb、Fc、Fd‧‧‧運送臂
GV1~GV4‧‧‧閘閥
GVL1、GVL2、GVU1、GVU2‧‧‧閘閥
HR1‧‧‧左側水平運送部
HR2‧‧‧右側水平運送部
Ja、Jb‧‧‧臂部
Ka、Kb‧‧‧臂部
LP1~LP4‧‧‧裝載埠
Ma、Mb‧‧‧臂部
PM1、PM2、PM3、PM4‧‧‧處理模組
TE1‧‧‧第1真空運送區域
TE2‧‧‧第2真空運送區域
TE3‧‧‧第3真空運送區域
TPL‧‧‧第1層移載位置
TPU‧‧‧第2層移載位置
VR1‧‧‧左側升降運送部
VR2‧‧‧右側升降運送部
W‧‧‧半導體晶圓
Wi‧‧‧處理完畢的晶圓
Wj‧‧‧未處理的晶圓
LML1、LML2、LMU1、LMU2‧‧‧真空預備模組
圖1係顯示本發明一實施形態的真空處理裝置之整體構成之俯視圖。
圖2係顯示上述真空處理裝置之整體構成之縱剖面圖。
圖3係顯示上述真空處理裝置的第1處理部之俯視圖。
圖4係顯示上述真空處理裝置的第2處理部之俯視圖。
圖5係顯示上述真空處理裝置的第3真空運送臂之水平運送部及升降運送部之具體構成例之立體圖。
圖6A係用以說明上述真空處理裝置的整體動作之一實施例之時序圖。
圖6B係用以說明上述真空處理裝置的整體動作之一實施例之時序圖。
圖7係顯示上述真空處理裝置的真空預備室之一變形例之概略縱剖面圖。
圖8係顯示上述真空處理裝置之一變形例之縱剖面圖。
圖9係顯示圖8的變形例之一形態之立體圖。
圖10係顯示上述真空處理裝置的另一變形例之立體圖。
10‧‧‧真空運送室
11‧‧‧隔板
13‧‧‧開口部
15‧‧‧裝載模組
16‧‧‧真空預備室
17‧‧‧升降銷
18‧‧‧載置台
20‧‧‧開閉閥
22‧‧‧排氣裝置
24‧‧‧開閉閥
26‧‧‧沖洗氣體供給部
28‧‧‧排氣裝置
30‧‧‧第1真空運送機械臂
32‧‧‧第2真空運送機械臂
34‧‧‧第3真空運送機械臂
52‧‧‧升降驅動部
64‧‧‧第1大氣運送機械臂
65‧‧‧本體
66‧‧‧第2大氣運送機械臂
67‧‧‧本體
AM1、AM2‧‧‧運送臂
CR2‧‧‧晶圓匣盒
DVL1、DVL2、DVU1、DVU2‧‧‧門閥
Fa、Fb、Fc、Fd‧‧‧運送臂
GVL1、GVL2、GVU1、GVU2‧‧‧閘閥
HR1‧‧‧左側水平運送部
HR2‧‧‧右側水平運送部
Ja、Jb‧‧‧臂部
Ka、Kb‧‧‧臂部
LP2‧‧‧裝載埠
TE1‧‧‧第1真空運送區域
TE2‧‧‧第2真空運送區域
TE3‧‧‧第3真空運送區域
TPL‧‧‧第1層移載位置
TPU‧‧‧第2層移載位置
VR1‧‧‧左側升降運送部
VR2‧‧‧右側升降運送部
W‧‧‧半導體晶圓
LML1、LML2、LMU1、LMU2‧‧‧真空預備模組

Claims (22)

  1. 一種真空處理裝置,包含:第1及第2真空運送區域,將真空運送室內以於中間部設有水平的隔板隔成上段及下段,下段在水平方向分開設置;第1組處理模組及第1組真空預備模組,鄰接該第1真空運送區域,配置於該真空運送室的周圍;第2組處理模組,鄰接該第2真空運送區域,配置於該真空運送室的周圍;第1真空運送機構,接近該第1組處理模組及該第1組真空預備模組,與接近對象的各模組進行基板之傳遞,在該第1真空運送區域內運送基板;第2真空運送機構,接近該第2組處理模組,與接近對象的各模組進行基板之傳遞,在該第2真空運送區域內運送基板;第3真空運送區域,設置於該真空運送室內之上段;第2組真空預備模組,鄰接該真空運送室內之上段設置,配置於較該第1組真空預備模組高的層;以及第3真空運送機構,接近該第2組真空預備模組,與接近對象的各模組進行基板之傳遞,並且在該第3真空運送區域內之中間部之開口部所設的第1移載位置與該第2運送機構進行基板之傳遞,在該第3真空運送區域運送基板。
  2. 如申請專利範圍第1項之真空處理裝置,其中,該第2組真空預備模組配置於該第1組真空預備模組之上。
  3. 如申請專利範圍第1項之真空處理裝置,其中,該第2組真空預備模組配置於該第1真空運送區域之上。
  4. 如申請專利範圍第1項之真空處理裝置,其中,該第2組真空預備模組橫跨配置於該第1組真空預備模組及該第1真空運送區域之上。
  5. 如申請專利範圍第1項之真空處理裝置,其中,該第3真空運送機構包含:可水平移動的水平運送部與可升降移動的升降運送部,其可在該第3真空運送區域內之設於該第1移載位置上方或下方的第2移載位置中互相進行基板的傳遞;該水平運送部在該第2組真空預備模組與該第2移載位置之間運送基板;該升降運送部在該第1移載位置與該第2移載位置之間運送基板。
  6. 如申請專利範圍第1項之真空處理裝置,其中,該水平運送部具有:用來僅在水平之單一方向進行基板運送之第1直進移動機構。
  7. 如申請專利範圍第1項之真空處理裝置,其中,該水平運送部具有:能以1片為單位固持或支持基板之運送臂。
  8. 如申請專利範圍第1項之真空處理裝置,其中,該升降運送部具有:用來僅在鉛直方向進行基板運送之第2直進移動機構。
  9. 如申請專利範圍第1項之真空處理裝置,其中,該升降運送部具有:能同時載置或支持2片基板之一對緩衝器。
  10. 如申請專利範圍第5項之真空處理裝置,其中,該第2組真空預備模組包含:橫向並排配置之一對真空預備模組;該第3真空運送機構,分別對應該橫向並排配置之一對真空 預備模組,而設有:橫向並排設置並分別獨立動作之一對該水平運送部;以及橫向並排設置並分別獨立動作之一對該升降運送部。
  11. 如申請專利範圍第1項之真空處理裝置,其中包含:第1裝載埠,為了進行將多數片基板收納成依一定間隔並排且可使其進出之晶圓匣盒的置入、移出,而面對著該第1組及第2組真空預備模組設置於大氣空間內的既定位置;第1大氣運送機構,在置於該第1裝載埠的第1晶圓匣盒與任一該真空預備模組之間進行基板的運送;以及第2大氣運送機構,在該第1裝載埠上的該第1晶圓匣盒與其他任一該真空預備模組之間進行基板的運送;而該第1及第2大氣運送機構,對於該第1晶圓匣盒一次一片地交互進行基板的取出或基板的裝入。
  12. 如申請專利範圍第11項之真空處理裝置,其中,該第1大氣運送機構,在該第1晶圓匣盒與該第1組真空預備模組之間進行基板的運送;該第2大氣運送機構,在該第1晶圓匣盒與該第2組真空預備模組之間進行基板的運送。
  13. 如申請專利範圍第12項之真空處理裝置,其中,當該第1大氣運送機構為了進行基板的取出或基板的裝入而接近該第1晶圓匣盒時,該第2大氣運送機構為了進行基板的取出或基板的裝入而接近該第2組真空預備模組;當該第2大氣運送機構為了進行基板的取出或基板的裝入而接近該第1晶圓匣盒時,該第1大氣運送機構為了進行基板的取出或基板的裝入而接近該第1組真空預備模組。
  14. 如申請專利範圍第11項之真空處理裝置,其中更包含:第2裝載埠,在大氣空間內鄰接該第1裝載埠而設置; 而該第1及第2大氣運送機構,對於置於該第2裝載埠的第2晶圓匣盒,亦為一次一片地交互進行基板的取出或基板的裝入。
  15. 如申請專利範圍第11項之真空處理裝置,其中,該第1及第2大氣運送機構中之各者具有:不具備水平方向的滑動軸之多關節機械臂。
  16. 如申請專利範圍第1項之真空處理裝置,其中,屬於該第1組的所有處理模組及屬於該第2組的所有處理模組重複進行相同之單一處理。
  17. 如申請專利範圍第1項之真空處理裝置,其中,該第1組處理模組及該第2組處理模組重複進行相同之複合處理。
  18. 一種真空處理裝置,包含:真空運送室,室內保持在減壓狀態;1個或複數個處理模組,並排設置於該真空運送室的周圍,在減壓下的室內對基板進行既定的處理;複數個真空預備模組,並排設置於該真空運送室的周圍,室內可選擇性地切換為大氣狀態或減壓狀態,可暫時留置在大氣空間與該真空運送室之間傳送的基板;1個或複數個真空運送機構,用來在任一該真空預備模組與任一該處理模組之間,或在不同的該處理模組之間運送基板,而設於該真空運送室內;第1裝載埠,為了進行將多數片基板收納成依一定間隔並排且可使其進出之晶圓匣盒的置入、移出,而設置於面對該複數個真空預備模組之大氣空間內的既定位置;第1大氣運送機構,在置於該第1裝載埠的第1晶圓匣盒與任一該真空預備模組之間進行基板的運送;以及 第2大氣運送機構,在該第1裝載埠上的該第1晶圓匣盒與其他任一該真空預備模組之間進行基板的運送;該第1及第2大氣運送機構,係不具備水平方向的滑動軸之多關節機械臂,該第1及第2大氣運送機構,以不會互相干擾之方式獨立動作,該第1及第2大氣運送機構,對於該第1晶圓匣盒一次一片地交互進行基板的取出或基板的裝入。
  19. 如申請專利範圍第18項之真空處理裝置,其中,當該第1大氣運送機構為了進行基板的取出或基板的裝入而接近該第1晶圓匣盒時,該第2大氣運送機構為了進行基板的取出或基板的裝入而接近任一該真空預備模組;當該第2大氣運送機構為了進行基板的取出或基板的裝入而接近該第1晶圓匣盒時,該第1大氣運送機構為了進行基板的取出或基板的裝入而接近其他任一該真空預備模組。
  20. 如申請專利範圍第18項之真空處理裝置,其中更包含:第2裝載埠,在大氣空間內鄰接該第1裝載埠設置;而該第1及第2大氣運送機構,對於置於該第2裝載埠的第2晶圓匣盒,亦為一次一片地交互進行基板的取出或基板的裝入。
  21. 如申請專利範圍第18項之真空處理裝置,其中,所有該複數個處理模組重複進行相同之處理。
  22. 如申請專利範圍第18項之真空處理裝置,其中,該複數個處理模組係區分為第1組處理模組及第2組處理模組;而該第1組處理模組及該第2組處理模組重複進行相同之複合處理。
TW101102219A 2011-01-20 2012-01-19 真空處理裝置 TWI571953B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011009859 2011-01-20

Publications (2)

Publication Number Publication Date
TW201243985A TW201243985A (en) 2012-11-01
TWI571953B true TWI571953B (zh) 2017-02-21

Family

ID=46515506

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101102219A TWI571953B (zh) 2011-01-20 2012-01-19 真空處理裝置

Country Status (5)

Country Link
US (1) US9443749B2 (zh)
JP (1) JP6006643B2 (zh)
KR (1) KR101744372B1 (zh)
TW (1) TWI571953B (zh)
WO (1) WO2012098871A1 (zh)

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
WO2014080067A1 (en) * 2012-11-23 2014-05-30 Picosun Oy Substrate loading in an ald reactor
JP6058999B2 (ja) * 2012-12-11 2017-01-11 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
EP2948980B1 (en) * 2013-01-22 2021-08-25 Brooks Automation, Inc. Substrate transport
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
KR20210127823A (ko) * 2013-11-04 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 증가된 개수의 측들을 갖는 이송 챔버들, 반도체 디바이스 제조 프로세싱 툴들, 및 프로세싱 방법들
CN105849859B (zh) * 2013-12-26 2019-11-01 柯尼卡美能达株式会社 电子器件的印刷制造***
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101722915B1 (ko) * 2014-10-13 2017-04-04 주식회사 테스 유기금속화학기상증착장치
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106033737B (zh) * 2015-03-16 2019-01-18 中微半导体设备(上海)有限公司 真空锁***及基片传送方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6089082B1 (ja) * 2015-09-29 2017-03-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
CN106558520A (zh) * 2015-09-29 2017-04-05 北京北方微电子基地设备工艺研究中心有限责任公司 晶片传输***及晶片传输方法
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101972730B1 (ko) * 2016-11-02 2019-04-25 가부시키가이샤 알박 진공 처리 장치
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
JP6442648B1 (ja) * 2017-06-14 2018-12-19 株式会社アルバック 真空処理装置
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN108172531B (zh) * 2017-12-20 2021-01-15 武汉华星光电半导体显示技术有限公司 刻蚀设备
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN109244186B (zh) * 2018-09-19 2024-02-27 通威太阳能(安徽)有限公司 一种新型背钝化背膜正膜机台镀膜连体上下料装置及方法
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
EP4102550A4 (en) * 2020-02-05 2023-02-01 Kabushiki Kaisha Yaskawa Denki TRANSPORT SYSTEM, TRANSPORT METHOD AND TRANSPORT DEVICE
JP7511380B2 (ja) 2020-05-01 2024-07-05 東京エレクトロン株式会社 処理システム
CN113966548A (zh) * 2020-05-21 2022-01-21 株式会社安川电机 搬送设备、搬送方法和搬送***
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11935771B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935770B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US20080025824A1 (en) * 2006-07-20 2008-01-31 Kawasaki Jukogyo Kabushiki Kaisha Wafer transfer apparatus and substrate transfer apparatus
US20090139450A1 (en) * 2007-11-30 2009-06-04 Sokudo Co., Ltd. Multi-story substrate treating apparatus with flexible transport mechanisms
JP2010147207A (ja) * 2008-12-18 2010-07-01 Tokyo Electron Ltd 真空処理装置及び真空搬送装置
TW201032282A (en) * 2009-02-24 2010-09-01 Intevac Inc Apparatus and methods for transporting and processing substrates

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP3674864B2 (ja) 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP4907077B2 (ja) 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
JP2009064864A (ja) * 2007-09-05 2009-03-26 Hitachi High-Technologies Corp 半導体処理装置
JP4980978B2 (ja) * 2008-04-17 2012-07-18 大日本スクリーン製造株式会社 基板処理装置
JP5000627B2 (ja) * 2008-11-27 2012-08-15 東京エレクトロン株式会社 基板処理システム
JP5736687B2 (ja) * 2009-10-06 2015-06-17 東京エレクトロン株式会社 基板処理装置
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US20080025824A1 (en) * 2006-07-20 2008-01-31 Kawasaki Jukogyo Kabushiki Kaisha Wafer transfer apparatus and substrate transfer apparatus
US20090139450A1 (en) * 2007-11-30 2009-06-04 Sokudo Co., Ltd. Multi-story substrate treating apparatus with flexible transport mechanisms
JP2010147207A (ja) * 2008-12-18 2010-07-01 Tokyo Electron Ltd 真空処理装置及び真空搬送装置
TW201032282A (en) * 2009-02-24 2010-09-01 Intevac Inc Apparatus and methods for transporting and processing substrates

Also Published As

Publication number Publication date
KR20140004132A (ko) 2014-01-10
TW201243985A (en) 2012-11-01
JP6006643B2 (ja) 2016-10-12
US9443749B2 (en) 2016-09-13
WO2012098871A1 (ja) 2012-07-26
KR101744372B1 (ko) 2017-06-07
US20130302115A1 (en) 2013-11-14
JPWO2012098871A1 (ja) 2014-06-09

Similar Documents

Publication Publication Date Title
TWI571953B (zh) 真空處理裝置
US9147591B2 (en) Substrate processing apparatus
US6257827B1 (en) Apparatus and method for transporting substrates
TWI416651B (zh) 基板處理裝置
TWI408766B (zh) Vacuum processing device
KR101705932B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
CN109478527B (zh) 基片处理设备
TWI474428B (zh) Vacuum processing device
JPH11307614A (ja) 半導体素子製造用エッチング設備のマルチチャンバーシステム
TW201320230A (zh) 自動載入埠、設備前端模組
KR100965512B1 (ko) 평판표시소자 제조장치
KR100717990B1 (ko) 반도체 자재 처리를 위한 이송 시스템
US20140119858A1 (en) Semiconductor Device Manufacturing Line
TW201701393A (zh) 載體搬送裝置及載體搬送方法
CN113169107A (zh) 装载锁定腔室
TWI529843B (zh) 基板處理裝置
JP5491579B6 (ja) マルチ−チャンバーシステムで半導体素子を製造する方法
JP5872880B2 (ja) 基板処理装置、基板移載装置及び半導体装置の製造方法
CN114514601A (zh) 传送***