TWI569088B - 用帶電粒子射束微影術形成圖案之方法及系統 - Google Patents

用帶電粒子射束微影術形成圖案之方法及系統 Download PDF

Info

Publication number
TWI569088B
TWI569088B TW101122222A TW101122222A TWI569088B TW I569088 B TWI569088 B TW I569088B TW 101122222 A TW101122222 A TW 101122222A TW 101122222 A TW101122222 A TW 101122222A TW I569088 B TWI569088 B TW I569088B
Authority
TW
Taiwan
Prior art keywords
pattern
exit
exits
charged particle
sensitivity
Prior art date
Application number
TW101122222A
Other languages
English (en)
Other versions
TW201305718A (zh
Inventor
藤村明
因哥 波克
Original Assignee
D2S公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S公司 filed Critical D2S公司
Publication of TW201305718A publication Critical patent/TW201305718A/zh
Application granted granted Critical
Publication of TWI569088B publication Critical patent/TWI569088B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • H01J2237/31771Proximity effect correction using multiple exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Description

用帶電粒子射束微影術形成圖案之方法及系統 【相關申請案】
本申請案主張2011年6月25申請之標題為"Method and System for Forming Patterns with Charged Particle Beam Lithography"的美國專利申請案第13/168,953號之優先權,其出於所有目的以引用之方式併入本文中。本申請案亦係關於2011年6月25日申請之標題為"Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography"的美國專利申請案第13/168,954號,其出於所有目的以引用之方式併入本文中。
本發明係關於微影術,且更特定言之係關於使用帶電粒子射束微影術設計及製造一表面,該表面可為網線、晶圓或任何其它表面。
在半導體裝置(諸如積體電路)之生產或製造中,可使用光學微影術以製造半導體裝置。光學微影術係一印刷程序,其中使用從光罩(reticle)製造之微影遮罩或光學遮罩以將圖案傳輸至一基板,諸如半導體或矽晶圓,以建立積體電路(I.C.)。其它基板可包含平板顯示器、全息遮罩(holographic mask) 或甚至其它光罩。雖然習知光學微影術使用具有193nm波長之光源,但是遠紫外線(EUV)或X射線微影術亦視作光學微影術之類型。光罩或多個光罩可含有對應於積體電路之單獨層之電路圖案,且此圖案可成像於已用已知為光阻劑或阻蝕劑之一層輻射敏感材料塗佈之基板上的某一區塊上。一旦傳輸該圖案化層,該層可能經歷各種其它程序,諸如蝕刻、離子注入(摻雜)、金屬化、氧化及拋光。利用此等程序以在基板中完成單獨層。若需要若干層,則將對每個新層重複整個程序或其變動。最後,該基板上將存在多個裝置或積體電路之組合。可接著藉由切割或鋸切而將此等積體電路相互分離,且接著可安裝成單獨封裝。在更一般情況中,該基板上之圖案可用於定義人造物,諸如顯示像素、全息圖或磁性錄音頭。
在半導體裝置(諸如積體電路)之生產或製造中,可使用非光學方法以將微影遮罩上之圖案傳輸至一基板,諸如矽晶圓。奈米壓印微影術(NIL)係非光學微影程序之一實例。在奈米壓印微影術中,透過微影遮罩與表面之接觸而將微影遮罩圖案傳輸至表面。
在半導體裝置(諸如積體電路)之生產或製造中,亦可使用無遮罩直接寫入以製造半導體裝置。無遮罩直接寫入係一印刷程序,其中帶電粒子射束微影 術用於將圖案傳輸至一基板,諸如半導體或矽晶圓,以建立積體電路。其它基板可包含平板顯示器、用於奈米壓印之壓印遮罩或甚至光罩。一層之期望圖案係直接寫入於表面上,該表面在此情況中亦為基板。一旦傳輸該圖案化層,該層可能經歷各種其它程序,諸如蝕刻、離子注入(摻雜)、金屬化、氧化及拋光。利用此等程序以在基板中完成單獨層。若需要若干層,則整個程序或其變動將對每個新層重複。一些該等層可使用光學微影術或非光學微影術寫入,而其它層可使用無遮罩直接寫入而寫入,以製造相同基板。另外,一給定層之一些圖案可使用光學微影術或非光學微影術寫入,且其它圖案使用無遮罩直接寫入而寫入。最後,該基板上將存在多個裝置或積體電路之組合。接著藉由切割或鋸切而將此等積體電路相互分離,且接著可安裝成單獨封裝。在更一般情況中,該基板上之圖案可用於定義人造物,諸如顯示像素、全息圖或磁性錄音頭。
兩種通用類型之帶電粒子射束微影術係可變形射束(VSB)及特徵投影(CP)。其等均為成形射束帶電粒子射束微影術之子類別,其中一精確電子射束經成形及轉向以便暴露塗佈阻蝕劑之表面,諸如晶圓之表面或光罩之表面。在VSB中,此等形狀係簡單形狀,通常限於某些最小及最大大小,且具有平 行於一笛卡爾座標平面之軸(即,「曼哈頓」方位)之邊的矩形,及某些最小及最大大小之45度直角三角形(即,其等三個內角為45度、45度及90度之三角形)。在預定位置,電子劑量以此等簡單形狀出射(shot)至阻蝕劑中。此類型系統之總寫入時間隨出射次數而增加。在特徵投影(CP)中,系統中具有一模版,該模版內具有各種孔隙或特徵,其等可為複雜形狀,諸如直線、任意角直鏈、圓形、近圓形、環形、近環形、橢圓形、近橢圓形、部分圓形、部分近圓形、部分環形、部分近環形、部分近橢圓形或任意曲線形狀,且其等可為複雜形狀之一連接組,或複雜形狀之一連接組之不連貫組的群組。電子射束可透過模版上之一特徵出射,以有效地在光罩上產生更複雜之圖案。理論上,此一系統可比VSB系統更快,因為其可用每個耗時出射而出射更複雜之形狀。因此,用VSB系統之E形圖案出射需四次出射,但相同E形圖案可用特徵投影系統以一次出射而出射。注意,VSB系統可視作特徵投影之特殊(簡單)情況,其中特徵僅為簡單特徵,通常為矩形或45-45-90度之三角形。亦可部分地暴露一特徵。此可例如藉由阻塞粒子射束之部分而完成。例如,上文描述之E形圖案可部分暴露為一F形圖案或一I形圖案,其中該射束之不同部分被孔 隙切斷。此與使用VSB可如何出射各種大小之矩形係相同機制。在本揭示中,部分投影用於意味特徵投影及VSB投影兩者。
如所指出,在微影術中,微影遮罩或光罩包括對應於將要整合於一基板上之電路組件之幾何圖案。用於製造光罩之圖案可利用電腦輔助設計(CAD)軟體或程式而產生。在設計圖案時,CAD程式可遵循一組預定設計規則以建立光罩。此等規則由處理、設計及最終用途限制而設置。最終用途限制之一實例係以一方式定義電晶體之幾何形狀,其中以所需供應電壓,電晶體無法充分運作。特定言之,設計規則可定義電路裝置或互連線之間之空間容許度。設計規則例如用於確保電路裝置或線不會以不希望之方式相互作用。例如,使用設計規則使得線不會以可能引起短路之方式而彼此過於接近。設計規則限制尤其反映可以可靠地製造之最小尺寸。當提及此等小尺寸時,通常引入臨界尺寸之概念。其等例如定義為線之最小寬度,或兩條線之間之最小空間,此等尺寸需要精細控制。
藉由光學微影術之積體電路製造中之一個目的係藉由使用光罩而在基板上重新產生原始電路設計。積體電路製造商一直嘗試儘可能有效地使用半導體晶圓不動產。工程師不斷縮小電路之大小以容許積 體電路含有更多電路元件且使用更少電力。隨著積體電路臨界尺寸之大小減小及其電路密度增加,電路圖案或實體設計之臨界尺寸到達習知光學微影術中使用之光學曝光工具之解析度限制。隨著電路圖案之臨界尺寸變得更小,且到達曝光工具之解析度值,實體設計精確轉錄成阻蝕劑層上顯影之實際電路圖案變得困難。為進一步使用光學微影術,以傳輸具有小於該光學微影程序中使用之光波長之特性的圖案,已發展已知為光學近接校正(OPC)之程序。OPC改變實體設計以補償由諸如光學繞射及特性與近接特性之光學相互作用之效應引起之扭曲。OPC包含用光罩執行之所有解析度增強技術。
OPC可將子解析度微影特性添加至遮罩圖案,以減小原始實體設計圖案(即,設計)與該基板上最終傳輸之電路圖案之間之差異。子解析度微影特性與實體設計中之原始圖案相互作用及相互作用,且補償近接效應,以改良最終傳輸之電路圖案。用於改良圖案傳輸之一特性係子解析度輔助特性(SRAF)。經添加以改良圖案傳輸之另一特性稱為「襯線」。襯線係可定位於圖案之內部或外部角上之小特性,以銳化最終傳輸之影像中之角。通常情況是,SRAF之表面製程精度需求少於意欲印刷於基板上的圖案(通常稱為主特性)的精度需求。襯線係 主特性之一部分。隨著光學微影術之限制深度延伸至子波長機制中,OPC特性必須製造地越來越複雜以補償甚至更微妙的相互作用及效應。隨著推動成像系統更接近其等之限制,產生具有足夠精細OPC特性之光罩的能力變得關鍵。儘管將襯線或其它OPC特性添加至遮罩圖案係有利的,然而其實質上亦增加算在該遮罩圖案內之總特性。例如,使用習知技術將襯線添加至正方形角之各者會對一遮罩或光罩圖案再添加八個矩形。添加OPC特性係非常費力之任務,需要成本較高的計算時間,且導致昂貴的光罩。不僅OPC圖案複雜,而且因為對比於最小線及空間尺寸,光學近接效應係長程的,所以一給定位置中正確的OPC圖案主要取決於附近其它幾何形狀為何。因此,例如,一線端將取決於光罩上接近其之物為何而具有不同大小之襯線。此係恰當的,儘管目標可能在晶圓上產生成恰好相同的形狀。此等輕微但關鍵之變動係重要的,且已防止其它者形成光罩圖案。習知討論按照主特性而將OPC修飾之圖案寫入光罩上,即,反映OPC修飾之前之設計的特性及OPC特性,其中OPC特性可能包含襯線、微動及SRAF。為量化輕微變動之意義,OPC修飾中從附近至附近之一典型輕微變動可為主特性大小之5%至80%。注意,為明確起見,OPC設計中 之變動係所引用之變動。實際表面圖案中亦將存在諸如圓角的製造變動。當此等OPC變動在晶圓上產生實質上相同圖案時,意味著該晶圓上之幾何形狀之目標為在一特定誤差內相同,其取決於該幾何形狀經設計以執行之功能的細節,例如電晶體或電線。儘管如此,典型規範係在主特性範圍之2%至50%內。存在許多亦引起變動之製造因素,但OPC組件之總誤差通常在所列出之範圍內。OPC形狀,諸如子解析度輔助特性受各種設計規則支配,諸如基於可使用光學微影術傳輸至晶圓之最小特性之大小的規則。其它設計規則可來自遮罩製程,或者若使用特徵投影帶電粒子射束寫入系統以在光罩上形成圖案時,其它設計規則來自模版製程。亦應注意,遮罩上之SRAF特性之精確度需求可低於該遮罩上之主特性之精確度需求。隨著程序節點持續縮小,光學遮罩上最小SRAF之大小亦縮小。例如,在20nm邏輯程序節點上,對於最高精度層,在遮罩上需要40nm至60nm之SRAF。
EUV光學微影術比習知光學微影術具有更高解析度。EUV之極高解析度顯著減小對OPC處理之需求,導致比起193nm之光學微影術,EUV之遮罩複雜性更低。然而,由於EUV極高解析度,光學遮罩中之缺陷(諸如過大的線邊緣粗糙度(LER))將傳 輸至晶圓。因此,EUV遮罩之精確度需求比習知光學微影術之精確度需求更高。再者,即使EUV遮罩形狀不會由於添加習知193nm微影術所需之複雜SRAF或襯線而變複雜,EUV遮罩形狀仍由於添加EUV製造專有之一些複雜度而變複雜。EUV微影術之遮罩上之寫入圖案中特定相關的是帶電粒子(諸如電子)之中程散射,其可影響約2um之半徑。此中程散射對遮罩資料製備引入新考量,因為來自附近圖案之影響首次顯著影響特定圖案將澆注至遮罩表面上的形狀。以前,當暴露遮罩與習知193nm微影術搭配使用時,短程散射僅影響被寫入之圖案,且長程散射具有足夠大的有效範圍,僅影響圖案之大小,且不影響其具體形狀,使得可藉由僅使用劑量調變而進行校正。再者,因為晶圓之EUV處理更昂貴,所以希望減少或消除多重圖案化。多重圖案化使用於習知光學微影術中,以容許藉由暴露使用多重遮罩之一層晶圓處理之圖案而暴露微小特性,該等遮罩之各者含有該層圖案之一部分。減少或消除多重曝光需要單個遮罩含有更多精細圖案。例如,一系列共線線段可藉由首先畫一長線,接著藉由習知微影術中之第二遮罩將該線切成線段而雙重圖案化。諸如對於EUV微影術,用單個遮罩寫入之相同層將需要含有許多更小線段之遮罩。將更大量 更精細圖案寫入單個遮罩上之需求(每一圖案需更精確)增加EUV遮罩上精度之需求。
存在許多用於在光罩上形成圖案之技術,包含使用光學微影術或帶電粒子射束微影術。最通用之系統係可變形射束(VSB),其中如上文所描述,具有簡單形狀(諸如曼哈頓矩形及45度直角三角形)之電子之劑量暴露塗佈阻蝕劑之光罩表面。在習知遮罩寫入中,電子劑量或電子出射經習知地設計以避免任何可能處之重疊,以便大幅簡化光罩上之阻蝕劑將如何記錄圖案之計算。類似地,出射組經設計以便完全覆蓋將要形成於光罩上之圖案區塊。由本專利申請案之受讓人擁有且出於所有目的以引用之方式併入之美國專利7,754,401揭示一種遮罩寫入之方法,其中使用針對寫入圖案之有意出射重疊。當使用重疊出射時,可使用帶電粒子射束模擬以判定該光罩上之阻蝕劑將記錄之圖案。重疊出射之使用可容許圖案以減少之出射數寫入。美國專利7,754,401亦揭示使用劑量調變,其中出射之分配劑量相對於其它出射之劑量而改變。術語“基於模型之斷裂”用於描述使用美國專利7,754,401之技術判定出射之程序。
最先進技術節點之光罩寫入通常涉及多次通過帶電粒子射束寫入,稱為多通曝光之程序,藉此在光 罩上寫入及覆寫給定形狀。通常,使用二至四次通過以寫入一光罩,以將帶電粒子射束寫入器中之精度誤差平均化,容許建立更精確光學遮罩。另外通常而言,出射之列表(包含劑量)對於每次通過係相同的。在多通曝光之一變動中,出射之列表可在曝光通過之間變化,但是任何曝光通過中之出射之結合覆蓋相同區塊。多通寫入可減少塗佈表面之阻蝕劑之過熱。多通寫入亦將帶電粒子射束寫入器之隨機誤差平均化。對於不同曝光通過使用不同出射列表之多通寫入亦可減小寫入程序中某些系統性誤差之影響。
當前光學微影術寫入機器通常在光學微影程序期間以四倍減少光學遮罩圖案。因此,光罩或遮罩上形成之圖案必須比基板或晶圓上之希望圖案的大小大四倍。
製造變動可能引起使用一給定帶電粒子射束微影術出射組而在一表面(諸如晶圓或光罩)上製造之一圖案之大小及形狀上的變動。製造變動可例如引起所製造圖案之臨界尺寸(CD)上之變動。此製造變動之來源包含粒子射束強度上之變動、曝光時間上之非預期變動及阻蝕劑敏感性上之變動。術語劑量容限描述由一組帶電粒子射束出射定義之圖案對劑量相關之製造變動的容許度。更高劑量容限指示 更高容許度。
劑量容限係製造容許度之典型量測。但存在其它來源之製造變動。在使用重疊或劑量調變出射之領域中,對射束模糊(beam blur)或βf(其包含向前散射之物理效應、庫倫效應及阻蝕劑擴散)之敏感性亦可能成為問題。
揭示一種用於針對帶電粒子射束微影術之斷裂或遮罩資料製備或遮罩程序校正之方法,其中判定複數次出射,其等將在一表面上形成一圖案,其中判定出射以便減小所得圖案對βf上之變化的敏感性。該複數次出射中至少一些出射與其它出射重疊。在一些實施例中,藉由在初始出射判定期間或在一後處理步驟中控制該複數次出射中之出射重疊量而減小βf。對βf減小之敏感性擴大帶電粒子射束微影程序之程序窗口。
亦揭示用於在一表面上形成圖案及用於製造一積體電路之方法,其中對βf上之變化的圖案敏感性減小。
本發明之改良及優點可藉由控制形成一圖案之出射之參數而完成,以便減小由βf變化引起之圖案變動量值,藉此容許在光罩及其它表面(諸如晶圓) 上形成更高品質圖案。
現參考圖式,其中相同數字指相同物體,第1圖識別一微影系統之一實施例,諸如一帶電粒子射束寫入器系統,在此情況中係一電子射束寫入器系統10,其根據本發明利用一可變形射束(VSB)以製造一表面12。該電子射束寫入器系統10具有一電子射束源14,其將一電子射束16朝一孔隙板18投影。該板18具有在其內形成之一孔隙20,其容許該電子射束16通過。一旦電子射束16通過該孔隙20,其作為電子射束22由透鏡之一系統(未作圖式)朝另一矩形孔隙板或模版遮罩24引導或偏轉。該模版遮罩24具有形成於其內之許多孔隙26,其等定義諸如矩形及三角形之各種簡單形狀。形成於該模版遮罩24中之每一孔隙26可用於在表面12上形成一圖案。一電子射束30從孔隙26之一者顯現,且作為一圖案28引導至該表面12上。該表面12用阻蝕劑(未作圖式)塗佈,其與該電子射束30反應。該電子射束22可經引導以與一孔隙26之可變部分重疊,影響圖案28之大小及形狀。該表面12安裝於一可移動平臺32上。該平臺32容許表面12經重新定位,使得大於該帶電粒子射束30之最大偏轉能力或場大小之圖案可被寫入表面12。在一實施例中,該表面12可為一光罩。在此實施例中,該光罩 在用該圖案暴露之後經歷各種製造步驟,其透過該等製造步驟而變為一微影遮罩或光學遮罩。該遮罩可接著使用於一光學微影術機器中,以將該光罩圖案28之一影像投影(一般在大小上減小)於一矽晶圓上,以產生一積體電路。更一般而言,該遮罩使用於另一裝置或機器中,以將該圖案28傳輸至一基板上。在另一實施例中,該表面12可為一基板(諸如一矽晶圓)之表面。
可以合理精確度投影於一表面12上之最小大小之圖案,係由與電子射束寫入器系統10及該表面12相關之各種短程物理效應所限制。此等效應包含向前散射、庫倫效應及阻蝕劑擴散。射束模糊(亦稱為βf)係用於包含所有此等短程效應之術語。最現代化的電子射束寫入器系統可達成20nm至30nm之範圍內的有效射束模糊半徑或βf。向前散射可由總射束模糊之四分之一至一半組成。現代電子射束寫入器系統含有許多機制以將射束模糊之組成塊之各者降到最小。因為射束模糊之一些分量係一粒子射束寫入器之校準位準之函數,所以相同設計之兩個粒子射束寫入器之βf可不同。阻蝕劑之擴散特徵亦可改變。可基於出射大小或出射劑量模擬βf變動,且系統地計入。但存在有無法計入或不計入之其它效應,且其等作為隨機變動而出現。
一帶電粒子射束寫入器(諸如一電子射束寫入器系統)之出射劑量係射束源14之強度及每次出射之曝光時間之一函數。通常,該射束強度保持固定,且改變曝光時間以獲得可變出射劑量。可改變該曝光時間以補償各種長程效應,諸如背後散射及在稱為近接效應校正(PEC)之程序中之霧化。電子射束寫入器系統通常容許設置整體劑量,稱為基礎劑量,其影響曝光通過中之所有出射。一些電子射束寫入器系統在該電子射束寫入器系統自身內執行劑量補償計算,且不容許每次出射之劑量作為輸入出射列表之部分而單獨分配,因此該等輸入出射具有未分配的出射劑量。在此等電子射束寫入器系統中,所有出射在PEC之前具有基礎劑量。其它電子射束寫入器系統不容許以逐次出射為基礎之劑量分配。在容許逐次出射之劑量分配之電子射束寫入器系統中,可用劑量位準數目為64至4096個或更多,或可有相對較少可用劑量位準,諸如3至8個位準。本發明之一些實施例之目標係與帶電粒子射束寫入系統使用,其容許分配相對較少劑量位準之一者。
習知地,設計出射以便完全用矩形出射覆蓋一輸入圖案,同時避免任何可能處的出射重疊。另外,所有出射經設計以具有一正常劑量,其係以相對較大矩形出射之劑量,在缺乏長程效應時將在表面上 產生與出射大小具有相同大小的一圖案。
具有100nm或更大邊緣長度之習知斷裂的曼哈頓形狀相對不受βf變動影響。此係因為以VSB出射之邊緣畫出的希望之遮罩形狀之曼哈頓邊緣對βf上之變動不敏感。然而對於任何設計之曼哈頓形狀,所設計之形狀中之90度角將不在光學遮罩上形成為90度角。取而代之,該等角將被修圓成主要由βf值判定之度數。即使在習知斷裂的形狀中,圓角區域亦對βf敏感。第2A圖繪示可希望形成於一光罩上之一矩形形狀202之一實例。第2B圖繪示使用習知VSB出射而可從形狀202在一光罩上形成一形狀222之一實例。除角區域224之外,形狀222之尺寸與形狀202相同。對比於形狀202之尖角,形狀222之角區域224由於βf而變圓。角224之半徑226取決於βf值:較大βf將產生更大半徑之圓,且較小βf將產生較小半徑之圓。
隨著半導體技術持續進步,需要建立具有亞於100nm之特性之光學遮罩,隨之而來的更高精度需求意味著即使對於曼哈頓形狀之習知斷裂亦變得對劑量容限及其它製造變動越來越敏感。此外,當該等遮罩上希望之形狀包含小(亞於80nm)曼哈頓微動、對角線特性或曲線特性時,習知斷裂的形狀對劑量容限、βf及其它製造變動具有增加的敏感性。第3A 圖繪示可希望形成於一光罩上之一圖案302之一實例。圖案302可使用四個VSB出射而習知地暴露,包含出射304、出射306、出射308及出射310。在圖案302中,出射之間之邊界使用虛線繪示。第3B圖繪示可使用習知VSB出射組304、306、308及310從形狀302形成於一光罩上之一圖案322。如可看見,由於βf,圖案322上之角以與形狀222中繪示之類似的角半徑而變圓。然而圖案322比形狀222對βf變動相對更敏感。換言之,光罩上之圖案322之形狀比圖案222之形狀更嚴重地受βf變動影響。對比於形狀222,導致圖案322對βf變動具相對更大敏感性之一個因素係對比於圖案222中使用之出射,圖案322中使用之出射的相對更小的大小。
如上文所指出,當使用用不重疊VSB出射之習知斷裂時,100nm或更大邊緣長度之曼哈頓形狀之邊緣相對不受βf變動影響。相比之下,使用具有劑量調變之重疊出射所添加之靈活性增加改良對製造變動之圖案容許度的機會,及增加在可用解決方案之間選擇對於製造變動最佳之解決方案之需要兩者。
第4A圖繪示希望在塗佈阻蝕劑之一表面上形成之一圓形圖案402之一實例,該圖案具有350nm之直徑。圓形圖案可例如用於在一半導體製程中形成層之間之接觸件或通孔。第4B圖繪示一習知不重疊 VSB出射組410,其等可用於形成圖案402。該出射組410由五個出射組成:出射414、出射416、出射418、出射420及出射422。第4C圖繪示覆蓋第4A圖之圓形圖案402之第4B圖之出射組410。習知地,在應用長程校正之前,出射組410中之出射均將具有相同劑量。
第5A圖繪示亦可用於形成圓形圖案402之一重疊出射組500。出射組500由七個出射組成:出射502、出射504、出射506、出射508、出射510、出射512及出射514。為明確起見,出射重疊之區塊用交叉影線陰影化。出射組500中之出射劑量可在長程校正之前在出射間改變。第5B圖繪示覆蓋希望之圓形圖案402之出射組500。對比於習知不重疊出射,與重疊出射(諸如出射組500)使用基於模型之斷裂技術可容許以更少出射形成給定精確度之圖案,尤其曲線圖案。類似地,當圖案精確度係主要目的時,對比於習知不重疊出射,基於模型之斷裂可用於對給定數目之出射形成更高品質之圖案,尤其曲線圖案,諸如圓形或近圓形圖案。
將從一組帶電粒子射束出射在一表面上產生之圖案可透過模擬技術判定。可針對複數個βf值模擬一組出射,以判定對βf上之變化的圖案敏感性。第6圖繪示對於出射組410及對於出射組500,針對各 種βf值在表面上產生之圖案面積之一圖表600的一實例。曲線612繪示出射組410之計算圖案面積。曲線614繪示出射組500之計算圖案面積。如可看見,28nm與32nm之間之βf變動對曲線612及曲線614兩者產生圖案面積中之變動。曲線612之負斜率指示該出射組410隨著βf增加而產生減小的圖案面積。相比之下,曲線614之正斜率指示該出射組500隨著βf增加而產生增加的圖案面積。曲線612之斜率符號(其來自不具有重疊之一出射組)與曲線614之斜率符號(其來自具有重疊之一出射組)之間之差異(其產生圖案之不同部分中的變化劑量)表明可能可找到一劑量分配,其圖案面積對於形成該圖案之出射之均勻βf變動不敏感。本發明之一實施例包括調整出射重疊量,以便減小圖案面積曲線相對於βf的斜率絕對值。對於一些圖案組態,可產生一出射組,其產生近零斜率之曲線,即在βf值之某範圍上,βf上的變化不會產生圖案面積上之變化。在本發明之其它實施例中,可將除圖案面積之外的圖案參數調整為對βf變動不敏感。在一實施例中,可調整出射重疊以產生一劑量圖案,該劑量圖案產生一表面圖案,其中在某預定範圍上臨界尺寸對βf上之變化不敏感。
跨出射之βf變動之最顯著來源係庫倫效應,隨著 射束交叉而相互排斥的負電荷電子之效應。庫倫效應係開放式面積相關因數,意味著庫倫效應取決於針對一給定出射而照亮該表面之帶電粒子射束的面積。更大面積增加庫倫效應,因為射束中更多電子建立更大排斥力。當下面的E射束模擬模仿βf之出射大小相關性時,上文描述之最佳化方法更有效。
第7A圖繪示可根據本發明之一實施例在一表面上形成圖案402之一例示性出射組700。出射組700由五個出射組成:出射702、出射704、出射706、出射708及出射710。在第7A圖中,出射組700中之重疊區塊用交叉影線陰影化。重疊區塊由區塊714、區塊716、區塊718及區塊720組成。出射組700中之重疊量小於出射組500中之重疊量。第7B圖繪示覆蓋圓形圖案402之出射組700。第8圖繪示圖案面積相對於βf之一圖表800之一實例,類似於圖表600。在圖表800中,曲線812對應於圖表600之曲線612,其係出射組410之圖案面積之變動。曲線814對應於圖表600之曲線614,其係出射組500之圖案面積之變動。曲線816繪示針對出射組700所計算之圖案面積相對於βf變動之一實例。如可看見,曲線816之斜率絕對值小於曲線812或曲線814之斜率之任一者。此指示相對於出射組410或出射組500,βf變動對來自出射組700之產生 於一表面上之圖案面積將具有較小影響。對βf之此不敏感性係希望的,指示面對可影響βf之製程變動,可在該表面上形成更精確圖案。在一實施例中,該表面(圓形圖案傳輸至該表面)係一光罩,其將在光微影程序中使用,以將圖案傳輸至一基板,諸如一矽晶圓。該光罩上之一更精確圖案可在晶圓上產生一更精確圖案,例如導致更高晶圓製造產量。
在本發明之一些實施例中,出射重疊之調整(例如最小化βf敏感性)可為兩個步驟之程序之部分。在第一步驟中,判定一最初出射組,其可在表面上形成希望圖案。此最初出射組中之出射可重疊。此第一步驟可包括使用帶電粒子射束模擬,以判定將形成於該表面上之圖案。在第二步驟中,調整出射重疊以減小對βf變動之敏感性。調整出射重疊以減小βf敏感性之程序不會明顯改變該表面上之圖案形狀、劑量容限或影響遮罩品質之其它標準係重要的。帶電粒子射束模擬亦可使用於第二步驟中,以判定將針對各種βf值而產生於表面上之圖案。在第7A圖之實例中,調整出射重疊之該第二步驟可包括一個或多個子步驟。若該最初出射組之帶電粒子射束模擬判定需要額外出射重疊以減小βf敏感性,則可用於完成此之兩個例示性子步驟如下:
●移動出射704、706、708及710之內部邊緣以增 加與出射702之重疊。出射704之邊緣714在正“x”方向上移動,出射706之邊緣716在負“y”方向上移動,出射708之邊緣718在負“x”方向上移動,且出射710之邊緣720在正“y”方向上移動。
●以與先前子步驟中移動此等出射之內部邊緣相同之方向移動出射704、706、708及710之外部邊緣。由於藉由在先前子步驟中移動內部邊緣而提供之額外劑量,完成該等外部邊緣之移動以防止該表面上之圖案形狀擴大。該等外部邊緣將一般需要比移動內部邊緣移動更少。
該等兩個子步驟可在實踐中組合。此實例繪示一種用於調整出射重疊同時維持將形成於表面上之圖案完整性之技術。在本發明之其它實施例中,判定出射以產生一希望表面圖案,且調整出射重疊以減小βf敏感性可組合成單個步驟或程序。
第7C圖繪示可在一表面上形成圓形圖案402之另一例示性重疊出射組740。出射組740由七個出射組成:出射742、出射744、出射746、出射748、出射750、出射752及出射754。儘管出射組740中之每一出射與至少一個其它出射重疊,然而在其它實施例中,一出射組中之一些出射可能不與其它出射重疊。在第7C圖中,出射重疊之區塊繪示為交叉 影線。出射組740之七個出射可在該表面上產生一圖案,其比由五個出射之出射組700產生之圖案更接近地近似於圓形圖案402。在第8圖之圖表800中,曲線818繪示以變化之βf值由出射組740產生之圖案面積之一實例。如可看見,曲線818之斜率絕對值(就如曲線816之斜率絕對值)小於任一曲線812或曲線814。
圖表800之曲線816及曲線818之近零斜率可藉由調整產生一圖案(諸如圖案402)之一組出射中之重疊量而達成。重疊面積增加可增加曲線斜率,且重疊量減小可減小曲線斜率。另外,出射重疊中接近圖案周界之變化可比出射重疊中遠離圖案周界之類似變化更多地影響圖案之βf敏感性。在本發明之一些實施例中,可使用基於規則之技術以判定適當出射組態,以減小βf敏感性。
當使用複數個重疊複雜特徵投影出射以形成一圖案時,及當使用複雜特徵投影出射及VSB出射之一組合以形成一圖案時,出射重疊亦可用於減小βf相關性。
本發明中描述或提及之計算可以各種方式完成。一般而言,計算可藉由程序中、程序前及程序後方法完成。程序中之計算涉及當需要其結果時執行計算。程序前之計算涉及預計算,且接著儲存結果, 以在隨後處理步驟期間後續擷取,且可改良處理效能,尤其針對可重複許多次之計算。計算亦可從一處理步驟延期,且接著在後續後處理步驟中完成。程序前之計算之一實例係針對各種出射重疊變化量預計算對βf上之變化之各種類型圖案之敏感性上之變化。程序前之計算之另一實例係出射群組,其係針對與一給定輸入圖案或輸入圖案特徵組相關之一個或多個出射而預計算劑量圖案資訊。該出射群組及相關輸入圖案可保存於預計算之出射群組之庫中,使得可在沒有圖案重新計算之下,對於輸入圖案之額外實例快速產生包括出射群組之出射組。在一些實施例中,該預計算可包括該出射群組將在塗佈阻蝕劑之一表面上產生之劑量圖案之模擬。在其它實施例中,該出射群組可在沒有模擬之下諸如藉由使用構造校正技術來判定。在一些實施例中,該等預計算之出射群組可以出射列表之形式儲存於出射群組庫中。在其它實施例中,該等預計算之出射群組可以可針對一特定類型或多個類型之輸入圖案產生出射之電腦編碼之形式儲存。在另外其它實施例中,複數個預計算之出射群組可以表格形式儲存,其中表格中之入口對應於各種輸入圖案或輸入圖案特徵,諸如圖案寬度,且其中每一表格入口提供該出射群組中之一出射列表,或如何產生適當出 射組之資訊。再者,不同出射群組可以不同形式儲存於出射群組庫中。在一些實施例中,一給定出射群組可產生之劑量圖案亦可儲存於該出射群組庫中。在一實施例中,該劑量圖案可儲存為稱為字形之二維(X及Y)儲存圖。
第9圖係如何製備一光罩以在一矽晶圓上製造一表面(諸如一積體電路)時使用之一概念性流程圖950。在一第一步驟952中,設計實體設計,諸如積體電路之實體設計。此可包含判定邏輯閘、電晶體、金屬層及需要在實體設計中(諸如在一積體電路中)找到之其它物體。實體設計可為直線、部分曲線或全曲線。接著,在步驟954中,判定光學近接校正。在本發明之一實施例中,此可包含從一出射群組庫974獲取一預計算出射群組庫作為輸入。此亦可或者或另外包含獲取一預先設計之特徵庫980作為輸入,該等特徵包含在步驟962中在模版984上可用之複雜特徵。在本發明之一實施例中,一OPC步驟954亦可包含出射數或寫入次數之同時最佳化,且亦可包含一斷裂運作,一出射佈置運作、一劑量分配運作,或亦可包含一出射次序最佳化運作,或其它遮罩資料製備運作,一些或所有此等運作在單個步驟中同步或組合。該OPC步驟可建立部分或全曲線圖案。該OPC步驟954之輸出係遮罩設計956。
遮罩程序校正(MPC)957可視需要在遮罩設計956上執行。MPC修改將要寫入光罩上之圖案,以便補償非線性效應,諸如與習知光學微影遮罩中小於約100nm之圖案相關之效應。MPC亦可用於補償影響EUV遮罩之非線性效應。若執行MPC 957,則其輸出變為遮罩資料製備(MDP)步驟958之輸入。
在一步驟958中,可發生遮罩資料製備(MDP)運作,其可包含一斷裂運作、一出射佈置運作、一劑量分配運作或一出射次序最佳化。MDP可將遮罩設計956或MPC 957之結果作為輸入使用。在本發明之一些實施例中,MPC可執行為一斷裂或其它MDP運作之部分。其它校正亦可執行為斷裂或其它MDP運作之部分,可能之校正包含:向前散射、阻蝕劑擴散、庫倫效應、蝕刻、向後散射、霧化、載荷、阻蝕劑充電及EUV中程散射。MDP步驟958之結果為一出射列表960。OPC步驟954或MDP步驟958,或一單獨程式972可包含預計算可用於一給定輸入圖案之一個或多個出射群組,且將此資訊儲存於一出射群組庫974中。本發明中預期在一步驟中組合OPC及遮罩資料製備之任何或所有各種運作。遮罩資料製備步驟958(其可包含一斷裂運作)亦可包括匹配預計算之出射群組之一圖案匹配運 作,以建立近似匹配於遮罩設計之遮罩。遮罩資料製備步驟958亦可包括調整出射重疊,使得光學遮罩964上之所得圖案或圖案部分對βf上之變動不敏感。遮罩資料製備步驟958亦可包括增加該光學遮罩964上之圖案之劑量容限。遮罩資料製備亦可包括對將要形成於一表面上之圖案輸入輕微不同之圖案,選擇一組特徵以用於形成該數目之圖案,該組特徵在一模版遮罩上擬合,該組特徵可包含複雜及VSB特徵兩者,且該組特徵基於改變特徵劑量或改變特徵位置或改變射束模糊半徑,或在該組特徵內應用一特徵之部分曝光或拖動一特徵以減小出射數或總寫入時間。表面上之一組輕微不同的圖案可經設計以在一基板上產生大體上相同之圖案。另外,該組特徵可選自一預定特徵組。在本發明之一實施例中,在步驟980中可製備可用於一模版上之一組特徵(其可在遮罩寫入步驟962期間快速選擇)用於一特定遮罩設計。在該實施例中,一旦完成遮罩資料製備步驟958,便在步驟984中製備模版。在本發明之另一實施例中,在MDP步驟958之前或與MDP步驟958同時且可獨立於特定遮罩設計而在步驟984中製備模版。在此實施例中,在步驟982中設計步驟980中可用之特徵及模版佈局以一般地輸出用於許多可能遮罩設計956以整合圖案,該等圖 案可能由一特定OPC程式954或一特定MDP程式958或特徵化實體設計952之特定類型設計(諸如記憶體、快閃記憶體、晶片上系統設計)或實體設計952中設計之特定程序技術,或使用於實體設計952中之一特定單元庫,或可在遮罩設計956中形成不同組輕微不同之圖案之任何其它共同特徵輸出。該模版可包含一組特徵,諸如在步驟958中判定之有限數目之特徵。
該出射列表960用於在一遮罩寫入步驟962中產生一表面,該步驟使用一帶電粒子射束寫入器,諸如一電子射束寫入器系統。遮罩寫入步驟962可使用含有VSB孔隙及複數個複雜特徵兩者之模版984,或可使用僅包括VSB孔隙之一模版。該電子射束寫入器系統將一電子射束透過該模版投影至一表面上,以在一表面(諸如一光罩)上形成圖案,其接著經處理以變成光學遮罩964。完成之光學遮罩964可接著使用於一光學微影術機器中,其展示於步驟966中。最後,在一步驟968中,產生一基板,諸如一矽晶圓。如先前已描述,在步驟980中,特徵可提供至OPC步驟954或MDP步驟958。該步驟980亦將特徵提供至一特徵及模版設計步驟982或一出射群組預計算步驟972。特徵及模版設計步驟982對模版步驟984及特徵步驟980提供輸入。 出射群組產生步驟972對出射群組庫974提供資訊。另外,該出射群組預計算步驟972可將實體設計952或遮罩設計956作為輸入使用,且可預計算儲存於一出射群組庫974中之一個或多個出射群組。
現參考第10圖,其展示如何製備直接寫入一基板(諸如一矽晶圓)上之一表面之另一概念性流程圖1050。在一第一步驟1052中判定一實體設計,諸如一積體電路之實體設計。此可為設計者希望傳輸至一基板上之理想圖案。該實體設計可為直線、部分曲線或全曲線。接著在步驟1058中,執行近接效應校正(PEC)及其它資料製備(DP)步驟,諸如斷裂,以製備輸入資料至一基板寫入裝置。該步驟1058可包括調整出射重疊,使得基板影像1068對βf上之變動不敏感。該步驟1058亦可包括從步驟1074輸入可能字形或參數化之字形,該等字形基於可能之重疊VSB出射,且該等字形在字形產生步驟1072中使用改變出射劑量或改變出射位置之計算而判定。該步驟1058亦可包括圖案匹配以匹配字形,以建立一晶圓影像,其近似匹配於步驟1052中建立之實體設計。亦可執行圖案匹配、劑量分配及等效性檢查之迭代(可能包含僅一個迭代,其中執行構造校正「判定性」計算)。步驟1058之結果係出射列 表1060,其接著用於在一晶圓寫入步驟1062中製備一晶圓。在一實施例中,晶圓寫入1062可使用一電子射束寫入器系統來完成。該電子射束寫入器系統將一電子射束透過一可調整孔隙投影至一表面上,以在基板1068(諸如一矽晶圓)上形成圖案。一出射群組預計算步驟1072將資訊提供至出射群組庫1074。另外,該出射群組預計算步驟1072可將實體設計1052作為輸入使用,且可預計算一個或多個出射群組,其等儲存於一出射群組庫1074中。步驟1062可包含處理每一層所需之重複應用,可能一些層使用與第9圖中之相關描述之方法處理,且其它層使用上文參考第10圖而概述之方法處理,或其它層使用任何其它晶圓寫入方法產生,以在矽晶圓上產生積體電路。
本發明中描述之斷裂、遮罩資料製備、近接效應校正及出射群組建立流程可使用一般用途電腦,以適當電腦軟體作為計算裝置而實施。由於需要大量計算,因而亦可並行使用多個電腦或處理器核心。在一實施例中,計算可針對流程中之一個或多個計算密集型步驟而再分成複數個2維幾何區域,以支持並行處理。在另一實施例中,可使用(單獨或多個使用)一特殊用途硬體裝置以比起使用一般用途電腦或處理器核心,以更快速度執行一個或多個步 驟之計算。在一實施例中,該特殊用途硬體裝置可為圖形處理單元(GPU)。在另一實施例中,本發明中描述之最佳化及模擬程序可包含修正及重新計算可能解決方案之迭代程序,以便最小化總出射次數,或總帶電粒子射束寫入時間,或一些其它參數。在又另一實施例中,可在構造校正方法中判定一最初出射組,使得不需要出射修改。
雖然已參考特定實施例詳細描述本說明書,但是應瞭解,熟習此項技術者在獲得前文之理解時可容易設想到此等實施例之變更、變動及等效者。在未脫離更特定地在隨附申請專利範圍中闡明之本標的之精神及範疇之下,可由熟習此項技術者對針對斷裂、遮罩資料製備、近接效應校正及光學近接校正之本方法而實踐此等修改及變動及其它修改及變動。此外,一般技術者將瞭解,前述描述僅係舉例而言,且並非意欲有所限制。在未脫離本發明之範疇之下,可將步驟添加至本說明書中之步驟,從本說明書中之步驟獲取,或從本說明書中之步驟修改。一般而言,所呈現之任何流程圖僅意欲指示基本運作之一個可能次序,以達成一功能,且許多變動係可行的。因此,當前標的意欲覆蓋此等修改及變動,宛如在隨附申請專利範圍及其等之等效者之範疇內般。
10‧‧‧電子射束寫入器系統
12‧‧‧表面/光罩
14‧‧‧電子射束源
16‧‧‧電子射束
18‧‧‧孔隙板
20‧‧‧孔隙
22‧‧‧電子射束
24‧‧‧模版遮罩
26‧‧‧孔隙
28‧‧‧圖案
30‧‧‧電子射束
32‧‧‧可移動平板
202‧‧‧矩形形狀
222‧‧‧圖案
224‧‧‧角區域
226‧‧‧半徑
302‧‧‧圖案
304‧‧‧可變形射束出射
306‧‧‧可變形射束出射
308‧‧‧可變形射束出射
310‧‧‧可變形射束出射
322‧‧‧圖案
402‧‧‧圓形圖案
410‧‧‧出射組
414‧‧‧出射
416‧‧‧出射
418‧‧‧出射
420‧‧‧出射
422‧‧‧出射
500‧‧‧重疊出射組
502‧‧‧出射
504‧‧‧出射
506‧‧‧出射
508‧‧‧出射
510‧‧‧出射
512‧‧‧出射
514‧‧‧出射
600‧‧‧圖表
612‧‧‧曲線
614‧‧‧曲線
700‧‧‧出射組
702‧‧‧出射
704‧‧‧出射
706‧‧‧出射
708‧‧‧出射
710‧‧‧出射
714‧‧‧區塊
716‧‧‧區塊
718‧‧‧區塊
720‧‧‧區塊
740‧‧‧出射組
742‧‧‧出射
744‧‧‧出射
746‧‧‧出射
748‧‧‧出射
750‧‧‧出射
752‧‧‧出射
754‧‧‧出射
800‧‧‧圖表
812‧‧‧曲線
814‧‧‧曲線
816‧‧‧曲線
818‧‧‧曲線
第1圖繪示一帶電粒子射束系統之一實例;第2A圖繪示希望形成於一表面上之一矩形圖案之一實例;第2B圖繪示當在一表面上形成第2A圖之圖案時圓角之一實例;第3A圖繪示希望形成於一表面上之一圖案之另一實例;第3B圖繪示當在一表面上形成第3A圖之圖案時圓角之一實例;第4A圖繪示希望形成於一表面上之一圓形圖案之一實例;第4B圖繪示可形成第4A圖之圓形圖案之一習知不重疊VSB出射組之一實例;第4C圖繪示覆蓋第2A圖之圓形圖案之第4B圖之出射組;第5A圖繪示可形成第4A圖之圓形圖案之一重疊VSB出射組之一實例;第5B圖繪示覆蓋第4A圖之圓形圖案之第5A圖之出射組;第6圖繪示兩個出射組之圖案面積與βf之間之圖表關係之一實例;第7A圖繪示根據本發明之可形成第4A圖之圓形 圖案之一例示性重疊VSB出射組;第7B圖繪示覆蓋第4A圖之圓形圖案之第7A圖之出射組;第7C圖繪示根據本發明之可形成第4A圖之圓形圖案之另一例示性重疊VSB出射組;第7D圖繪示覆蓋第4A圖之圓形圖案之第7C圖之出射組;第8圖繪示四個出射組之圖案面積與βf之間之圖表關係之一實例;第9圖繪示在製造一基板,諸如一積體電路時如何在一矽晶圓上製備一表面之一概念性流程圖之一實施例;及第10圖繪示在製造一基板,諸如一積體電路時如何在一矽晶圓上製備一表面之一概念性流程圖之一實施例。
700‧‧‧出射組
702‧‧‧出射
704‧‧‧出射
706‧‧‧出射
708‧‧‧出射
710‧‧‧出射
714‧‧‧區塊
716‧‧‧區塊
718‧‧‧區塊
720‧‧‧區塊

Claims (27)

  1. 一種使用包括一射束模糊(βf)之一帶電粒子射束微影程序製造一表面之方法,該方法包括以下步驟:判定將在該表面上形成一圖案的複數次帶電粒子射束出射,其中該複數次出射中之一些出射相互重疊;減小對βf之變動之圖案敏感性;及用該複數次出射在該表面上形成該圖案。
  2. 如請求項1之方法,其中對βf之該圖案敏感性藉由改變該複數次出射中出射之間之重疊而減小。
  3. 如請求項1之方法,其中該敏感性包括臨界尺寸敏感性。
  4. 如請求項1之方法,其中該減小步驟包括使用帶電粒子射束模擬。
  5. 如請求項4之方法,其中該帶電粒子射束模擬包含由向前散射、向後散射、阻蝕劑擴散、庫倫效應、蝕刻、霧化、載荷及阻蝕劑充電組成之一群組之至少一者。
  6. 一種使用一光學微影程序製造一積體電路之方法,該光學微影程序使用一光罩(reticle),其中該光罩使用包括一射束模糊(βf)之一帶電粒子射束微影程序製造,該方法包括以下步驟:判定將在該光罩上形成一圖案的複數次帶電粒子 射束出射,其中該複數次出射中之一些出射相互重疊;減小對βf之變動之圖案敏感性;及用該複數次出射在該光罩上形成該圖案。
  7. 如請求項6之方法,其中對βf之該圖案敏感性藉由改變該複數次出射中出射之間之該重疊而減小。
  8. 如請求項6之方法,其中該減小步驟包括使用帶電粒子射束模擬。
  9. 如請求項8之方法,其中該帶電粒子射束模擬包含由向前散射、向後散射、阻蝕劑擴散、庫倫效應、蝕刻、霧化、載荷及阻蝕劑充電組成之一群組之至少一者。
  10. 一種用於斷裂或遮罩資料製備或遮罩程序校正以與包括一射束模糊(βf)之一帶電粒子射束微影程序搭配使用之方法,該方法包括以下步驟:判定將在一表面上形成一圖案的複數個帶電粒子射束出射,其中該複數次出射中之一些出射相互重疊;及減小對βf之變動之圖案敏感性。
  11. 如請求項10之方法,其中該複數次出射中之出射包括可變形射束(VSB)出射。
  12. 如請求項10之方法,其中對βf之該圖案敏感性藉由改變該複數次出射中出射之間之該重疊而減小。
  13. 如請求項12之方法,其中該出射重疊接近該表面上之該圖案之周界。
  14. 如請求項10之方法,其中該敏感性在βf之一預定範圍內最小化或接近最小化。
  15. 如請求項10之方法,其中該減小步驟包括基於規則之一技術(rule-based technique)。
  16. 如請求項10之方法,其中在該判定步驟中,該表面上之該圖案之劑量容限增加。
  17. 如請求項10之方法,其中該表面上之該圖案包含一區塊,且其中該敏感性包括區塊敏感性。
  18. 如請求項17之方法,其中該出射重疊包括一區塊,且其中該減小步驟包括以下步驟:對於複數個βf值模擬該表面上將由該複數次出射形成之該圖案;若該模擬指示該表面上之該圖案面積隨βf增加而減小,則增加該出射重疊面積;及若該模擬指示該表面上之該圖案面積隨βf增加而增加,則減小該出射重疊面積。
  19. 如請求項18之方法,其中若該出射重疊面積增加,則減小一不重疊出射面積,且其中若該出射重疊面積減小,則增加該不重疊出射面積。
  20. 如請求項10之方法,其中該敏感性包括臨界尺寸敏感性。
  21. 如請求項10之方法,其中該圖案包括一圓形或 近圓形圖案。
  22. 如請求項10之方法,其中該表面係一光罩。
  23. 如請求項10之方法,其中該減小步驟包括使用帶電粒子射束模擬。
  24. 如請求項23之方法,其中該帶電粒子射束模擬包含由向前散射、向後散射、阻蝕劑擴散、庫倫效應、蝕刻、霧化、載荷及阻蝕劑充電組成之一群組之至少一者。
  25. 一種用於斷裂或遮罩資料製備或遮罩程序校正以與包括一射束模糊(βf)之一帶電粒子射束微影程序搭配使用之系統,該系統包括:一判定裝置,其能夠判定將在一表面上形成一圖案的複數次帶電粒子射束出射,其中該複數次出射中之一些出射相互重疊;及一減小裝置,其能夠減小對βf之變動之圖案敏感性。
  26. 如請求項25之系統,其中對βf之該圖案敏感性藉由改變該複數次出射中出射之間之該重疊而減少。
  27. 如請求項25之系統,其中能夠減小之該減小裝置包括帶電粒子射束模擬。
TW101122222A 2011-06-25 2012-06-21 用帶電粒子射束微影術形成圖案之方法及系統 TWI569088B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/168,953 US8703389B2 (en) 2011-06-25 2011-06-25 Method and system for forming patterns with charged particle beam lithography

Publications (2)

Publication Number Publication Date
TW201305718A TW201305718A (zh) 2013-02-01
TWI569088B true TWI569088B (zh) 2017-02-01

Family

ID=47362259

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101122222A TWI569088B (zh) 2011-06-25 2012-06-21 用帶電粒子射束微影術形成圖案之方法及系統

Country Status (6)

Country Link
US (1) US8703389B2 (zh)
EP (1) EP2724197A4 (zh)
JP (1) JP6054385B2 (zh)
KR (1) KR101979799B1 (zh)
TW (1) TWI569088B (zh)
WO (1) WO2013003102A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8826196B2 (en) * 2013-01-30 2014-09-02 Mentor Graphics Corporation Integration of optical proximity correction and mask data preparation
JP6169876B2 (ja) * 2013-04-11 2017-07-26 日本コントロールシステム株式会社 電子ビーム描画装置、描画用図形データ作成装置、電子ビーム描画方法、描画用図形データ作成方法、およびプログラム
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9170501B2 (en) 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
JP6283180B2 (ja) 2013-08-08 2018-02-21 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
EP2869119A1 (en) * 2013-10-30 2015-05-06 Aselta Nanographics Free form fracturing method for electronic or optical lithography using resist threshold control
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
US9208275B2 (en) 2014-02-25 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9286434B2 (en) 2014-05-23 2016-03-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly (DSA) using DSA target patterns
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US9817927B2 (en) 2015-08-31 2017-11-14 Globalfoundries Inc. Hard mask etch and dielectric etch aware overlap for via and metal layers
EP3153926B1 (en) 2015-10-06 2020-04-22 Aselta Nanographics A method of reducing shot count in direct writing by a particle or photon beam
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10663633B2 (en) 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
EP3518272A1 (en) 2018-01-09 2019-07-31 IMS Nanofabrication GmbH Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US11467488B2 (en) * 2019-06-14 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030077530A1 (en) * 2001-05-02 2003-04-24 Nikon Corporation Methods and devices for controlling blur resulting from the space-charge effect and geometrical aberration in a charged-partical-beam microlithography apparatus
TW200606602A (en) * 2004-06-29 2006-02-16 Leica Microsys Lithography Ltd Method for reducing the fogging effect
TW200834366A (en) * 2006-11-21 2008-08-16 Cadence Design Systems Inc Method and system for proximity effect and dose correction for a particle beam writing device
US20100055580A1 (en) * 2008-09-01 2010-03-04 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04155337A (ja) 1990-10-18 1992-05-28 Nec Corp フォトマスクの製造方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
WO1999056308A1 (fr) 1998-04-28 1999-11-04 Nikon Corporation Systeme d'exposition et procede de production d'un microdispositif
JP2000269123A (ja) * 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP2004063546A (ja) * 2002-07-25 2004-02-26 Nikon Corp 電子ビーム露光方法
DE602004002598T2 (de) 2003-01-14 2007-10-18 Asml Masktools B.V. Methode und Gerät zur Erstellung von optischen Näherungseffekt-Korrekturelementen für ein Maskenmuster in der optischen Lithographie
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
US6873938B1 (en) 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
JP4324049B2 (ja) 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 マスクパターンの補正装置及び方法、並びに露光補正装置及び方法
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
JP2008175959A (ja) 2007-01-17 2008-07-31 Toshiba Corp フォトマスク製造方法、及び半導体装置の製造方法
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US8039176B2 (en) * 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US20130070222A1 (en) 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
CN102138201B (zh) 2008-09-01 2014-12-31 D2S公司 用可变形束光刻的光学邻近校正、设计和制造光刻板方法
WO2010025031A2 (en) 2008-09-01 2010-03-04 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
JP2013502729A (ja) 2009-08-21 2013-01-24 ディー・ツー・エス・インコーポレイテッド キャラクタプロジェクションリソグラフィを用いて可変倍率で表面を製造するための方法および装置
TWI496182B (zh) 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030077530A1 (en) * 2001-05-02 2003-04-24 Nikon Corporation Methods and devices for controlling blur resulting from the space-charge effect and geometrical aberration in a charged-partical-beam microlithography apparatus
TW200606602A (en) * 2004-06-29 2006-02-16 Leica Microsys Lithography Ltd Method for reducing the fogging effect
TW200834366A (en) * 2006-11-21 2008-08-16 Cadence Design Systems Inc Method and system for proximity effect and dose correction for a particle beam writing device
US20100055580A1 (en) * 2008-09-01 2010-03-04 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device

Also Published As

Publication number Publication date
KR101979799B1 (ko) 2019-08-30
KR20140041736A (ko) 2014-04-04
EP2724197A1 (en) 2014-04-30
TW201305718A (zh) 2013-02-01
JP2014520403A (ja) 2014-08-21
JP6054385B2 (ja) 2016-12-27
US20120329289A1 (en) 2012-12-27
US8703389B2 (en) 2014-04-22
EP2724197A4 (en) 2015-08-26
WO2013003102A1 (en) 2013-01-03

Similar Documents

Publication Publication Date Title
TWI569088B (zh) 用帶電粒子射束微影術形成圖案之方法及系統
US10031413B2 (en) Method and system for forming patterns using charged particle beam lithography
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US10431422B2 (en) Method and system for dimensional uniformity using charged particle beam lithography
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9465297B2 (en) Method and system for forming patterns with charged particle beam lithography
WO2012051082A2 (en) Method and system for forming high accuracy patterns using charged particle beam lithography
JP6140082B2 (ja) 荷電粒子ビームリソグラフィを使用してパターンを形成するための方法およびシステム
KR102258587B1 (ko) 하전 입자 빔 리소그래피를 이용한 치수 균일도를 위한 방법 및 시스템
US20130306884A1 (en) Method and System for Forming Non-Manhattan Patterns Using Variable Shaped Beam Lithography
TWI592762B (zh) 使用帶電粒子束微影術形成圖案的方法與系統