TWI555058B - 雙負載閘配置之減弱及剝離處理腔室 - Google Patents

雙負載閘配置之減弱及剝離處理腔室 Download PDF

Info

Publication number
TWI555058B
TWI555058B TW101106559A TW101106559A TWI555058B TW I555058 B TWI555058 B TW I555058B TW 101106559 A TW101106559 A TW 101106559A TW 101106559 A TW101106559 A TW 101106559A TW I555058 B TWI555058 B TW I555058B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
load lock
support assembly
volume
Prior art date
Application number
TW101106559A
Other languages
English (en)
Other versions
TW201237941A (en
Inventor
紗麗訥絲馬丁傑夫
魯特保羅B
包爾阿尼魯德哈
李傑瑞阿瑪
尤瑟夫以馬德
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201237941A publication Critical patent/TW201237941A/zh
Application granted granted Critical
Publication of TWI555058B publication Critical patent/TWI555058B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

雙負載閘配置之減弱及剝離處理腔室
本發明的實施例大體係關於製造裝置於半導體基板上的方法和設備。更特定言之,本發明的實施例係關於包括兩個腔室容積的負載閘室,且至少一腔室容積配置以處理基板。
本發明的實施例大體係關於製造裝置於半導體基板上的方法和設備。更特定言之,本發明的實施例係關於負載閘室,負載閘室包括兩個負載閘並能處理基板。
超大型積體(ULSI)電路包括超過一百萬個電子裝置(例如電晶體),電子裝置形成在半導體基板上,例如矽(Si)基板,且協同執行各種裝置功能。通常,用於ULSI電路的電晶體為互補式金氧半導體(CMOS)場效電晶體。CMOS電晶體具有包含多晶矽閘極電極和閘極介電層的閘極結構,閘極結構置於形成於基板的源極區與汲極區之間。
電漿蝕刻常用於製造電晶體和其他電子裝置。在用於形成電晶體結構的電漿蝕刻製程期間,一或更多層膜堆疊(例如矽、多晶矽、二氧化鉿(HfO2)、二氧化矽(SiO2)、金屬材料等層)通常接觸包含至少一含鹵素氣體(例如溴化氫(HBr)、氯氣(Cl2)、四氟化碳(CF4)等)的蝕 刻劑。此類製程導致含鹵素殘留物聚積在已蝕刻特徵結構的表面、蝕刻光罩和基板別處上。
當暴露於非真空環境(例如工廠介面或基板儲放匣內)及/或連續處理時,蝕刻時沉積的含鹵素殘留物會釋出氣態鹵素和鹵素基反應物,例如溴(Br2)、氯氣(Cl2)、氯化氫(HCl)等。釋出鹵素和鹵素基反應物將引起微粒污染,並造成處理系統與工廠介面的內部空間腐蝕及導致基板上的金屬層露出部分腐蝕。清潔處理系統與工廠介面及更換遭腐蝕零件係耗時又昂貴的程序。
已開發數種製程來移除已蝕刻基板上的含鹵素殘留物。例如,可把已蝕刻基板傳送到遠端電漿反應器中,使已蝕刻基板接觸氣體混合物而將含鹵素殘留物轉化成非腐蝕性揮發化合物,該揮發化合物可經除氣及泵抽離開反應器。然此類製程需專用處理腔室和附加步驟,以致增加工具費用、降低製造生產力和產量,因而提高製造成本。
故需要改善方法和設備來移除基板的含鹵素殘留物。
本發明的實施例大體上提供處理基板的設備和方法。特定言之,本發明的實施例提供能如藉由使放在裡面的基板接觸反應物種而處理基板的雙負載閘室。
本發明的一實施例提供負載閘室。負載閘室包括腔室 主體,腔室主體界定互相隔離的第一腔室容積和第二腔室容積。第一腔室容積經由配置以傳送基板的兩個開口選擇性連接至兩個處理環境。第二腔室容積選擇性連接至兩個處理環境的至少一者。負載閘室進一步包括設在第二腔室容積內的加熱基板支撐組件和連接至第二腔室容積來供應電漿給第二腔室容積的遠端電漿源。加熱基板支撐組件配置以支撐及加熱放置於上的基板。
本發明的一實施例提供雙負載閘室。雙負載閘室包括腔室主體,腔室主體界定互相隔離的第一腔室容積和第二腔室容積。第一腔室容積和第二腔室容積各自經由配置以傳送基板的兩個開口選擇性連接至兩個分開的相鄰環境。雙負載閘室亦包括設在第二腔室容積內的加熱基板支撐組件。加熱基板支撐組件配置以支撐及加熱放置於上的基板。雙負載閘室還包括連接至第二腔室容積的遠端電漿源,用以供應反應物種給第二腔室容積。
本發明的另一實施例提供雙負載閘室。雙負載閘室包括:腔室主體,腔室主體界定互相隔離的第二腔室容積和下負載閘容積;基板支撐組件,配置以支撐放在第一腔室容積內的基板;及加熱基板支撐組件,配置以支撐及加熱放在第二腔室容積內的基板。第一腔室容積和第二腔室容積各自經由配置以傳送基板的兩個開口選擇性連接至兩個分開的相鄰環境。雙負載閘室亦包括設在加熱基板支撐組件上方的噴灑頭組件,其中噴灑頭組件配置以分配一或更多處理氣體到第二腔室容積。
本發明的又一實施例提供移除基板的含鹵素殘留物的方法。方法包括將基板經由雙負載閘室的送進負載閘傳送到基板處理系統,雙負載閘室耦接至基板處理系統,以及在基板處理系統中,以包含鹵素的化學組成蝕刻基板。方法亦包括在雙負載閘室的送出負載閘中,移除已蝕刻基板的含鹵素殘留物,其中在單一腔室主體中,送出負載閘與送進負載閘互相隔離。移除含鹵素殘留物包括加熱送出負載閘的加熱基板支撐組件上的已蝕刻基板,以及使處理氣體流入送出負載閘。
上述移除含鹵素殘留物的方法包括利用圍繞加熱基板支撐組件的箍襯套,建立對稱處理環境。
上述流入處理氣體的方法包括在遠端電漿源中產生處理氣體的電漿。
本發明的實施例提供製造裝置於半導體基板上的設備和方法。更特定言之,本發明的實施例係關於包括兩個隔離腔室容積的雙負載閘室,其中至少一腔室容積配置以處理基板,例如使基板接觸反應物種。
本發明的一實施例提供負載閘室,負載閘室具有至少二隔離腔室容積形成於主體組件內。二隔離腔室容積可垂直堆疊或並排設置。二腔室容積可個別操作以提高產量。在一實施例中,第一腔室容積配置以使放在裡面的 基板接觸反應物種,以如移除基板的鹵素殘留物或移除基板的光阻。第二腔室容積僅用於交換毗連環境,例如工廠介面與移送室的環境。本發明的一實施例提供負載閘室,負載閘室包括用以加熱內部基板的薄加熱基板支撐件和設在薄加熱基板支撐件上方的噴灑頭,用以均勻供應一或更多處理氣體給負載閘室。在一實施例中,噴灑頭連接至遠端電漿源,以供應反應物種給負載閘室。本發明的負載閘室亦可包括箍襯套,以在用於處理基板的腔室容積內建立對稱處理環境。在本發明一實施例中,箍襯套耦接至一或更多升降指,升降指配置以利用設在負載閘室外的基板傳送機器人來交換基板。
第1圖為根據本發明一實施例,雙負載閘室100的截面圖。雙負載閘室100包括用於傳送基板104的第一腔室容積110和用於傳送及處理基板104的第二腔室容積120。第二腔室容積120和第一腔室容積110垂直堆疊在一起且互相隔離。
雙負載閘室100包括腔室主體組件103。在一實施例中,腔室主體組件103包括第一腔室主體111和第二腔室主體121,腔室主體111、121耦接在一起而界定一元結構容納第一與第二腔室容積120、110。在一實施例中,第一腔室主體111和第二腔室主體121垂直堆疊在一起。雖然第一腔室主體111係繪示堆疊在第二腔室主體121下方,但當理解第一腔室主體111也可堆疊在第二腔室主體121上方或水平並排設置。室主體121上方或水平並排設置。
雙負載閘室100的第二腔室容積120具有噴灑頭129、加熱基板支撐組件132和升降箍組件144。噴灑頭129設在加熱基板支撐組件132上方。升降箍組件144配置以侷限第二腔室容積120內的處理環境,升降箍組件144並操作以裝載及卸載來自加熱基板支撐組件132和基板傳送機器人(未圖示)的基板。
第二腔室容積120由第二腔室主體121的側壁122、置於側壁122上方的蓋襯套127、第二腔室主體121的底壁123和第一腔室主體111的頂壁118界定。蓋襯套127具有形成中央開口127c的內唇127a。內唇127a支承噴灑頭129和源接裝板128。在一實施例中,蓋襯套127可拆式設在第二腔室主體121上方,以容許接近腔室部件。
噴灑頭129包括具複數個穿孔129a貫穿其中的面板129d和具中央開口129e的背板129c。面板129d和背板129c圍住內部容積129b。內部容積129b當作氣室來加強經由形成貫穿面板129d的穿孔129a提供至第二腔室容積120的氣體的徑向均勻度。
源接裝板128設在噴灑頭129的背板129c上方。源接裝板128具有中央開口128a,中央開口128a匹配噴灑頭129的中央開口129e。遠端電漿源130經由設於開口129e、128a中的石英嵌入件131流體連通噴灑頭129的內部容積129b。出自遠端電漿源130的解離反應物種經 由石英嵌入件131進入第二腔室容積120而至噴灑頭129的內部容積129b,接著經由噴灑頭129的穿孔129a而至第二腔室容積120。
在一實施例中,噴灑頭129由石英製成,如此內部容積129b接觸氣室內反應物種的表面係以石英為襯裡。石英嵌入件131和噴灑頭129保護金屬腔室部件免於接觸遠端電漿源130提供的反應物種,從而實質減少物種復合、侵害金屬腔室部件及產生微粒。
遠端電漿源130通常連接至一或更多氣體分配盤,氣體分配盤經由遠端電漿源130供應一或更多處理氣體給上腔室容積110。在一實施例中,遠端電漿源130連接至第一氣體分配盤101和第二氣體分配盤102,氣體分配盤101配置以提供減弱製程處理氣體來移除蝕刻後殘留的材料,氣體分配盤102配置以提供灰化製程處理氣體來移除光阻。
加熱基板支撐組件132配置以適配在雙負載閘室100的第二腔室容積120中。加熱基板支撐組件132安裝成與腔室主體組件103實質絕熱。在一實施例中,加熱基板支撐組件132配置以加熱基板104達300℃,腔室主體組件103則保持冷卻。
在一實施例中,加熱基板支撐組件132包括上加熱板133、附接上加熱板133的下加熱板134和設在上加熱板133與下加熱板134間的加熱器135。在一實施例中,加熱器135設在形成於下加熱板134的上表面的通道。加 熱器135可為電阻式加熱器或配置供熱傳流體流動的導管。上加熱板133和下加熱板134可藉由螺栓、焊接或銅焊而接合在一起。在一實施例中,上加熱板133和下加熱板134可由金屬組成,例如鋁。
上加熱板133配置以支撐基板104的背側104b。在一實施例中,下加熱板134的外徑大於上加熱板133的外徑。聚焦環151設在下加熱板134徑向露出上加熱板133外面的外緣134a。聚焦環151圍繞上加熱板133和放在加熱板133上的基板104。聚焦環151用來保留基板104及於處理時修改基板104的邊緣區域周圍的處理速率。在一實施例中,聚焦環151、上和下加熱板133、134具有匹配切口155,切口155配置以提供升降指147通行。
加熱基板支撐組件132經由第二腔室主體121的底壁123中的中央開口123a裝設在絕熱器143上,絕熱器143設在第一腔室主體111的頂壁118。在一實施例中,凹部118a形成在第一腔室主體111的頂壁118。凹部118a容許形成於第一腔室主體111的真空埠連接第二腔室容積120。加熱基板支撐組件132不直接接觸腔室主體組件103。絕熱器143可由絕熱材料組成,例如陶瓷,以防加熱基板支撐組件132與包括第二腔室主體121和第一腔室主體111的腔室主體組件103間發生熱交換。
絕熱器143經設置以相對第二腔室容積120中的其他部件(例如噴灑頭129和升降箍組件144)置中加熱基板支撐組件132。在一實施例中,絕熱器143對準加熱 組件132於熱膨脹時維持置中。
懸臂管136從靠近下加熱板134中心的背側134b延伸。懸臂管136放射狀向外延伸而連接垂直管137,管137設置穿過第二腔室主體121的開口153和第一腔室主體111的開口152。管136、137不接觸第二腔室主體121或第一腔室主體111,以進一步避免加熱基板支撐組件132與腔室主體111、121間發生熱交換。懸臂管136和垂直管137提供通道給電源、感測器和加熱基板支撐組件132所用其他配線。在一實施例中,加熱器功率源138、感測訊號接收器139和夾持控制單元140經由懸臂管136和垂直管137的通道而接線至加熱基板支撐組件132。在一實施例中,夾持控制單元140配置以提供真空夾持機制。
冷卻配接器141從第一腔室主體111外側耦接至垂直管137和第一腔室主體111。冷卻配接器141具有冷卻通道141a形成於內。冷卻流體142的來源連接至冷卻通道141a,用以冷卻冷卻配接器141與垂直管137、懸臂管136和加熱基板支撐組件132的其他部件。處理期間,冷卻配接器141通常保持冷卻,故可做為加熱基板支撐組件132與腔室主體組件103間的絕熱器。
在一實施例中,雙金屬連接器可用於連接加熱基板支撐組件132的各種零件,以提供均勻溫度控制。
加熱基板支撐組件132的詳細說明可參見西元2011年3月1日申請、名稱為「薄加熱基板支撐件(Thin Heater Substrate Support)」的美國臨時專利申請案第61/448,018號(文件編號15750)。
雙負載閘室100亦包括升降箍組件144,用以在外來機器人與加熱基板支撐組件132間傳送基板,及用於在第二腔室容積120中提供對稱處理環境。升降箍組件144包括環狀箍主體146,箍主體146設在第二腔室容積120內圍繞加熱基板支撐組件132。箍主體146耦接至升降機160,升降機160設在第二腔室容積120的外部區域。升降機160在第二腔室容積120內垂直移動箍主體146。在一實施例中,升降機160包括波紋管161,以進行垂直移動。升降機160可耦接至設在腔室主體組件103外側的馬達致動器169。
三或更多升降指147附接至箍主體146。升降指147垂直向下延伸且從箍主體146放射狀向內延伸。升降指147配置以在加熱基板支撐組件132與第二腔室容積120外側的基板傳送裝置(例如機器人)間傳送基板。升降指147的尖端147a構成基板支撐表面,尖端147a配置在靠近基板104邊緣區域的數個點來支撐基板104。
第1圖圖示升降箍組件144處於較高位置,以與外來基板傳送裝置交換基板。第2圖為雙負載閘室100的截面圖,升降箍組件144處於較低位置供基板處理。
當箍主體146處於第2圖所示較低位置時,升降指147位於上加熱板133的上表面133a下方。隨著箍主體146 上升到較高位置,升降指147隨之移動而接觸及抬起加熱基板支撐組件132的基板104。當箍主體146處於第1圖所圖示較高位置時,外部基板傳送裝置(未圖示)可經由埠口之一進入第二腔室容積120,以自升降指147移開基板104,然後把新基板104放到升降指147上。當箍主體146再次下降到較低位置時,放在升降指147上的新基板104將放到加熱基板支撐組件132上進行處理。
箍襯套145附接至箍主體146。箍襯套145從箍主體146垂直向上延伸。在一實施例中,箍襯套145為具實質平坦的圓柱形內壁145a的環。在一實施例中,箍襯套145的內壁145a的高度145b遠大於加熱基板支撐組件132的厚度,內徑則大於加熱基板支撐組件132和噴灑頭129的外徑,使得箍襯套145可在加熱基板支撐組件132和噴灑頭129周圍建立處理環境。當箍主體146處於較高位置時,如第1圖所圖示,箍襯套145可進入形成於蓋襯套127內的腔體127b。當箍主體146處於較低位置時,箍襯套145的圓柱形內壁145a在第二腔室容積120內圍繞基板104和加熱基板支撐組件132正上方的區域建立圓形侷限壁,因而提供基板104對稱處理環境。在一實施例中,箍襯套145的高度145b乃夠大來覆蓋噴灑頭129的面板129d與加熱基板支撐組件132間的垂直空間。在一實施例中,箍襯套145由石英組成。
升降箍組件144的詳細說明可參見西元2011年3月1 日申請、名稱為「基板傳送及根部侷限的方法和設備(Method and Apparatus for Substrate Transfer and Radical Confinement)」的美國臨時專利申請案第61/448,012號(文件編號15745)。
第一腔室容積110由第一腔室主體111和附接第一腔室主體111的腔室底部112界定。第一腔室主體111具有頂壁118和側壁119。頂壁118、側壁119和腔室底部112圍住第一腔室容積110。配置以支撐基板104及與基板傳送裝置(例如基板傳送機器人)交換基板的基板支撐機制可設在第一腔室容積110內。在一實施例中,基板支撐機制包括三或更多支撐銷113,用以從基板背側104b支撐基板104。在一實施例中,支撐銷113從第一腔室主體111或腔室底部112固定延伸。支撐銷113設置與基板傳送裝置互動。
第二腔室容積120和第一腔室容積110耦接至真空系統150。在一實施例中,個別獨立控制第二腔室容積120和第一腔室容積110內的壓力。
第3圖為第二腔室主體121的上視圖,並且移開噴灑頭129。第二腔室主體121包括側壁122和底壁123。底壁123形成匹配第一腔室主體111的頂壁118而構成封閉的腔室容積供真空與公用設施通行(此將詳述於後)。兩個開口325形成穿過側壁122,以容許基板傳送。流量閥門可附接各開口325外側,藉以提供第二腔室容積120與二處理環境間的介面。
第4圖為雙負載閘室100的第一腔室容積110的上視圖。兩個開口416形成穿過第一腔室主體111的側壁119,以容許在二處理環境間傳送基板,例如真空移送室和大氣工廠介面(均未圖示)。流量閥門可附接各開口416外側,以選擇性將第一腔室容積110密封於二處理環境,例如真空移送室和大氣工廠介面。第一腔室主體111可具通往第一腔室容積110的下真空埠415,用以泵抽第一腔室容積110。
在一實施例中,上真空埠454亦形成穿過第一腔室主體111,用以泵抽第二腔室容積120。
第5圖為根據本發明一實施例,第一腔室主體111的透視圖。凹部118a形成於第一腔室主體111的頂壁118。凹部118a容許加熱基板支撐組件132座落在第二腔室容積120內的低處,從而縮減第二腔室容積120。中心凹口543可形成在凹部118a內,用以固定絕熱器143(圖示於第1圖)而支撐加熱基板支撐組件132。上真空埠454形成穿過第一腔室主體111的側壁119,並通往形成於第一腔室主體111的頂壁118的凹部118a。故凹部118a亦容許在第一腔室主體111內形成通向第二腔室容積120的泵抽通道。或者,真空埠454可形成在凹部118a外側,以匹配形成於第二腔室主體121的底壁123的埠口。
在一實施例中,至少一壓蓋511a形成於凹部118a周圍。密封件可設在各壓蓋511a,以於第二腔室主體121 與第一腔室主體111間形成真空密封。在一實施例中,兩個壓蓋511a形成於第一腔室主體111的頂壁118,以提供更強的真空密封。
第6圖為第二腔室主體121與第一腔室主體111組裝在一起的透視截面圖。形成於第二腔室主體121的底壁123的中央開口123a連接第二腔室容積120的內部空間和位於第一腔室主體111的頂壁118上的凹部118a。故當第二腔室主體121附接至第一腔室主體111時,上真空埠454可流體連通第二腔室容積120。
第7圖為第二腔室主體121與第一腔室主體111的透視截面圖,並且移開加熱基板支撐組件132。第7圖圖示下真空埠415。第二腔室主體121和第一腔室主體111可利用各種方法接合在一起而得真空密封件。在一實施例中,第二腔室主體121用螺栓固定於第一腔室主體111。在另一實施例中,第一腔室主體111和第二腔室主體121經銅焊在一起,以降低洩漏風險及消除公差問題。
第8圖為根據本發明另一實施例,雙負載閘室800的截面圖。雙負載閘室800類似雙負載閘室100,除了雙負載閘室800的燈具組件810用來代替雙負載閘室100的遠端電漿源130。石英窗口811設在蓋襯套127上方。燈具組件810設在石英窗口811外側。出自燈具組件810的輻射能經由石英窗口811導向第二腔室容積120。氣源812流體連通第二腔室容積120,以提供處理氣體及/或淨化用鈍氣。
第9圖為根據本發明實施例,基板處理系統900的平面圖,基板處理系統900包括一或更多雙負載閘室100。雙負載閘室800亦可用來代替雙負載閘室100。
系統900包括真空密閉處理平臺904、工廠介面902和系統控制器944。平臺904包括複數個處理腔室918和至少一雙負載閘室100,處理腔室918和雙負載閘室100耦接至真空基板移送室936。在一實施例中,移送室936具有四個側邊920。每一側邊920配置以連接一對處理腔室918或負載閘室100。如第9圖所示,六個處理腔室918耦接至移送室936的三個側邊920,雙負載閘室100則耦接至移送室936的第四個側邊920。工廠介面902經由雙負載閘室100耦接至移送室936。
在一實施例中,工廠介面902包含至少一塢站908和至少一工廠介面機器人914,以協助基板傳送。塢站908配置以接受一或更多前開式晶圓傳送盒(FOUP)。第9圖實施例圖示四個FOUP 906。工廠介面機器人914具有設在機器人914一端的葉片916,工廠介面機器人914配置以將基板從工廠介面902經由雙負載閘室100傳送到處理平臺904進行處理。
雙負載閘室100各自具有耦接至工廠介面902的兩個埠口和耦接至移送室936的兩個埠口。雙負載閘室100耦接至壓力控制系統(未圖示),壓力控制系統對雙負載閘室100泵抽及通氣,以助於在移送室936的真空環境與工廠介面902的實質周遭(例如大氣)環境間傳遞基 板。
移送室936內設真空機器人937,用以在雙負載閘室100與處理腔室918間傳送基板924。在一實施例中,真空機器人937具有兩個葉片940,葉片940各自能在雙負載閘室100與處理腔室918間傳送基板924。在一實施例中,真空機器人937配置以同時傳送兩個基板924至兩個處理腔室918或兩個負載閘100。
在一實施例中,至少一處理腔室918係蝕刻室。例如,蝕刻室可為取自應用材料公司的去耦電漿源(DPS)腔室。DPS蝕刻室採用感應源來產生高密度電漿,且包含射頻(RF)功率源來偏壓基板。或者,至少一處理腔室918可為HARTTM、E-MAX®、DPS®、DPS II、PRODUCER E或ENABLER®蝕刻室的其中之一,該等蝕刻室亦取自應用材料公司。也可使用其他包括其他製造商製造的蝕刻室。蝕刻室可利用含鹵素氣體來蝕刻內置基板924。含鹵素氣體的實例包括溴化氫(HBr)、氯氣(Cl2)、四氟化碳(CF4)等。蝕刻基板924後,含鹵素殘留物可能會留在基板表面。
可在雙負載閘室100中,利用熱處理製程,移除含鹵素殘留物。例如,可在一或二雙負載閘室100的第二腔室容積120中進行熱處理製程。或者,可在一或二雙負載閘室100的第二腔室容積120中進行灰化製程。
系統控制器944耦接至處理系統900。系統控制器944藉由直接控制系統900的處理腔室918或藉由控制處理 統900的操作。操作時,系統控制器944能收集資料及自各腔室與系統控制器944反饋而最佳化系統900的效能。
系統控制器944通常包括中央處理單元(CPU)938、記憶體939和支援電路942。CPU 938可為任一型式的通用電腦處理器,通用電腦處理器可用於工業設定。支援電路942傳統上耦接至CPU 938,且包含快取記憶體儲存器、時脈電路、輸入/輸出子系統、電源等。軟體常式例如為以下第10圖所述移除含鹵素殘留物的方法1000及/或第11圖所述灰化方法1100,由CPU 938執行時,軟體常式將CPU 938轉換成特定用途電腦(控制器)944。軟體常式亦可由第二控制器(未圖示)儲存及/或執行,第二控制器設在系統900遠端。
第10圖為根據本發明一實施例,處理基板的方法1000的流程圖。特定言之,方法1000配置以移除基板的含鹵素殘留物。方法1000可在第9圖所圖示之處理系統900中進行。應理解方法1000可在其他包括其他製造商製造的適合處理系統中進行。
方法1000始於方塊1010:將上面設有膜層的基板從FOUP 106之一傳送到雙負載閘室100,及把含有基板的腔室容積泵抽成等於移送室936的真空等級。在一實施例中,傳送到雙負載閘室100的基板從工廠介面902只傳送到雙負載閘室100的第一腔室容積110。依此,可有效減少已處理與未處理基板間交叉污染。有效減少已處理與未處理基板間交叉污染。
在另一實施例中,利用雙負載閘室100的第二腔室容積120中的加熱基板支撐組件132,預熱傳送到雙負載閘室100的基板達預定溫度。在一實施例中,預熱基板達約20℃至約400℃。
在方塊1020中,雙負載閘室100和移送室936內的壓力為實質相等後,真空機器人937將基板從雙負載閘室100傳送到處理腔室918之一。
在方塊1030中,在處理腔室918中蝕刻基板,以於基板上形成預定特徵結構和圖案。
在一實施例中,藉由供應具至少一含鹵素氣體的氣體混合物,以在處理腔室918中蝕刻基板。圖案化光罩可包括光阻及/或硬光罩。適合的含鹵素氣體實例包括溴化氫(HBr)、氯氣(Cl2)、四氟化碳(CF4)等,但不以此為限。在適於蝕刻多晶矽的示例性實施例中,供應給處理腔室918的氣體混合物提供包括溴化氫(HBr)與氯氣(Cl2)的氣體混合物,供應流率為約20 sccm(每分鐘標準毫升)至約300 sccm,例如約20 sccm至約60 sccm,例如約40 sccm。溴化氫(HBr)與氯氣(Cl2)的氣體比可為約1:0至約1:30,例如約1:15。可伴隨氣體混合物供應鈍氣給處理腔室918。適合的鈍氣實例包括氮氣(N2)、氬氣(Ar)、氦氣(He)等。在一實施例中,可伴隨氣體混合物供應鈍氣,例如N2,供應流率為約0 sccm至約200 sccm,例如約0 sccm至約40 sccm,例如 化碳(CO)。用於蝕刻製程的電漿功率可維持在約200瓦至約3000瓦,例如約500瓦至約1500瓦,例如約1000瓦,偏壓功率可維持在約0瓦至約300瓦,例如約0瓦至約80瓦,例如約20瓦。製程壓力可控制為約2毫托耳至約100毫托耳,例如約2毫托耳至約20毫托耳,例如約4毫托耳,基板溫度可維持呈約0℃至約200℃,例如約0℃至約100℃,例如約45℃。
在蝕刻製程期間,已蝕刻材料可能結合蝕刻化學組成的組分與光罩層的組分(若有)和蝕刻製程的副產物而形成含鹵素殘留物。在一實施例中,基板上的待蝕刻材料包括光阻層、硬光罩層、底部抗反射塗層(BARC)、多晶矽、結晶矽、閘極氧化物、金屬閘極(例如氮化鈦(TiN))和高介電係數(k)材料(例如氧化鋁(Al2O3)、含鉿氧化物)。適合的硬光罩層實例包括氮化矽、四乙氧基矽烷(TEOS)、氧化矽、無定形碳和碳化矽。含鹵素殘留物沉積於基板表面。若接觸大氣壓及/或水蒸汽,含鹵素殘留物會釋出(例如除氣)氣態反應物,例如溴(Br2)、氯氣(Cl2)、氯化氫(HCl)、溴化氫(HBr)等。傳送基板時,釋出此類反應物將對處理設備和工廠介面造成腐蝕和微粒污染,例如第9圖所圖示真空密閉處理平臺904和工廠介面902。在諸如銅(Cu)、鋁(Al)、鎢(W)等金屬層接觸基板表面的實施例中,若本發明製程未移除氣態反應物,則金屬層會遭釋出氣態反應物腐蝕,以致不當惡化形成於基板上的裝置效能。
鹵素也可能出現於在真空環境中經除蝕刻外的方式處理的基板表面。故應理解利用本文所述方法和設備當可自該等基板移除鹵素。
在方塊1040中,將已處理(例如已蝕刻)基板傳送到雙負載閘室100的第二腔室容積120,以在工廠介面或其他位置接觸大氣條件或水蒸汽前,移除基板上於方塊1030的處理期間產生的含鹵素殘留物。蝕刻處理後,移送室936中的真空機器人937將已蝕刻基板從處理腔室918傳送到雙負載閘室100的第二腔室容積120中的升降指147。升降指147將已蝕刻基板降下及傳送到加熱基板支撐組件132。
在方塊1050中,進行熱處理製程處理已蝕刻基板,以移除已蝕刻基板表面的含鹵素殘留物。加熱基板支撐組件132中的加熱器135用於促進基板表面溫度上升,進而造成置於已蝕刻基板表面的鹵素基反應物釋出及/或除氣。加熱基板支撐組件132在約5秒至約30秒內加熱基板達約20℃至約1000℃,例如約150℃至約300℃,例如約250℃。由加熱基板支撐組件132快速加熱基板能移除已蝕刻基板上的含鹵素殘留物,又不會增加製程循環時間,若於處理腔室的其中之一內移除殘留物,則可能遇到此問題。在一實施例中,加熱基板支撐組件132加熱基板一段預定時間,直到移除已蝕刻基板表面的含鹵素殘留物為止。利用連接至感測訊號接收器139的感測器,決定時間或終點。已蝕刻基板可經加熱達約150℃至間或終點。已蝕刻基板可經加熱達約150℃至約300℃,例如約250℃,計約10秒至約120秒,例如約30秒至約90秒。
在一實施例中,氣體混合物經由遠端電漿源130提供至雙負載閘室100的第二腔室容積120。遠端電漿源130離子化氣體混合物。解離的離子和物種促使除氣的鹵素基反應物轉化成非腐蝕性揮發化合物,進而提高從已蝕刻基板表面移除含鹵素殘留物的效率。氣體混合物可包括含氧氣體(例如氧氣(O2)、臭氧(O3)、水蒸汽(H2O))、含氫氣體(例如氫氣(H2)、成形氣體、水蒸汽(H2O)、烷、烯等)或鈍氣(例如氮氣(N2)、氬氣(Ar)、氦氣(He)等)。例如,氣體混合物可包括氧氣、氮氣和含氫氣體。在一實施例中,含氫氣體係氫氣(H2)與水蒸汽(H2O)的至少一者。在光罩層出現在基板上的實施例中,可同時移除光罩層和含鹵素殘留物,例如在負載閘室中剝除光罩的光阻。
在一實施例中,遠端電漿源提供約500瓦至6000瓦的電漿功率。在存有電漿的實施例中,可伴隨氣體混合物供應鈍氣,例如Ar、He或N2
或者,以雙負載閘室800代替雙負載閘室100時,可由氣源812供應氣體混合物給第二腔室容積120,同時加熱已蝕刻基板。已蝕刻基板接觸及與氣體混合物反應。氣體混合物將除氣的鹵素基反應物轉化成非腐蝕性揮發化合物,揮發化合物經泵抽離開雙負載閘室100。
視情況而定,基板可返回系統的處理腔室918之一,以於移出真空環境前,進行附加處理。在方塊1050的鹵素移除製程後,後續處理期間,基板不會把鹵素帶進處理腔室,故可避免破壞處理腔室。
在方塊1060中,對第二腔室容積120通氣成大氣壓。視情況而定,通氣時,可利用冷卻配接器141,冷卻加熱基板支撐組件132,使基板溫度下降預定程度。在一實施例中,已蝕刻基板經冷卻至約10℃至約125℃,如此已蝕刻基板返回FOUP 906時將不會破壞FOUP 906。
在方塊1070中,一旦第二腔室容積120與工廠介面902的壓力相稱,已蝕刻基板即從負載閘室100的第二腔室容積120返回FOUP 906之一。
在本發明另一實施例中,可在根據本發明實施例的雙負載閘室中進行光阻移除製程。第11圖為方法1100的流程圖,方法1100包括當基板離開基板處理系統(例如第9圖的基板處理系統900)時,在負載閘室中移除基板的光阻。
方法1100類似第10圖的方法1000,除了方法1100包括方塊1150所述灰化製程。
在方塊1150中,在雙負載閘室100的第二腔室容積120中進行灰化製程,以從基板移除光阻。可使用氧基電漿。例如,氧化氣體(例如O2)按100 sccm至10000 sccm的流率流入遠端電漿源130。當600瓦至6000瓦的RF能量施加至遠端電漿源130時,氧化氣體將形成電 漿。第二腔室容積120內的氣體壓力可維持在0.3托耳至3托耳。基板溫度可維持呈15℃至300℃。可使用各種氧化氣體,包括O2、O3、N2O、H2O、CO、CO2、醇類和該等氣體的各種組合物,但不以此為限。在本發明的其他實施例中,可使用非氧化氣體,包括N2、H2O、H2、成形氣體、NH3、CH4、C2H6、各種鹵化氣體(CF4、NF3、C2F6、C4F8、CH3F、CH2F2、CHF3)、該等氣體的組合物等,但不以此為限。
藉由使用送進基板專用的第一腔室容積110及使用送出基板專用的第二腔室容積120,可將方法1000或1100合併於雙負載閘室100。藉著使送進和送出基板保持在不同分離路徑,本發明實施例可有效防止已處理與未處理基板間交叉污染。
雖然以上係針對本發明實施例說明,但在不脫離本發明基本範圍的情況下,當可策劃本發明的其他和進一步實施例,因此本發明範圍視後附申請專利範圍所界定者為準。
100‧‧‧雙負載閘室
101、102‧‧‧氣體分配盤
103‧‧‧腔室主體組件
104‧‧‧基板
104b‧‧‧背側
110、120‧‧‧腔室容積
111、121‧‧‧腔室主體
112‧‧‧底部
113‧‧‧支撐銷
118‧‧‧頂壁
118a‧‧‧凹部
119、122‧‧‧側壁
123‧‧‧底壁
123a‧‧‧開口
127‧‧‧蓋襯套
127a‧‧‧內唇
127b‧‧‧腔體
127c‧‧‧開口
128‧‧‧源接裝板
128a‧‧‧開口
129‧‧‧噴灑頭
129a‧‧‧穿孔
129b‧‧‧內部容積
129c‧‧‧背板
129d‧‧‧面板
129e‧‧‧開口
130‧‧‧遠端電漿源
131‧‧‧嵌入件
132‧‧‧加熱基板支撐組件
132a‧‧‧中心軸
133、134‧‧‧加熱板
133a‧‧‧上表面
134a‧‧‧外緣
134b‧‧‧背側
135‧‧‧加熱器
136、137‧‧‧管
138‧‧‧功率源
139‧‧‧感測訊號接收器
140‧‧‧夾持控制單元
141‧‧‧配接器
141a‧‧‧冷卻通道
142‧‧‧流體
143‧‧‧絕熱器
144‧‧‧升降箍組件
145‧‧‧箍襯套
145a‧‧‧內壁
145b‧‧‧高度
146‧‧‧箍主體
147‧‧‧升降指
147a‧‧‧尖端
150‧‧‧真空系統
151‧‧‧聚焦環
152、153‧‧‧開口
155‧‧‧匹配切口
160‧‧‧升降機
161‧‧‧波紋管
169‧‧‧致動器
325‧‧‧開口
415、454‧‧‧真空埠
416‧‧‧開口
511a‧‧‧壓蓋
543‧‧‧凹口
800‧‧‧雙負載閘室
810‧‧‧燈具組件
811‧‧‧窗口
812‧‧‧氣源
900‧‧‧系統
902‧‧‧工廠介面
904‧‧‧平臺
906‧‧‧FOUP
908‧‧‧塢站
914‧‧‧機器人
916、940‧‧‧葉片
918‧‧‧處理腔室
920‧‧‧側邊
924‧‧‧基板
936‧‧‧移送室
937‧‧‧真空機器人
938‧‧‧CPU
939‧‧‧記憶體
942‧‧‧支援電路
944‧‧‧控制器
1000、1100‧‧‧方法
1010、1020、1030、1040、1050、1060、1070、1150‧‧‧方塊
為讓本發明的上述概要特徵更明顯易懂,可配合參考實施例說明,部分實施例乃圖示在附圖。然應注意所附圖式僅說明本發明典型實施例,故不宜視為限定本發明範圍,因為本發明可接納其他等效實施例。
第1圖為根據本發明一實施例,雙負載閘室的截面圖。
第2圖為第1圖雙負載閘室的截面圖,其中升降箍處於裝載/卸載位置。
第3圖為根據本發明一實施例,雙負載閘室的第二腔室容積的上視圖。
第4圖為根據本發明一實施例,雙負載閘室的第一腔室容積的上視圖。
第5圖為根據本發明一實施例,雙負載閘室的第一腔室主體的透視圖。
第6圖為第一腔室主體與第二腔室主體組裝在一起的透視截面圖。
第7圖為形成於第二腔室主體與第一腔室主體的泵抽通道的透視截面圖,並且移開加熱基板支撐組件。
第8圖為根據本發明另一實施例,雙負載閘室的截面圖。
第9圖為根據本發明實施例,包括雙負載閘室的基板處理系統的平面圖。
第10圖為根據本發明一實施例,處理基板的方法流程圖。
第11圖為根據本發明另一實施例,處理基板的方法流程圖。
為助於瞭解,盡可能以相同的元件符號代表各圖中共同的相似元件。應理解某一實施例所述的元件當可有益地併入其他實施例,在此不另外詳述。
100‧‧‧雙負載閘室
101、102‧‧‧氣體分配盤
103‧‧‧腔室主體組件
104‧‧‧基板
104b‧‧‧背側
110、120‧‧‧腔室容積
111、121‧‧‧腔室主體
112‧‧‧底部
113‧‧‧支撐銷
118‧‧‧頂壁
118a‧‧‧凹部
119、122‧‧‧側壁
123‧‧‧底壁
123a、127c、128a、129e‧‧‧開口
127‧‧‧蓋襯套
127a‧‧‧內唇
127b‧‧‧腔體
128‧‧‧源接裝板
129‧‧‧噴灑頭
129a‧‧‧穿孔
129b‧‧‧內部容積
129c‧‧‧背板
129d‧‧‧面板
130‧‧‧遠端電漿源
131‧‧‧嵌入件
132‧‧‧加熱基板支撐組件
132a‧‧‧中心軸
133、134‧‧‧加熱板
133a‧‧‧上表面
134a‧‧‧外緣
134b‧‧‧背側
135‧‧‧加熱器
136、137‧‧‧管
138‧‧‧功率源
139‧‧‧感測訊號接收器
140‧‧‧夾持控制單元
141‧‧‧配接器
141a‧‧‧冷卻通道
142‧‧‧流體
143‧‧‧絕熱器
144‧‧‧升降箍組件
145‧‧‧箍襯套
145a‧‧‧內壁
145b‧‧‧高度
146‧‧‧箍主體
147‧‧‧升降指
147a‧‧‧尖端
150‧‧‧真空系統
151‧‧‧聚焦環
152、153‧‧‧開口
160‧‧‧升降機
161‧‧‧波紋管
169‧‧‧致動器

Claims (20)

  1. 一種負載閘室,包含:一腔室主體,該腔室主體界定互相隔離的一第一腔室容積和一第二腔室容積,其中該第一腔室容積及該第二腔室容積中之各者經由配置以在該第一腔室容積及該第二腔室容積中之各者中傳送基板的兩個開口能選擇性連接至兩個處理環境;一加熱基板支撐組件,該加熱基板支撐組件設置在該第二腔室容積內,其中該加熱基板支撐組件經配置以支撐及加熱放置於該加熱基板支撐組件上的一基板;以及一遠端電漿源,該遠端電漿源連接至該第二腔室容積,用以供應一電漿給該第二腔室容積。
  2. 如請求項1所述之負載閘室,進一步包含一絕熱器,該絕熱器設置在該加熱基板支撐組件與該腔室主體間的該第二腔室容積內,其中該加熱基板支撐組件不直接接觸該腔室主體。
  3. 如請求項2所述之負載閘室,其中該腔室主體包含:一第一腔室主體,該第一腔室主體具有一頂壁、多個側壁和一腔室底部,其中該頂壁、該等側壁和該腔室底部界定該第一腔室容積;以及一第二腔室主體,該第二腔室主體堆疊在該第一腔室主 體的該頂壁上,其中該第二腔室主體和該第一腔室主體的該頂壁界定該第二腔室容積。
  4. 如請求項3所述之負載閘室,其中該加熱基板支撐組件包含:一上加熱板,該上加熱板具有一上表面,用以支撐放置於該上表面上的一基板;一下加熱板,該下加熱板附接至該上加熱板的一下表面;以及一加熱器,該加熱器設置在該上加熱板與該下加熱板之間。
  5. 如請求項4所述之負載閘室,其中該加熱基板支撐組件進一步包含一懸臂管,該懸臂管附接至該下加熱板的一中心。
  6. 如請求項4所述之負載閘室,其中該加熱基板支撐組件進一步包含一夾持機制,該夾持機制經配置以將該基板夾持在該上加熱板的該上表面。
  7. 如請求項3所述之負載閘室,進一步包含一噴灑頭,該噴灑頭設置在由該第二腔室主體界定的一中央開口內,且該噴灑頭經配置以提供處理氣體給該第二腔室容積。
  8. 如請求項3所述之負載閘室,進一步包含一燈具組件,該燈具組件設置在該第二腔室主體上方且經配置以朝該第二腔室容積提供輻射能。
  9. 如請求項3所述之負載閘室,其中穿過該第一腔室主體的該腔室底部形成一下真空埠,且該下真空埠提供一泵抽通道至該第一腔室容積。
  10. 如請求項9所述之負載閘室,其中穿過該第一腔室主體的該等側壁形成一上真空埠,該上真空埠通往該第二腔室容積。
  11. 如請求項1所述之負載閘室,進一步包含一升降箍組件,該升降箍組件設置在該第二腔室容積內,其中該升降箍組件包含一箍主體,該箍主體附接至一升降機,且該箍主體圍繞該加熱基板支撐組件。
  12. 如請求項11所述之負載閘室,其中該升降箍組件進一步包含三個或更多個升降指,該三個或更多個升降指垂直向下延伸且從該箍主體放射狀向內延伸,且該三個或更多個升降指經配置以接收及支撐一基板。
  13. 如請求項11所述之負載閘室,其中該升降箍組件進 一步包含附接至該箍主體的一箍襯套,該箍襯套從該箍主體向上延伸,該箍襯套並提供一圓形侷限壁圍繞該加熱基板支撐組件。
  14. 一種雙負載閘室,包含:一腔室主體,該腔室主體界定彼此絕緣的一第二腔室容積和一第一腔室容積,其中該第一腔室容積和該第二腔室容積中之各者經由配置以在該第一腔室容積及該第二腔室容積中之各者中傳送基板的兩個開口能選擇性連接至兩個處理環境;一基板支撐組件,該基板支撐組件經配置以支撐設置在該第一腔室容積內的一基板;一加熱基板支撐組件,該加熱基板支撐組件經配置以支撐及加熱設置在該第二腔室容積內的一基板;以及一噴灑頭組件,該噴灑頭組件設置在該加熱基板支撐組件上方,其中該噴灑頭組件經配置以提供一或更多處理氣體給該第二腔室容積。
  15. 如請求項14所述之負載閘室,進一步包含:一箍主體,該箍主體圍繞設置在該第二腔室容積內的該加熱基板支撐組件,其中該箍主體可垂直移動;以及一箍襯套,該箍襯套附接至該箍主體,其中當該箍主體處於一較低位置時,該箍襯套建立一圓形侷限壁圍繞該加熱基板支撐組件。
  16. 如請求項14所述之負載閘室,進一步包含一絕熱器,該絕熱器設置在該加熱基板支撐組件與該腔室主體之間,其中該加熱基板支撐組件不直接接觸該腔室主體。
  17. 如請求項14所述之負載閘室,進一步包含耦接至該驅主體的三個或更多個升降指,且該等升降指經配置以在該加熱基板支撐組件與多個外接基板傳送裝置間傳送多個基板。
  18. 一種自一基板移除含鹵素殘留物的方法,該方法包含以下步驟:經由一雙負載閘室的一送進負載閘中的一第一開口,將一基板傳送進入該送進負載閘中,該雙負載閘室耦接至一基板處理系統;經由該送進負載閘中的一第二開口,將該基板從該送進負載閘移出且傳送進入該基板處理系統中;在該基板處理系統中,以包含鹵素的化學組成蝕刻該基板;經由該雙負載閘室的一送出負載閘中的一第一開口,將該基板從該基板處理系統移出且傳送進入該送出負載閘中;在該送出負載閘中,自該蝕刻基板移除含鹵素殘留物,其中移除該含鹵素殘留物包含以下步驟: 加熱該送出負載閘的一加熱基板支撐組件上的該蝕刻基板;以及使一處理氣體流入該送出負載閘;及經由該送出負載閘中的一第二開口,將該基板從該送出負載閘移出。
  19. 如請求項18所述之方法,其中移除含鹵素殘留物的步驟進一步包含利用圍繞該加熱基板支撐組件的一箍襯套,建立一對稱處理環境。
  20. 如請求項19所述之方法,其中使該處理氣體流入的步驟包含在一遠端電漿源中產生該處理氣體的一電漿。
TW101106559A 2011-03-01 2012-02-29 雙負載閘配置之減弱及剝離處理腔室 TWI555058B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161448027P 2011-03-01 2011-03-01

Publications (2)

Publication Number Publication Date
TW201237941A TW201237941A (en) 2012-09-16
TWI555058B true TWI555058B (zh) 2016-10-21

Family

ID=46758477

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101106559A TWI555058B (zh) 2011-03-01 2012-02-29 雙負載閘配置之減弱及剝離處理腔室

Country Status (6)

Country Link
US (2) US10453694B2 (zh)
JP (1) JP6114698B2 (zh)
KR (1) KR101895307B1 (zh)
CN (1) CN103403852B (zh)
TW (1) TWI555058B (zh)
WO (1) WO2012118897A2 (zh)

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2360720A1 (de) * 2010-02-23 2011-08-24 Saint-Gobain Glass France Vorrichtung zum Positionieren von mindestens zwei Gegenständen, Anordnungen, insbesondere Mehrschichtkörperanordnungen, Anlage zum Prozessieren, insbesondere zum Selenisieren, von Gegenständen, Verfahren zum Positionieren von mindestens zwei Gegenständen
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
KR101904146B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 및 라디칼 구속을 위한 방법 및 장치
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
US9464732B2 (en) * 2012-04-26 2016-10-11 Applied Materials, Inc. Apparatus for uniform pumping within a substrate process chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014150260A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101574740B1 (ko) 2013-08-28 2015-12-04 (주)젠 기상식각 및 세정을 위한 플라즈마 장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN104600000A (zh) * 2013-10-30 2015-05-06 沈阳芯源微电子设备有限公司 一种基板周边吸附烘烤结构
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
WO2015080900A1 (en) * 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150214066A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6219227B2 (ja) 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378992B2 (en) * 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US20160068969A1 (en) * 2014-09-05 2016-03-10 Applied Materials, Inc. Integrated processing for microcontamination prevention
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
GB201419210D0 (en) * 2014-10-29 2014-12-10 Spts Technologies Ltd Clamp assembly
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6454201B2 (ja) * 2015-03-26 2019-01-16 東京エレクトロン株式会社 基板搬送方法及び基板処理装置
KR101680071B1 (ko) * 2015-05-18 2016-11-28 (주)에스티아이 열처리 장치 및 열처리 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106548958B (zh) * 2015-09-18 2020-09-04 中微半导体设备(上海)股份有限公司 一种整合多功能腔以及基片处理***
CN106548957B (zh) * 2015-09-18 2020-05-08 中微半导体设备(上海)股份有限公司 一种处理腔以及基片处理***
KR102615853B1 (ko) * 2015-10-15 2023-12-21 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 시스템
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
JP7190905B2 (ja) * 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体基板から炭素汚染物質及び表面酸化物を除去するための処理チャンバを有する真空プラットフォーム
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US20180254203A1 (en) * 2017-03-02 2018-09-06 Applied Materials, Inc. Apparatus and method to reduce particle formation on substrates in post selective etch process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR101928008B1 (ko) * 2017-04-24 2018-12-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019078988A1 (en) * 2017-10-16 2019-04-25 Applied Materials, Inc. HEATED SUPPORT BASE AT HIGH TEMPERATURE IN A DUAL LOAD LOCK CONFIGURATION
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102469302B1 (ko) * 2018-08-01 2022-11-22 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 반응 챔버 및 플라즈마 디바이스
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP6960390B2 (ja) * 2018-12-14 2021-11-05 東京エレクトロン株式会社 給電構造及びプラズマ処理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (ja) 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
JP2021042409A (ja) * 2019-09-09 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及び温度制御方法
TW202143368A (zh) * 2020-01-07 2021-11-16 日商東京威力科創股份有限公司 水蒸氣處理裝置及水蒸氣處理方法、基板處理系統、以及乾蝕刻方法
JP7418301B2 (ja) 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法
KR102590738B1 (ko) * 2021-10-19 2023-10-18 주식회사 한화 기판 처리 장치 및 이를 이용한 기판 처리 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004319540A (ja) * 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
JPH07321046A (ja) * 1994-05-23 1995-12-08 Hitachi Ltd 薄膜形成装置及び薄膜形成方法
US5895549A (en) * 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
KR100280772B1 (ko) 1994-08-31 2001-02-01 히가시 데쓰로 처리장치
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
TW332311B (en) 1996-03-08 1998-05-21 Nat Denki Kk The substrate treatment apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
JPH10189541A (ja) * 1996-12-25 1998-07-21 Tokyo Ohka Kogyo Co Ltd 減圧処理方法
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
ATE533178T1 (de) 1998-09-09 2011-11-15 Texas Instruments Inc Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
JP3161450B2 (ja) * 1999-02-02 2001-04-25 日本電気株式会社 基板処理装置、ガス供給方法、及び、レーザ光供給方法
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
DE60142320D1 (de) 2000-03-13 2010-07-22 Canon Kk Verfahren zur Herstellung eines Dünnfilms
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) * 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US20030092278A1 (en) 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
WO2004038777A1 (ja) * 2002-10-24 2004-05-06 Tokyo Electron Limited 熱処理装置
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP2004241420A (ja) * 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US6916528B2 (en) * 2003-05-30 2005-07-12 General Electric Company Methods for manufacturing silver multilayered films and the articles obtained therefrom
JP4540953B2 (ja) * 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4464158B2 (ja) 2004-02-13 2010-05-19 キヤノン株式会社 生化学反応カートリッジ
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP4535499B2 (ja) 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) * 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7846845B2 (en) 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP5349341B2 (ja) * 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法
JP4927623B2 (ja) * 2007-03-30 2012-05-09 東京エレクトロン株式会社 ロードロック装置の昇圧方法
JP5795162B2 (ja) * 2007-05-18 2015-10-14 ブルックス オートメーション インコーポレイテッド ロードロック高速排気および通気
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
WO2009091189A2 (en) * 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
CN102027574B (zh) * 2008-02-08 2014-09-10 朗姆研究公司 等离子体处理室部件的保护性涂层及其使用方法
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US8323592B2 (en) * 2008-03-26 2012-12-04 The Foundation For The Promotion Of Industrial Science Method and apparatus for recovery of rare earth element
US8373086B2 (en) * 2008-04-07 2013-02-12 Charm Engineering Co., Ltd. Plasma processing apparatus and method for plasma processing
CN102177571A (zh) * 2008-10-07 2011-09-07 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101071344B1 (ko) 2009-07-22 2011-10-07 세메스 주식회사 기판 처리 장치 및 방법
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
WO2011031521A2 (en) 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
KR101147658B1 (ko) 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법
JP5183659B2 (ja) * 2010-03-23 2013-04-17 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
WO2012118606A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Thin heated substrate support
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
CN107164742B (zh) 2011-03-01 2020-10-16 应用材料公司 具有共享泵的真空腔室
KR101904146B1 (ko) * 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 및 라디칼 구속을 위한 방법 및 장치
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
CN106847737B (zh) * 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
JP2004319540A (ja) * 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber

Also Published As

Publication number Publication date
KR20140012694A (ko) 2014-02-03
JP2014511575A (ja) 2014-05-15
WO2012118897A2 (en) 2012-09-07
US11177136B2 (en) 2021-11-16
WO2012118897A3 (en) 2012-11-15
JP6114698B2 (ja) 2017-04-12
CN103403852B (zh) 2016-06-08
TW201237941A (en) 2012-09-16
KR101895307B1 (ko) 2018-10-04
US10453694B2 (en) 2019-10-22
CN103403852A (zh) 2013-11-20
US20130337655A1 (en) 2013-12-19
US20200027742A1 (en) 2020-01-23

Similar Documents

Publication Publication Date Title
TWI555058B (zh) 雙負載閘配置之減弱及剝離處理腔室
US10943788B2 (en) Abatement and strip process chamber in a load lock configuration
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
TWI407521B (zh) 用以從蝕刻基板有效地移除鹵素殘餘物之設備
KR101456894B1 (ko) 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US20120285621A1 (en) Semiconductor chamber apparatus for dielectric processing
KR20150130521A (ko) 할로겐화물 스캐빈징을 위한 프로세싱 시스템들 및 방법들
WO2015115002A1 (ja) 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
TW201511129A (zh) 用於昇華蝕刻製程之低溫電漿退火製程
TWI750364B (zh) 形成鈦矽化物區域之方法
US11631590B2 (en) Substrate processing method, substrate processing apparatus and cleaning apparatus
US20110303639A1 (en) Methods for processing substrates having metal hard masks
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
US20150064880A1 (en) Post etch treatment technology for enhancing plasma-etched silicon surface stability in ambient
TW202315685A (zh) 控制製程飄移的製程系統與方法