TWI527140B - 具有共享資源之處理腔室及其使用方法 - Google Patents

具有共享資源之處理腔室及其使用方法 Download PDF

Info

Publication number
TWI527140B
TWI527140B TW100112697A TW100112697A TWI527140B TW I527140 B TWI527140 B TW I527140B TW 100112697 A TW100112697 A TW 100112697A TW 100112697 A TW100112697 A TW 100112697A TW I527140 B TWI527140 B TW I527140B
Authority
TW
Taiwan
Prior art keywords
substrate
heat transfer
transfer fluid
processing
substrate support
Prior art date
Application number
TW100112697A
Other languages
English (en)
Other versions
TW201218297A (en
Inventor
李傑瑞阿瑪
克魯斯詹姆士P
蓋葉安德魯恩
可貝可立琳
徐�明
薩理納斯馬丁傑夫
喜納安克
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201218297A publication Critical patent/TW201218297A/zh
Application granted granted Critical
Publication of TWI527140B publication Critical patent/TWI527140B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

具有共享資源之處理腔室及其使用方法
本發明之實施例大體係關於基板處理系統。
為助於提高半導體產品的製造速度,莫不期處理系統能同時製造多個基板。習知處理系統可配置成叢集工具,該習知處理系統包含耦接移送室的兩個或兩個以上處理腔室。每一處理腔室透過資源供應器提供一些處理資源,以協助在該處理腔室內進行特定製程。處理資源一例為熱傳流體,該熱傳流體由熱傳流體供應器提供,以協助控制處理腔室之一或多個零件的溫度。通常,處理系統中的每個處理腔室具有各自與處理腔室耦接的熱傳流體供應器。每一熱傳流體供應器包括儲液槽,該儲液槽維持呈預定溫度。然而,使熱傳流體供應器之每個儲液槽內的熱傳流體維持呈預定溫度需要大量能量,導致系統成本高昂且效率低下。
因此,本發明提供具有共享資源之處理腔室及其使用方法,以增進基板製造效率及降低處理系統成本。
茲提供具有共享資源之處理腔室及其使用方法。在一些實施例中,基板處理系統包括第一處理腔室,該第一處理腔室具有第一基板支撐件設於第一處理腔室內,其中第一基板支撐件具有第一加熱器和第一冷卻板,使熱傳流體循環通過第一冷卻板而控制第一基板支撐件的溫度;第二處理腔室,該第二處理腔室具有第二基板支撐件設於第二處理腔室內,其中第二基板支撐件具有第二加熱器和第二冷卻板,以控制第二基板支撐件的溫度;以及共享熱傳流體源,該熱傳流體源具有提供熱傳流體至第一冷卻板與第二冷卻板的出口和接收來自第一冷卻板與第二冷卻板之熱傳流體的入口。
在一些實施例中,在具有共享處理資源之雙室處理系統中處理基板的方法包括利用設於第一基板支撐件之第一加熱器,加熱置於雙室處理系統之第一處理腔室中第一基板支撐件上的第一基板達第一溫度,及使熱傳流體流過設於第一基板支撐件之第一冷卻板以維持第一基板之第一溫度;利用設於第二基板支撐件之第二加熱器,加熱置於雙室處理系統之第二處理腔室中第二基板支撐件上的第二基板達第一溫度,及使熱傳流體流過設於第二基板支撐件之第二冷卻板以維持第二基板之第一溫度,其中熱傳流體係由共享熱傳流體源供應到第一和第二冷卻板;以及當第一處理腔室和第二處理腔室內的各基板達第一溫度時,進行第一製程來處理第一和第二基板。
在一些實施例中,在具有共享處理資源之雙室處理系統中處理基板的方法包括使源於熱傳流體源之熱傳流體流過第一基板支撐件,以維持置於雙室處理系統之第一處理腔室中第一基板支撐件上的第一基板呈第一溫度;使源於熱傳流體源之熱傳流體流過第二基板支撐件,以維持置於雙室處理系統之第二處理腔室中第二基板支撐件上的第二基板呈第一溫度,其中熱傳流體源平行耦接第一和第二基板支撐件;以及當第一處理腔室和第二處理腔室內的各基板達第一溫度時,進行第一製程來處理第一和第二基板。
本發明之其它和進一步之實施例將說明於後。
茲提供具有共享資源之處理腔室及其使用方法。本發明之方法和設備有助於同時提供共享資源(如共享熱傳流體供應器)至處理系統中的複數個處理腔室,藉以提高處理系統效率及降低操作成本。
參照第1圖,在一些實施例中,處理系統100大致包含真空密封處理平臺104、工作介面102和系統控制器144。可依所述教示適當修改之處理系統實例包括整合式處理系統、處理系統系列之一(如 GTTM)、ADVANTEDGETM處理系統,或購自美國加州聖克拉拉之應用材料公司的其它適合處理系統。應理解其它處理系統(包括其它製造商製造之系統)亦適於受惠於本發明。
平臺104包括複數個處理腔室110、111、112、132、128、120(圖示六個)和耦接移送室136的至少一個裝載鎖定室122(圖示兩個)。每一處理腔室包括流量閥或其它選擇性密封開口,以選擇性流體連通地耦接處理腔室之各內部容積和移送室136之內部容積。同樣地,每一裝載鎖定室122包括埠口,以選擇性流體連通地耦接裝載鎖定室122之各內部容積和移送室136之內部容積。工作介面102經由裝載鎖定室122耦接移送室136。
在一些實施例中,如第1圖所示,處理腔室110、111、112、132、128、120成對分組成兩兩相鄰設置的處理腔室110與111、112與132、128與120。在一些實施例中,各對處理腔室為雙室處理系統(101、103、105)的一部分,其中各對處理腔室設在具某些共享資源的共用外殼內,如本文所論述的。每一雙室處理系統101、103、105包括一對彼此隔開的個別處理容積。例如,各雙室處理系統可包括分別具第一和第二處理容積的第一處理腔室和第二處理腔室。第一和第二處理容積可互相隔離,以助於實質地個別處理各處理腔室內的基板。雙室處理系統中隔離處理腔室之處理容積有利於減少或消除若多基板處理系統之處理容積於處理時為流體連通地耦接可能產生的處理問題。
此外,雙室處理系統更有益於採用共享資源,該等共享資源有助於減少系統佔地面積、硬體費用、公用設備使用和成本、維修等,同時提高基板產量。例如,如第1圖所示,處理腔室可配置成在各處理腔室110與111、112與132、128與120間及/或在各雙室處理系統101、103、105之各對處理腔室中共享處理資源146A、146B、146C(統稱146)(亦即製程氣體供應器、電源等)。其它共享硬體及/或資源實例可包括一或多個製程前線與粗抽泵、交流(AC)分配與直流(DC)電源、冷卻水分配、冷卻器、多通道熱控制器、氣體分配盤、控制器等。可依本發明修改之雙室處理系統之實例描述於Ming Xu等人於西元2010年4月30日申請、標題名稱為「雙室處理系統(Twin Chamber Processing System)」之美國臨時專利申請案第61/330,156號。
在一些實施例中,工作介面102包含至少一個塢站108和至少一個工作介面機器人114(圖示兩個),以協助傳送基板。塢站108經配置以接受一或多個晶圓傳送盒(FOUPs)106A-B(圖示兩個)。在一些實施例中,工作介面機器人114通常包含葉片116,該葉片設在機器人114的末端且配置以經由裝載鎖定室122將基板從工作介面102傳送到處理平臺104進行處理。視情況而定,一或多個測量站118可連接工作介面102的終端126,以協助測量來自FOUP 106A-B的基板。
在一些實施例中,每一裝載鎖定室122包括耦接工作介面102的第一埠口123和耦接移送室136的第二埠口125。裝載鎖定室122可耦接壓力控制系統,該壓力控制系統抽空及讓裝載鎖定室122通風,以助於在移送室136之真空環境與工作介面102之實質周圍(如大氣)環境間傳遞基板。
在一些實施例中,移送室136內設真空機器人130。真空機器人130通常包含耦接移動臂131的一或多個傳送葉片134(圖示兩個)。例如在一些實施例中,當處理腔室110、111、112、132、128、120如第1圖所示般兩兩分組時,真空機器人130可包含兩個平行傳送葉片134,該等平行傳送葉片配置使真空機器人130可同時將兩個基板124從裝載鎖定室122傳送到各對處理腔室(110與111、112與132、128與120)。
處理腔室110、111、112、132、128、120可為任何用於基板處理的處理腔室類型。然為利用共享資源,各對處理腔室係同一類型腔室,例如蝕刻腔室、沉積腔室等。可依所述教示修改之非限定的適合蝕刻腔室之實例包括購自美國加州聖克拉拉之應用材料公司的去耦電漿源(DPS)系列之任何腔室、HARTTM、E-,或蝕刻腔室。在一些實施例中,一或多個處理腔室110、111、112、132、128、120可類似第2圖所示之處理腔室。也可採用其它蝕刻腔室,該等蝕刻腔室包括其它製造商製造的蝕刻腔室。
系統控制器144耦接處理系統100。系統控制器144藉由直接控制系統100之處理腔室110、111、112、132、128、120,或控制與處理腔室110、111、112、132、128、120和系統100相關之電腦(或控制器),控制系統100的運作。操作時,系統控制器144能收集及反饋來自各腔室和系統控制器144的資料,以最佳化系統100的性能。
系統控制器144通常包括中央處理單元(CPU)138、記憶體140和支援電路142。CPU 138可為任一類型的通用電腦處理器,該通用電腦處理器可用於工業設定。記憶體140或電腦可讀取媒體可由CPU 138存取,且可為一或更多容易取得的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟,或任何其它形式的本端或遠端數位儲存器。支援電路142以習知方式耦接CPU 138,且可包含快取記憶體儲存器、時脈電路、輸入/輸出子系統、電源等。本發明所述之方法通常可儲存於記憶體140(或儲存於特定處理腔室對的記憶體,此將說明於後)做為軟體常式,當由CPU 138執行該軟體常式時,將促使處理腔室對進行根據本發明之製程。
第2圖圖示根據本發明一些實施例,適合配合一或多個共享資源使用的二個示例性處理腔室112、132。處理腔室112、132可為任何處理腔室類型,例如第1圖所示之處理腔室。處理腔室112、132可為同樣類型的處理腔室,且在一些實施例中可為雙室處理系統(如第1圖雙室處理系統105)的一部分。在一些實施例中,各處理腔室為蝕刻腔室,且為雙室處理系統的一部分。
在一些實施例中,各處理腔室(如112、132)通常包含具內部容積240之腔室主體236,該內部容積包括處理容積238。處理容積238例如可界定在設於處理腔室112、132內以於處理時將基板226支撐其上之基板支撐基座202與設於預定位置之一或多個氣體入口(如噴淋頭228及/或噴嘴)間。
在一些實施例中,基板支撐基座202包括將基板226保持或支撐在基板支撐基座202之表面242上的機構,例如靜電夾盤、真空夾盤、基板固定夾等。例如,在一些實施例中,基板支撐基座202可包括設於靜電夾盤246內的夾持電極224。夾持電極224可分別經由一或多個匹配網路(未圖示)耦接一或多個夾持功率源(每個腔室圖示一個夾持功率源206)。一或多個夾持功率源206能以約2兆赫(MHz),或約13.56 MHz或約60 MHz之頻率產生至多12000瓦。在一些實施例中,一或多個夾持功率源206可提供連續或脈衝功率。在一些實施例中,夾持功率源可為DC源或脈衝式DC源。
在一些實施例中,基板支撐件202包括一或多個控制基板支撐表面242和其上放置的基板226之溫度的機構。例如,一或多個通道244可設置以於基板支撐表面242下方定義一或多個流動路徑供熱傳流體流動。一或多個通道244可以任何適於適當控制溫度輪廓的方式配置,該溫度輪廓遍及基板支撐表面242和處理時放置於其上之基板226的各處。在一些實施例中,一或多個通道244可設在冷卻板218內。在一些實施例中,冷卻板218設在靜電夾盤246下方。
熱傳流體可包含任何適於將熱適當傳進或傳出基板226的流體。例如,熱傳流體可為氣體(如氦氣(He)、氧氣(O2)等),或液體(如水、防凍劑,或諸如甘油、乙烯甘油、丙二醇、甲醇等醇類)。
共享熱傳流體源214可同時向各處理腔室112、132之一或多個通道244供應熱傳流體。在一些實施例中,共享熱傳流體源214可平行耦接各處理腔室112、132。例如,共享熱傳流體源214包含耦接一或多個供應導管256、260(每個腔室圖示一個)的至少一個出口232,以提供熱傳流體至各處理腔室112、132的一或多個通道244。在一些實施例中,供應導管256、260具有實質相仿的流體傳導性。本文中所用之「實質相仿的流體傳導性」係指差異為±10%以內。例如,在一些實施例中,供應導管256、260具有實質相仿的截面積和軸長,從而可提供實質相仿的流體傳導性。或者,在一些實施例中,供應導管256、260可包含不同尺寸,例如不同截面積及/或軸長,因而提供不同的流體傳導性。在該等實施例中,不同尺寸的供應導管256、260可提供不同流率之熱傳流體至各處理腔室112、132的一或多個通道244。
此外,共享熱傳流體源214包含耦接一或多個返回導管258、262(每個腔室圖示一個)的至少一個入口234,以接收來自各處理腔室112、132之一或多個通道244的熱傳流體。在一些實施例中,返回導管258、262可具有實質相仿的流體傳導性。例如,在一些實施例中,返回導管258、262可包含實質相仿的截面積和軸長。或者,在一些實施例中,返回導管258、262可包含不同尺寸,例如不同截面積及/或軸長。
共享熱傳流體源214可包括溫度控制機構,例如冷卻器及/或加熱器,以控制熱傳流體溫度。一或多個閥門或其它流量控制裝置(未圖示)可設在熱傳流體源214與一或多個通道244間,以個別控制流入各處理腔室112、132的熱傳流體流率。控制器(未圖示)可控制一或多個閥門及/或共享熱傳流體源214的操作。
操作時,共享熱傳流體源214可經由供應導管256、260提供預定溫度之熱傳流體至各處理腔室112、132的各一或多個通道244。當熱傳流體流過基板支撐件202的一或多個通道244時,熱傳流體將提供熱給基板支撐件202或自基板支撐件202移除熱,從而向基板支撐表面242與其上放置的基板226提供熱或自基板支撐表面242與其上放置的基板226移除熱。熱傳流體接著從一或多個通道244經由返回導管258、262流回共享熱傳流體源214,其中熱傳流體由共享熱傳流體源214的溫度控制機構加熱或冷卻成預定溫度。
在一些實施例中,一或多個加熱器222(每個腔室圖示一個)可設在基板支撐件202附近,以進一步協助控制基板支撐表面242的溫度。一或多個加熱器222可為任何適於控制基板溫度的加熱器類型。例如,一或多個加熱器222可為一或多個電阻式加熱器。在該等實施例中,一或多個加熱器222可耦接功率源204,該功率源配置以向一或多個加熱器222提供功率,以協助加熱一或多個加熱器222。在一些實施例中,加熱器可設在基板支撐表面242的上方或附近。或者或此外,在一些實施例中,加熱器可埋置於基板支撐件202或靜電夾盤246內。可改變一或多個加熱器的數量和配置方式,以提供對基板226之溫度的額外控制。例如,在採用超過一個加熱器的一些實施例中,加熱器可配置在複數個區域內,以協助控制基板226各處的溫度,進而提供加強之溫度控制。
基板226可由處理腔室112、132壁面的開口264進入處理腔室112、132。開口264可由流量閥266或其它機構選擇性密封,以經由開口264選擇性進入腔室內部空間。基板支撐基座202可耦接升降機構(未圖示),該升降機構可將基板支撐基座202的位置控制在適於經由開口264將基板傳送進出腔室之較低位置與適於處理之選擇性較高位置間。處理位置可經選擇以最大化特定製程的製程均勻性。處於至少一個升高之處理位置時,基板支撐基座202可設置高於開口264,以提供對稱處理區。
一或多個氣體入口(如噴淋頭228)可耦接獨立或共享的氣體供應器(圖中圖示共享氣體供應器212),以提供一或更多製程氣體至處理腔室112、132的處理容積238。例如,第2圖圖示設於處理腔室天花板268附近的噴淋頭228。然也可提供額外或替代氣體入口,例如設於處理腔室112、132之天花板或側壁,或其它適於依需求提供氣體至處理腔室112、132之位置(如處理腔室基底、基板支撐基座周圍等)的噴嘴或入口。
在一些實施例中,處理腔室112、132可採用電容耦合之射頻(RF)功率進行電漿處理,然處理腔室112、132亦可或利用感應耦合RF功率進行電漿處理。例如,基板支撐件202可內設電極220,或者基板支撐件202的導電部分可當作電極。電極可分別經由一或多個匹配網路(未圖示)耦接一或多個電漿功率源(每個處理腔室圖示一個RF功率源208)。在一些實施例中,例如當基板支撐件202由導電材料(如鋁等金屬)製成時,基板支撐件202的導電部分可做為電極,是以不需獨立電極220。一或多個電漿功率源能以約2 MHz,或約13.56 MHz或更高(如27 MHz及/或60 MHz)之頻率產生至多約5000瓦。
在一些實施例中,終點偵測系統230可耦接各處理腔室112、132,并用以決定各腔室之製程何時達預定終點。例如,終點偵測系統230可為一或多個光譜儀、質譜儀,或任何適於決定處理容積238內進行之製程終點的偵測系統。在一些實施例中,終點偵測系統230可耦接處理腔室112、132的控制器248。雖然圖中圖示用於(如可在雙室處理系統中使用的)處理腔室112、132之單一控制器248,但亦可使用個別控制器。
真空泵210可經由泵送口耦接泵送氣室,用以抽出處理腔室112、132的排氣。真空泵210可流體連通地耦接排氣出口,以依需求按路線使排氣流到適當排氣處理設備。閥門(如閘閥等)可設於泵送氣室,以協助控制排氣的流率和真空泵210的操作。
為助於控制處理腔室112、132,控制器248可為任一類型的通用電腦處理器,該通用電腦處理器可用於工業設定來控制各種腔室和子處理器。CPU 252的記憶體250或電腦可讀取媒體可為一或更多容易取得的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟,或任何其它形式的本端或遠端數位儲存器。支援電路254耦接CPU 252,以藉由習知方式支援處理器。該等電路包括快取記憶體儲存器、電源、時脈電路、輸入/輸出電路和子系統等。
本發明所述之方法通常儲存於記憶體250中做為軟體常式,當由CPU 252執行該軟體常式時,將促使處理腔室112、132進行本發明之製程。軟體常式亦可由第二CPU(未圖示)儲存及/或執行,該第二CPU遠離CPU 252控制的硬體。本發明之部分或所有方法也可於硬體中進行。故本發明可實施於軟體中並利用電腦系統執行、做為如特定應用積體電路的硬體或其它類型的硬體實施,或作為軟體與硬體的組合實施。由CPU 252執行時,軟體常式將通用電腦轉換成特定用途電腦(控制器248),該特定用途電腦控制腔室運作以進行所述方法。
例如,第3圖圖示根據本發明一些實施例,用於處理基板之方法300的流程圖。方法300可於任何適合處理腔室中進行,例如類似於上述第1圖及第2圖之處理腔室112、132的兩個或兩個以上處理腔室。
方法300通常始於步驟302,其中置於第一處理腔室之第一基板支撐件上的第一基板(如第2圖中置於處理腔室112之基板支撐件202上的基板226)經加熱達第一溫度。第一溫度可為任何促進進行預定製程所需的溫度。基板可由任何適合裝置加熱達進行特定製程所需的任何溫度。例如,在一些實施例中,基板可由埋置於第一基板支撐件的加熱器加熱,例如上述埋置於處理腔室112之基板支撐件202中的加熱器222。
接著,在步驟304中,使熱傳流體流過設於第一基板支撐件的第一冷卻板,以維持第一溫度。在一些實施例中,熱傳流體可由共享熱傳流體供應器提供,例如上述耦接處理腔室112、132的共享熱傳流體源214。在一些實施例中,冷卻板可類似上述設於處理腔室112之基板支撐件202的冷卻板218。在該等實施例中,熱傳流體可經由一或多個供應導管256提供至冷卻板218。熱傳流體可包含任何適於將熱適當傳進或傳出基板的流體。例如,熱傳流體可為氣體(如氦氣(He)、氧氣(O2)等)、或液體(如水、防凍劑,或諸如甘油、乙烯甘油、丙二醇、甲醇等醇類等)。熱傳流體可以任何維持第一溫度所需的流率提供。在一些實施例中,流率可保持為固定流率,或者在一些實施例中,動態調整流率,以維持第一溫度呈或近似預定溫度。熱傳流體亦可以預定溫度提供,例如通過加熱或冷卻共享熱傳流體源214內的熱傳流體達預定溫度設定點。
接著,在步驟306中,置於第二處理腔室之第二基板支撐件上的第二基板(如第2圖中置於處理腔室132之基板支撐件202上的基板226)經加熱達第一溫度。第一溫度可為任何促進進行預定製程所需的溫度。基板可由任何適合裝置加熱達進行特定製程所需的任何溫度。例如,在一些實施例中,基板可由埋置於第二基板支撐件中的加熱器加熱,例如上述埋置於處理腔室132之基板支撐件202中的加熱器222。
接著,在步驟308中,使熱傳流體流過設於第二基板支撐件的第二冷卻板,以維持第一溫度。在一些實施例中,熱傳流體可由共享熱傳流體供應器提供,例如上述耦接處理腔室112、132的共享熱傳流體源214。在一些實施例中,冷卻板可類似上述設於處理腔室132之基板支撐件202的冷卻板218。在該等實施例中,熱傳流體可經由一或多個供應導管260提供至冷卻板218。熱傳流體可包含任何適於將熱適當傳進或傳出基板的流體,例如上述任何流體。熱傳流體可以任何維持第一溫度所需的流率提供。在一些實施例中,此流率和提供至第一基板支撐件的熱傳流體流率一樣,或者在一些實施例中,此流率不同於提供至第一基板支撐件的熱傳流體流率。在一些實施例中,流率保持為固定流率,或者在一些實施例中,動態調整流率,以維持第一溫度呈固定溫度。在一些實施例中,第一和第二基板係平行達到第一溫度─意謂第一基板經加熱及維持呈第一溫度所需的時間和第二基板經加熱及維持呈第一溫度所需的時間係至少部分,且較佳為大部分或全部重疊。
接著,在步驟310中,進行第一製程來處理第一和第二基板。第一製程可為任何基板製造製程,例如蝕刻、沉積、退火等。在一些實施例中,處理第一基板的第一製程和處理第二基板的第一製程一樣。在一些實施例中,例如,如若溫度設定點為相同或足夠接近而可利用共享熱傳流體源214操作時,則處理第一基板的第一製程可不同於處理第二基板的第一製程。
接著,在步驟312中,在一些實施例中,改變熱傳流體流率,以實質同時將第一和第二基板的溫度調整成第二溫度。例如,可增加或減少熱傳流體流率,以於熱傳流體自基板移除熱時將第一和第二基板的溫度降低或提高至第二溫度,或於熱傳流體加熱基板時將第一和第二基板的溫度提高或降低至第二溫度。第一和第二基板的溫度可在進行第一製程處理第一和第二基板期間或之後的任何時候調整。例如,在一些實施例中,當偵測到處理第一及/或第二基板之第一製程達終點時,可將第一和第二基板的溫度調整成第二溫度。例如,在一些實施例中,可監視第一製程,並可利用各第一和第二處理腔室中的終點偵測系統,例如上述處理腔室112、132中的終點偵測系統230,來偵測第一製程之終點,。
在一些實施例中,處理第一和第二基板的第一製程可同時達到終點。在該等實施例中,接著同時調整第一和第二基板的溫度。或者,在一些實施例中,處理第一和第二基板的第一製程可不同時達到終點。在該等實施例中,終止達到終點之處理腔室內第一製程,同時讓其它腔室繼續進行製程,直到達第一終點為止。接著同時調整第一和第二基板的溫度。
視情況而定,在步驟314中,進行第二製程來處理第一和第二基板。第二製程可為任何基板製造製程,例如蝕刻、沉積、退火等。在一些實施例中,處理第一基板的第二製程和處理第二基板的第二製程一樣。在一些實施例中,處理第一基板的第二製程不同於處理第二基板的第二製程。在一些實施例中,處理第一與第二基板的第二製程可和處理第一與第二基板的第一製程一樣,或者在一些實施例中,處理第一與第二基板的第二製程可不同於處理第一與第二基板的第一製程。
在步驟314進行第二製程後,方法300通常止於步驟314,而第一和第二基板可繼續進行後續製程或額外製造步驟。
因此,本文提供具有共享資源之處理腔室及其使用方法。本發明之設備和方法有助於同時提供共享資源,如共享熱傳流體供應器,至處理系統中的一或多個處理腔室,藉以提高處理系統效率及降低操作成本。
雖然本發明已以實施例揭露如上,然在不脫離本發明之基礎範疇內,本發明當涵蓋其它和進一步之實施例。
100...系統
101、103、105...處理系統
102...工作介面
104...平臺
106A-B...FOUP
108...塢站
110、111、112、120、128、132...腔室
114、130...機器人
116、134...葉片
118...測量站
122...裝載鎖定室
123、125...埠口
124...基板
126...終端
131...移動臂
136...移送室
138...CPU
140...記憶體
142...支援電路
144...控制器
146、146A-C...處理資源
202...基座/支撐件
204、206、208...功率源
212...共享氣體供應器
214...熱傳流體源
218...冷卻板
220、224...電極
222...加熱器
226...基板
228...噴淋頭
230...終點偵測系統
232...出口
234...入口
236...腔室主體
238...處理容積
240...內部容積
242...表面
244...通道
246...靜電夾盤
248...控制器
250...記憶體
252...CPU
254...支援電路
256、258、260、262...導管
264...開口
266...流量閥
268...天花板
300...方法
302、304、306、308、310、312、314...步驟
在配合附圖參考本發明之說明性實施例後,本發明上述概要和下文詳細論述之實施例將變得更清楚易懂。然需注意所附圖式僅說明本發明典型實施例,而非用以限定本發明之精神與範疇,因為本發明可接納其它等效實施例。
第1圖圖示根據本發明一些實施例,適合偕同具有共享資源之一或多個處理腔室使用的示例性處理系統。
第2圖圖示根據本發明一些實施例,適合偕同共享資源使用的二個示例性處理腔室。
第3圖為根據本發明一些實施例之處理基板的方法。
為助於了解,各圖中相同的元件符號盡可能代表相似的元件。為清楚說明,圖式未按比例繪製並已簡化。應理解某一實施例的元件和特徵結構當可有益地併入其它實施例,在此不另外詳述。
112、132...處理腔室
202...支撐件
204、206、208...功率源
212...共享氣體供應器
214...熱傳流體源
218...冷卻板
220、224...電極
222...加熱器
226...基板
228...噴淋頭
230...終點偵測系統
232...出口
234...入口
236...腔室主體
238...處理容積
240...內部容積
242...表面
244...通道
246...靜電夾盤
248...控制器
250...記憶體
252...CPU
254...支援電路
256、258、260、262...導管
264...開口
266...流量閥
268...天花板

Claims (20)

  1. 一種基板處理系統,該基板處理系統包含:一第一處理腔室,該第一處理腔室具有一第一基板支撐件,該第一基板支撐件設於該第一處理腔室內,其中該第一基板支撐件具有一或多個通道以供一熱傳流體循環,以控制該第一基板支撐件的一溫度;一第二處理腔室,該第二處理腔室具有一第二基板支撐件,該第二基板支撐件設於該第二處理腔室內,其中該第二基板支撐件具有一或多個通道以供該熱傳流體循環,以控制該第二基板支撐件的一溫度;及一共享熱傳流體源,該共享熱傳流體源具有提供該熱傳流體至該第一基板支撐件與該第二基板支撐件各自的該一或多個通道的一出口和接收來自該第一基板支撐件與該第二基板支撐件的該熱傳流體的一入口。
  2. 如申請專利範圍第1項之基板處理系統,進一步包含:一第一夾持電極,該第一夾持電極設於該第一處理腔室的該第一基板支撐件中,用以靜電耦接一基板和該第一基板支撐件;及一第二夾持電極,該第二夾持電極設於該第二處理腔室的該第二基板支撐件中,用以靜電耦接一基板和該第二基板支撐件。
  3. 如申請專利範圍第1項之基板處理系統,進一步包含:一第一射頻(RF)電極,該第一射頻(RF)電極設於該第一基板支撐件中且配置以接收來自一RF源的RF功率;及一第二RF電極,該第二RF電極設於該第二基板支撐件中且配置以接收來自一RF源的RF功率。
  4. 如申請專利範圍第1項之基板處理系統,進一步包含:一共享氣體分配盤,用以提供一製程氣體至該第一處理腔室與該第二處理腔室。
  5. 如申請專利範圍第1項之基板處理系統,進一步包含:一中央真空移送室,其中該第一處理腔室和該第二處理腔室耦接該中央真空移送室。
  6. 如申請專利範圍第1項至第5項中任一項之基板處理系統,其中該第一基板支撐件進一步包含一第一加熱器和一第一冷卻板,其中供該熱傳流體循環的該一或多個通道設於該第一冷卻板中;及其中該第二基板支撐件進一步包含一第二加熱器和一第 二冷卻板,其中供該熱傳流體循環的該一或多個通道設於該第二冷卻板中。
  7. 如申請專利範圍第6項之基板處理系統,進一步包含:一第一入口導管,耦接在該共享熱傳流體源的該共享入口與該第一冷卻板的該第一入口間;一第一出口導管,耦接在該共享熱傳流體源的該共享出口與該第一冷卻板的該第一出口間;一第二入口導管,耦接在該共享熱傳流體源的該共享入口與該第二冷卻板的該第二入口間;及一第二出口導管,耦接在該共享熱傳流體源的該共享出口與該第二冷卻板的該第二出口間。
  8. 如申請專利範圍第7項之基板處理系統,其中該第一入口導管與該第二入口導管和該第一出口導管與該第二出口導管具有實質相等的流動傳導性。
  9. 一種在具有共享處理資源之一雙室處理系統中處理基板的方法,該方法包含以下步驟:利用設於一第一基板支撐件中之一第一加熱器,加熱置於一雙室處理系統之一第一處理腔室中該第一基板支撐件上的一第一基板達一第一溫度,及使一熱傳流體流過設於該第一基板支撐件中之一第一冷卻板,以維持該第 一基板的該第一溫度;利用設於一第二基板支撐件中之一第二加熱器,加熱置於該雙室處理系統之一第二處理腔室中該第二基板支撐件上的一第二基板達該第一溫度,及使一熱傳流體流過設於該第二基板支撐件中之一第二冷卻板,以維持該第二基板的該第一溫度,其中該熱傳流體係由一共享熱傳流體源供應到該第一冷卻板和該第二冷卻板;及當該第一處理腔室和該第二處理腔室各者內的各基板達該第一溫度時,於該第一基板和該第二基板上進行一第一製程。
  10. 如申請專利範圍第9項之方法,進一步包含以下步驟:當該第一處理腔室或該第二處理腔室中的至少一個達一製程終點時,改變該共享熱傳流體源供應到該第一冷卻板和該第二冷卻板各者的該熱傳流體的一流率,以將該第一基板和該第二基板的一溫度調整成一第二溫度;及在該第二溫度下,於該第一基板和該第二基板上進行一第二製程。
  11. 如申請專利範圍第9項之方法,進一步包含以下步驟:利用一第一終點偵測系統監視該第一處理腔室的一第一處理容積及利用一第二終點偵測系統監視該第二處理腔 室的一第二處理容積,以決定任一容積是否達到該第一製程之一終點。
  12. 如申請專利範圍第11項之方法,進一步包含以下步驟:當該第一處理容積達一第一終點時,終止該第一處理腔室和該第二處理腔室中的該第一製程。
  13. 如申請專利範圍第12項之方法,其中該第一終點係在處理該第二基板的該第二處理容積達一第二終點前達到。
  14. 如申請專利範圍第12項之方法,其中該第一終點係在處理該第二基板的該第二處理容積達一第二終點後達到。
  15. 如申請專利範圍第12項之方法,進一步包含以下步驟:在達該第一終點後,調整供應到該第一冷卻板和該第二冷卻板的該熱傳流體的該流率,以將該第一基板和該第二基板的該溫度調整成一第二溫度。
  16. 如申請專利範圍第9項之方法,進一步包含以下步驟: 當該第一處理腔室達一終點時,終止該第一處理腔室中的該第一製程,同時繼續進行該第二處理腔室中的該第一製程,直到該第二處理腔室達一終點為止;及在該第一處理腔室與該第二處理腔室均達到該第一製程的該終點後,調整供應到該第一冷卻板和該第二冷卻板的該熱傳流體的該流率,以將該第一基板和該第二基板的該溫度調整成一第二溫度。
  17. 如申請專利範圍第9項之方法,其中該熱傳流體係從該共享熱傳流體源的一共享出口供應到該第一冷卻板的一第一入口和該第二冷卻板的一第二入口,且其中該熱傳流體係從該第一冷卻板的一第一出口和該第二冷卻板的一第二出口返回該共享熱傳流體源的一共享入口。
  18. 如申請專利範圍第17項之方法,進一步包含以下步驟:使該熱傳流體以一實質相仿流率從該共享出口流到該第一冷卻板和該第二冷卻板之各者。
  19. 如申請專利範圍第17項之方法,進一步包含以下步驟:使該熱傳流體流過一第一熱傳流體路徑,該第一熱傳流體路徑係從該共享熱傳流體源的該共享出口經由該第一冷卻板而至該共享熱傳流體源的該共享入口;及 使該熱傳流體流過一第二熱傳流體路徑,該第二熱傳流體路徑係從該共享出口經由該第二冷卻板而至該共享入口,其中該第一熱傳流體路徑和該第二熱傳流體路徑具有實質相等的流動傳導性。
  20. 一種在一具有共享處理資源之雙室處理系統中處理基板的方法,該方法包含以下步驟:使一熱傳流體從一熱傳流體源流過一第一基板支撐件,以使置於一雙室處理系統之一第一處理腔室中該第一基板支撐件上的一第一基板維持在一第一溫度;使該熱傳流體從該熱傳流體源流過一第二基板支撐件,以使置於該雙室處理系統之一第二處理腔室中該第二基板支撐件上的一第二基板維持在該第一溫度,其中該熱傳流體源以並行之方式(in parallel)耦接該第一基板支撐件和該第二基板支撐件;及當該第一處理腔室和該第二處理腔室之各者內的各基板達該第一溫度時,於該第一基板和該第二基板上進行一第一製程。
TW100112697A 2010-04-30 2011-04-12 具有共享資源之處理腔室及其使用方法 TWI527140B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33001410P 2010-04-30 2010-04-30
US12/905,032 US20110269314A1 (en) 2010-04-30 2010-10-14 Process chambers having shared resources and methods of use thereof

Publications (2)

Publication Number Publication Date
TW201218297A TW201218297A (en) 2012-05-01
TWI527140B true TWI527140B (zh) 2016-03-21

Family

ID=44858567

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100112697A TWI527140B (zh) 2010-04-30 2011-04-12 具有共享資源之處理腔室及其使用方法

Country Status (6)

Country Link
US (1) US20110269314A1 (zh)
JP (1) JP2013531364A (zh)
KR (1) KR20130031237A (zh)
CN (1) CN102741974A (zh)
TW (1) TWI527140B (zh)
WO (1) WO2011136974A2 (zh)

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2014236060A (ja) * 2013-05-31 2014-12-15 東京エレクトロン株式会社 冷却システム、冷却方法、および基板処理装置
KR20160058917A (ko) * 2013-09-20 2016-05-25 어플라이드 머티어리얼스, 인코포레이티드 통합된 정전 척을 갖는 기판 캐리어
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104952974A (zh) * 2015-04-30 2015-09-30 新奥光伏能源有限公司 一种翻片设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6339057B2 (ja) * 2015-09-29 2018-06-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6554387B2 (ja) * 2015-10-26 2019-07-31 東京エレクトロン株式会社 ロードロック装置における基板冷却方法、基板搬送方法、およびロードロック装置
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10126790B2 (en) * 2016-05-05 2018-11-13 Applied Materials, Inc. Dual loop susceptor temperature control system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6775432B2 (ja) * 2017-01-24 2020-10-28 Sppテクノロジーズ株式会社 真空搬送モジュール及び基板処理装置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11204562B2 (en) 2020-03-18 2021-12-21 Xerox Corporation Fluorescent pink toners and related methods
US11453759B2 (en) 2020-03-18 2022-09-27 Xerox Corporation Fluorescent magenta latex with enhanced brightness and toners made therefrom
US11453760B2 (en) 2020-03-18 2022-09-27 Xerox Corporation Fluorescent orange latex with enhanced brightness and toners made therefrom
US11448981B2 (en) 2020-03-18 2022-09-20 Xerox Corporation Fluorescent latexes with enhanced brightness
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114318306B (zh) * 2021-12-17 2023-08-01 杭州富芯半导体有限公司 半导体工艺方法及适用该半导体工艺方法的多腔室工艺设备

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666298B2 (ja) * 1983-02-03 1994-08-24 日電アネルバ株式会社 ドライエッチング装置
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
JPH06119894A (ja) * 1992-10-06 1994-04-28 Nissin Electric Co Ltd イオン源電極冷却装置
TW262566B (zh) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JP3225170B2 (ja) * 1993-10-22 2001-11-05 東京エレクトロン株式会社 真空処理装置
JP3058392B2 (ja) * 1993-11-24 2000-07-04 東京エレクトロン株式会社 低温処理装置の冷却システム
JPH08330279A (ja) * 1995-05-29 1996-12-13 Dainippon Screen Mfg Co Ltd プラズマ処理装置
JP3526220B2 (ja) * 1998-08-20 2004-05-10 松下電器産業株式会社 半導体製造装置
JP2000331991A (ja) * 1999-03-15 2000-11-30 Sony Corp 半導体装置の製造方法
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
JP3497848B2 (ja) * 2001-09-21 2004-02-16 アプライド マテリアルズ インコーポレイテッド 反射防止膜の形成方法及び装置並びに反射防止膜
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
KR20040100755A (ko) * 2003-05-24 2004-12-02 삼성전자주식회사 반도체 소자 제조 시스템 및 이를 이용한 기판 온도조절방법
KR101022663B1 (ko) * 2003-09-08 2011-03-22 주성엔지니어링(주) 정전척에서의 냉각 가스 공급구조
JP2005210080A (ja) * 2003-12-25 2005-08-04 Tokyo Electron Ltd 温度調節方法及び温度調節装置
US20060240680A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
JP5097627B2 (ja) * 2008-06-27 2012-12-12 株式会社日立ハイテクノロジーズ 真空処理装置

Also Published As

Publication number Publication date
JP2013531364A (ja) 2013-08-01
WO2011136974A3 (en) 2012-03-01
KR20130031237A (ko) 2013-03-28
TW201218297A (en) 2012-05-01
WO2011136974A2 (en) 2011-11-03
US20110269314A1 (en) 2011-11-03
CN102741974A (zh) 2012-10-17

Similar Documents

Publication Publication Date Title
TWI527140B (zh) 具有共享資源之處理腔室及其使用方法
JP7169319B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
KR101456894B1 (ko) 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들
TWI646610B (zh) 雙腔室處理系統
JP6594960B2 (ja) ペデスタルの流体による熱制御
JP6268095B2 (ja) 半導体処理におけるエッジリングの熱管理
US10312062B2 (en) Temperature control system and temperature control method
JP4990636B2 (ja) 搬送トレーを用いた真空処理装置
TWI728440B (zh) 用於減少基板處理夾盤冷凝的氣流
KR101039085B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
US11670513B2 (en) Apparatus and systems for substrate processing for lowering contact resistance
CN213546294U (zh) 一种静电吸盘及等离子体处理设备
KR20230006910A (ko) 기판을 프로세싱하기 위한 방법들 및 장치들