TWI520220B - 用於使用發光二極體進行材料處理的方法 - Google Patents

用於使用發光二極體進行材料處理的方法 Download PDF

Info

Publication number
TWI520220B
TWI520220B TW103106535A TW103106535A TWI520220B TW I520220 B TWI520220 B TW I520220B TW 103106535 A TW103106535 A TW 103106535A TW 103106535 A TW103106535 A TW 103106535A TW I520220 B TWI520220 B TW I520220B
Authority
TW
Taiwan
Prior art keywords
substrate
layer
led light
leds
led
Prior art date
Application number
TW103106535A
Other languages
English (en)
Other versions
TW201434090A (zh
Inventor
亞瑟 賽菲羅普羅
安德魯 漢瑞魯克
Original Assignee
精微超科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 精微超科技公司 filed Critical 精微超科技公司
Publication of TW201434090A publication Critical patent/TW201434090A/zh
Application granted granted Critical
Publication of TWI520220B publication Critical patent/TWI520220B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Led Device Packages (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

用於使用發光二極體進行材料處理的方法
本發明係關於材料處理,且詳言之係關於用於使用發光二極體(light-emitting diode,LED)進行材料處理的方法。
本文中所提及之任何公開案或專利文獻的整個揭示內容以引用之方式被併入。
在諸如半導體器件之器件的製造中常常需要使用光來處理材料。在一些個例中,製程涉及熱反應(熱製程),而在其他個例中,製程涉及光反應(光製程),而又在其他個例中,製程涉及熱反應及光反應兩者。
正處理之材料可為有機或無機材料。有機材料作為光阻材料針對多種應用諸如針對抗反射塗層而用於半導體製造中。有機材料亦用於各種類型之電子器件的作用中層中,諸如用於OLED中。無機材料包括(例如)隔離或層間介電質。
傳統地,半導體製造應用中之某些材料(且特定有機材料)的處理包括使用諸如熱板之習知構件進行低溫加熱(例如,達150℃或以下)歷時相對長之時間段(例如,若 干分鐘)。針對有機材料之其他退火技術正諸如藉由使用光束來探索,如例如在US P 6,784,017中所揭示。
預期到,將需要使用漸增之較高溫度來處理有機材料及無機材料兩者,且需要增加較短之持續時間。因此需要可使用光歷時短持續時間且在較高溫度下(相較於先前技術中目前可用的持續時間及溫度)提供材料處理的系統及方法。
本發明之一態樣係一種材料處理系統。該材料處理系統可包括短波長LED(例如,λA<365nm)與長波長LED(例如,λB>400nm)的一組合。該材料層處理系統可用以使GaN器件(例如,製造中之GaN LED)退火,以藉由改善GaN器件之p型層的傳導率來改善其效能。短波長輻照可用以活化一光製程,該光製程取決於光子能量(亦即,光製程)且具有一溫度相依反應速率,而該長波長輻照可用以增加下伏基板的溫度,該下伏基板加熱該材料層,藉此增加該光製程的該溫度相依反應速率。
本發明之另一態樣係一種用於處理形成於一基板上之一材料層的鐳射材料處理系統。該鐳射材料處理系統具有沿著一系統軸線配置的一夾盤。該夾盤具有一底座及在該底座之頂部上的一熱絕緣層,其中該熱絕緣層設置以支撐該基板。該鐳射材料處理系統亦具有一LED光源總成,其沿著該系統軸線配置且與該夾盤軸向地隔開以界定該LED光源總成與該夾盤之間的一光透射區。該LED光源總成包含駐留於大體平行於該基板之一平面中的LED光源之一陣列,其中 每一LED光源包含複數個LED,該等LED發射通過該光透射區朝向該夾盤的光。該LED光源總成具有一總數N LS 個LED光源,其中N LS 係在80 N LS 800之範圍內,且其中該複數個LED由分別發射具有波長λA<365nm及波長λB的第一LED及第二LED組成,其中400nm<λB<2μm。
本發明之另一態樣係如上文所描述之雷射材料處理系統,其中每一LED光源包括LED之m×m陣列,其中4 m 10。
本發明之另一態樣係如上文所描述之雷射材料處理系統,其中該LED光源總成具有一總數N LED 個LED,其中N LED 係在5000 N LED 50000的範圍內。
本發明之另一態樣係如上文所描述之雷射材料處理系統,其更包含一控制器,該控制器可操作地連接至該等LED光源,且經調適以控制由該等LED發射之一光量。
本發明之另一態樣係如上文所描述之雷射材料處理系統,其中該夾盤係可旋轉的。
本發明之另一態樣係如上文所描述之雷射材料處理系統,其更包含相鄰於LED光源之該陣列配置的一漫射體,該漫射體設置以漫射或散射來自該等LED的光。
本發明之另一態樣係一種處理藉由一基板可操作地支撐之一材料層的方法。該方法包括將該基板置放於具有LED光源之一陣列的一LED光源總成下方,該等LED光源駐留於大體平行於該基板的一平面中,其中每一LED光源包含複數個LED,該複數個LED發射通過一自由空間光透射區朝向一夾盤的光,其中該LED光源總成具有一總數N LS 個 LED光源,其中N LS 係在80 N LS 800之範圍內,且其中該複數個LED包括分別發射具有波長λA<365nm及λB>400nm之光的第一LED及第二LED。該方法亦包括啟動該等第一LED以藉由第一LED光輻照該材料層,從而以一第一反應速率起始該材料層中的一製程。該方法更包括啟動該等第二LED以藉由第二LED光通過該材料層輻照該基板,以形成一經加熱之基板。該方法亦包括使用該經加熱之基板加熱該材料層以使得該製程具有大於該第一反應速率的一第二反應速率。
本發明之另一態樣係如上文所描述之方法,其中該材料層包含已使用一光微影製程曝光的光阻。
本發明之另一態樣係如上文所描述之方法,其更包括在該等第一LED及該等第二LED之該啟動期間旋轉該基板,其中該旋轉具有至少300RPM的一旋轉速率。
本發明之另一態樣係如上文所描述之方法,其更包括使該第一LED光及該第二LED光通過一漫射體以使該第一LED光及該第二LED光在該材料層處的一照射均一性量增加。
本發明之另一態樣係一種處理一光阻層的方法,該光阻層藉由一基板可操作地支撐且具有一溫度相依光敏性。該方法包括將該基板安置於具有LED光源之一陣列的一LED光源總成下方,該等LED光源駐留於大體平行於該基板的一平面中,其中每一LED光源包含複數個LED,該複數個LED可發射通過一光透射區朝向該光阻層的具有400nm或更長之波長的光,其中該LED光源總成具有一總數N LS 個 LED光源,其中N LS 係在範圍80 N LS 800內。該方法亦包括藉由LED光通過該光阻層輻照該基板歷時2秒或更少的一持續時間,以形成具有小於450℃之一溫度的一經加熱基板。該方法更包括使用該經加熱之基板來加熱該光阻層以使得該光阻具有增加之光敏性。
本發明之另一態樣係如上文所描述之方法,其更包括在該基板之該輻照期間旋轉該基板。
本發明之另一態樣係如上文所描述之方法,其更包括使該LED光通過一漫射體以使該LED光在該光阻層處的一照射均一性量增加。
本發明之另一態樣係一種處理藉由一基板可操作地支撐之一光阻層的方法。該方法包括執行該光阻層之一光微影曝光,藉此在該光阻層中形成光阻特徵。該方法亦包括藉由來自複數個第一LED的具有一第一波長λA<365nm之第一光輻照該光阻層歷時2秒或更小的一持續時間,以光活化該光阻層。
本發明之另一態樣係如上文所描述之方法,其中該光阻層具有一溫度相依反應速率。該方法更包括藉由來自複數個第二LED的具有一第二波長λB>400nm之第二光通過該光阻層輻照該基板歷時2秒或更小之時間,以將該基板加熱至不大於450℃的一溫度。該方法亦包括藉由來自基板之熱來加熱該光阻層,藉此使該光阻層之該溫度相依反應速率增加。
本發明之另一態樣係如上文所描述之方法,其更包含以300RPM或更大之一速率旋轉該基板。
本發明之另一態樣係如上文所描述之方法,其中該複數個第一LED及該複數個第二LED界定在5000與50000之間的第一LED及第二LED的一總數。
本發明之另一態樣係如上文所描述之方法,其更包括使該第一光通過一漫射體以使得該第一光與無該漫射體情況相比較在該光阻層處具有較大均一性。
本發明之另一態樣係如上文所描述之方法,其更包括使該第二光通過一漫射體以使得該第二光與無該漫射體情況相比較在該光阻層處具有較大均一性。
本發明之另一態樣係如上文所描述之方法,其更包括相鄰於該基板之一表面提供一反應性氣體;及通過該反應性氣體藉由第一光及該第二光輻照該基板,其中該反應性氣體與該光阻層反應。
本發明之另一態樣係如上文所描述之方法,其中與該光阻層之該反應係一蝕刻製程。
本發明之另一態樣係如上文所描述之方法,其中該反應性氣體包括藉由該第一光及該第二光中之至少一者與氧反應形成的臭氧。
本發明之另一態樣係一種處理藉由一基板可操作地支撐之一材料層的方法。該方法包括藉由來自具有一第一波長λA<365nm之複數個第一LED的第一光照射該材料層歷時在0.1秒與2秒之間的一持續時間,以在該材料層內起始具有一溫度相依反應速率的一製程。
本發明之另一態樣係如上文所描述之方法,其更包括藉由來自複數個第二LED的具有一第二波長λB>400nm 之第二光通過該材料層輻照該基板歷時2秒或更小之一時間,以將該基板加熱至不大於450℃的一溫度;及藉由來自該基板的熱加熱該材料層,藉此使該材料層之該製程的該溫度相依反應速率增加。
本發明之另一態樣係如上文所描述之方法,其中該材料層係形成於該基板中且具有一缺陷密度的一經摻雜層,且其中該製程包含釋放該經摻雜層中捕獲的氫,藉此減少該缺陷密度。
本發明之另一態樣係如上文所描述之方法,其中該材料層包含一未經固化層間介電質材料,且其中該製程包含自該未經固化層間介電質材料釋放揮發性化合物。
本發明之另一態樣係一種處理一材料層之方法,該材料層藉由一基板可操作地支撐且具有具一反應速率之至少一製程。該方法包括藉由來自複數個LED的具有一波長λB>400nm之光通過該材料層輻照該基板歷時在0.1秒至10秒之範圍內的一時間,以將該基板加熱至在200℃至500℃之範圍內的一溫度。該方法亦包括藉由來自該基板的熱加熱該材料層,藉此使該材料層之該至少一製程的溫度相依反應速率增加。
本發明之另一態樣係如上文所描述之方法,其中LED之數目係在5000至50000之範圍內。
本發明之另一態樣係如上文所描述之方法,其中該時間係在0.1秒與1秒之間。
本發明之另一態樣係如上文所描述之方法,其中該材料層包含光阻,且其中該至少一製程包括分別具有第一 溫度相依反應速率及第二溫度相依反應速率的一酸活化製程及一酸失活製程,其中該第一溫度相依反應速率大於該第二溫度相依反應速率,且其中加熱該材料層使該第一溫度相依反應速率與該第二溫度反應速率之間的該差異增加。
額外特徵及優點在以下【實施方式】中進行闡述,且對於熟習此項技術者自描述內容部分地將易於係顯而易見,或藉由實踐如【實施方式】及其申請專利範圍以及附加圖式中描述的實施例而認識到。應理解,前述概述及以下【實施方式】兩者僅係例示性的,且意欲提供綜述或框架從而理解申請專利範圍的本質及特性。
10‧‧‧實例基於LED之材料處理系統
20‧‧‧夾盤
24‧‧‧底座
30‧‧‧熱隔離層
40‧‧‧基板
42‧‧‧上表面
46‧‧‧材料層
50‧‧‧實例LED光源總成
51‧‧‧支撐部件
52‧‧‧前側
54‧‧‧背側
56‧‧‧自由空間光透射區
60‧‧‧LED光源之陣列
62‧‧‧LED光源
62A‧‧‧LED光源
62B‧‧‧LED光源
63‧‧‧光源平面
64‧‧‧光
64A‧‧‧光
64B‧‧‧光
64S‧‧‧光
66‧‧‧發光二極體(LED)
66A‧‧‧發光二極體(LED)
66B‧‧‧發光二極體(LED)
70‧‧‧控制器
72‧‧‧處理器
74‧‧‧記憶體器件
80‧‧‧冷卻流體供應源
82‧‧‧冷卻線路
84‧‧‧冷卻流體
100‧‧‧處理腔室
102‧‧‧內部
150‧‧‧漫射體
A1‧‧‧垂直系統軸線
AL‧‧‧LED光源軸線
D1‧‧‧軸向距離
dC‧‧‧中心至中心間距
dS‧‧‧間距距離
dX‧‧‧尺寸
dY‧‧‧尺寸
LX‧‧‧尺寸
LY‧‧‧尺寸
PA‧‧‧製程
PB‧‧‧製程
RP‧‧‧製程反應速率
S1‧‧‧第一控制信號
S2‧‧‧第二控制信號
隨附圖式經包括以提供進一步理解,且併入於本說明書中並構成本說明書之一部分。圖式說明一或多個實施例,且與【實施方式】一起用來解釋各種實施例的原理及操作。因此,結合隨附諸圖自以下【實施方式】將更充分地理解本發明,其中:圖1為用於對由基板支撐之材料層進行熱退火的根據本發明之實例基於LED之材料處理系統的示意圖;圖2為圖1之實例基於LED之材料處理系統的橫截面圖;圖3類似於圖2,且展示其中LED光源發射具有不同波長之光的實例基於LED之材料處理系統的橫截面;圖4A為由同一類型之LED之陣列構成的實例LED光源的平面圖;圖4B類似於圖4A,唯LED光源由發射處於不同波長之光的兩種不同類型之LED構成外; 圖5A及圖5B係兩個實例LED光源總成之平面(正視)圖,每一LED光源總成由LED光源之陣列構成,且適用於使駐留於基板上之材料層退火;圖6為說明兩個不同溫度相依製程PA及PB之實例特性的反應速率RP(相對單位)與溫度倒數T-1(其中溫度T以℃為單位)的示意性曲線;圖7類似於圖5A及圖5B,唯LED光源由LED單一陣列構成外;且圖8A類似於圖1,且將基於LED之材料處理系統展示為包括處理腔室,該處理腔室允許在受控環境內進行材料層的退火;且圖8B類似於圖8A,且展示包括安置於LED光源總成與正經輻照之基板之間的漫射體之實例實施例。
現詳細地參考本發明的各種實施例,實施例的實例說明於隨附圖式中。只要有可能,相同或類似參考數字及符號貫穿圖式用來係指相同或相似部分。圖式不必按比例繪製,且熟習此項技術者將認識到圖式已經簡化以說明本發明的關鍵態樣。
如下文所闡述之申請專利範圍被併入,且構成此【實施方式】的部分。
笛卡爾座標為了參考在諸圖中的一些圖中予以展示,且並不意欲關於方向或定向進行限制。
圖1為實例基於LED之材料處理系統10的立視圖,而圖2係基於LED之材料處理系統10的在X-Z平面中 獲得的橫截面圖。基於LED之材料處理系統10包括沿著垂直系統軸線A1配置的夾盤20。夾盤20包括底座24,及駐留於底座24頂部上的熱隔離層30。基板40駐留於熱隔離層30上,且具有上表面42。如下文所描述,熱隔離層30用來在基板40自上方經加熱時將熱保持於基板40中。
至少一材料層46駐留於基板40的上表面42上。因此,層46在下文中被稱作「材料層」46,儘管其可包括一個層或多個層。可構成材料層46之實例材料包括有機材料,諸如具有碳原子材料層的聚合物、常用於半導體微影應用中的抗反射塗層材料,及常用於半導體封裝應用中的低k介電質(諸如,SiCOH)。材料層46之無機材料的實例包括諸如旋塗二氧化矽層的無機介電質。在一實例中,材料層46包含層間介電質。
基於LED之材料處理系統10亦可用以修理諸如矽器件及複合半導體器件之半導體器件中的缺陷。舉例而言,在GaN器件中,氫時常被捕獲於p型層中,從而產生減少傳導率及器件效能的阱。藉由熱及光活化進行的退火已展示為在釋放氫及改善GaN效能方面係有效的。因此,在一些實例中,材料層46包括經摻雜部分或區。
在一實例中,基板40為半導體晶圓,例如,矽晶圓。在一實例中,基板40具有在自100mm(約4")至450mm(約18")之範圍內的直徑。然而,基於LED之材料處理系統10可被按比例縮放以處理具有任何合理大小的基板40,從而用於半導體製造中。
基於LED之材料處理系統10包括LED光源總成 50,其沿著垂直系統軸線A1配置,且與基板40隔開軸向距離D1。實例LED光源總成50包括具有前側52及背側54的支撐部件51,其中前側52面向基板40的上表面42。LED光源總成50包括可操作地配置於支撐部件51中的LED光源62之陣列60。每一LED光源62具有LED光源軸線AL,其在一實例中大體平行於垂直系統軸線A1。LED光源62經配置,使得其發射朝向基板40及基板上之材料層46的光64。在實例實施例中,夾盤20設置以圍繞垂直系統軸線A1旋轉。在實例中,LED光源62通常駐留於係在支撐部件51之前側52處或鄰近於前側52的光源平面63中。LED光源62之陣列60及基板40的上表面42以軸向距離D1分開。
在實例實施例中,LED光源總成50與基板40之材料層46之間的空間界定自由空間光透射區56,光64自LED光源62通過前述光透射區行進至材料層46,而不通過任何光透射組件、由光反射組件反射或由光阻斷組件阻斷。在另一實例中,可存在至少一介入光學組件(參見圖8A之組件150),例如,光透射、光反射、光散射、光漫射及光阻斷組件,或此等組件之任何組合。
基於LED之材料處理系統10亦包括控制器70,其可操作地連接至LED光源62之陣列60中的LED光源62以控制LED光源62的操作,以及視需要控制夾盤20圍繞垂直系統軸線A1的旋轉。在實例實施例中,控制器70係或包括電腦,諸如個人電腦或工作站。控制器70包括可係許多市售微處理器中之任一者的處理器72,及記憶體器件(「記憶體」)74,以及將處理器72連接至記憶體74的合適匯流排架 構(圖中未示)。控制器70可經由體現於電腦可讀媒體(例如,記憶體74、處理器72或兩者)中之指令(軟體)來程式化,前述指令使得控制器70進行基於LED之材料處理系統10的各種功能以實現由基板40支撐之材料層46的退火。在實例中,控制器70藉由第一控制信號S1控制LED陣列60中的LED 66的操作,且藉由第二控制信號S2控制夾盤20的操作。
在實例實施例中,基於LED之材料處理系統10包括經由冷卻線路82流體地連接至支撐部件51的冷卻流體供應源80。冷卻流體供應源80將冷卻流體84供應至支撐部件51且供應至支撐部件中的冷卻線路82中,且又接收傳回之冷卻流體84以移除藉由LED光源62產生的熱。冷卻流體84可係任何已知類型之冷卻流體,諸如蒸餾水或水-甘油混合物。
圖2展示LED光源62皆係相同的(亦即,每一LED光源發射具有相同波長λ之光64)的實例實施例。
圖3類似於圖2,但展示其中LED光源陣列60包括兩種類型之LED光源62(即,分別以不同波長λA及λB發射光64的62A及62B)中之一者的實例。
在實例實施例中,λA經選擇以達成對材料層46之一種效應,而λB經選擇以達成另一效應。舉例而言,λA可經選擇,使得其可起始並驅動材料層46中的光製程(例如,λA就在400nm以下),而λB可係經選擇以熱活化材料層46(例如,λB>400nm)或加熱下伏基板40的另一波長,下伏基板又用來加熱材料層46且使光製程的反應速率增加。
在另一實例中,λA可經選擇,使得其可光活化材料層46之周圍氣氛中的化學物質,而λB可經選擇以加熱基板40且因此加熱材料層46。舉例而言,λA可具有適用於產生臭氧之UV波長,且臭氧可接著與經加熱之材料層46相互作用。此情形可用於(例如)材料層46的增強型蝕刻。
圖4A為實例LED光源62之正視圖。在實例實施例中,LED光源62藉由通常位於共同平面中的LED 66之子陣列構成。在實例中,LED光源62具有尺寸LX=1cm且LY=1cm,其中每一LED 66具有dX=1mm且dY=1mm的尺寸,使得LED光源62形成64個LED 66的8×8子陣列。在實例中,每一LED 66具有光64之郎伯發射。LED 66具有中心至中心間距dC及間距距離(例如,邊緣至邊緣分離)dS
圖4B類似於圖4A,但展示包括不同LED 66A及66B的實例LED光源62,前述LED 66A及66B發射具有不同波長λA及λB的光64A及64B。不同LED光源62A及62B之數目分佈不需要為均勻的(例如,50% LED 66A、50% LED 66B),而是可係經選擇以達成材料層46上之所要退火效應的任何比率或百分數。
圖5A為包括隔開之LED光源62之陣列60的實例LED光源總成50的平面(正視)圖,其中LED光源62各自由LED 66之子陣列構成。基板40之輪廓展示為點線,且可係例如300mm之半導體晶圓。在實例中,LED光源62係LED 66的前述實例1cm×1cm陣列。對於總計五千九百五十二(5,952)個LED 66而言,圖5A之實例LED光源總成50具有93個LED光源62,其中每一LED光源62具有64個 LED 66。
圖5B類似於圖5A,且展示300mm基板(晶圓)40,及包括各自為約1cm2之多個LED光源62的實例LED光源總成50。LED光源62經設置,使得LED光源總成50輻照基板40的整個上表面42。下文更詳細地論述圖5B。
在熱處理材料層46之實例實施例中,其中具有材料層46的基板40配置於夾盤20上,使得材料層46駐留於LED光源總成50下方。LED光源總成50可具有LED光源62之設置以最佳地處理材料層46(例如,提供光64之照射均一性的最佳量)的組態。由於來自LED 66之光64的發射通常為郎伯,因此其可經模型化以在材料層46處達到最佳(或實質最佳)照射分佈。在實例實施例中,基板40圍繞垂直系統軸線A1旋轉(例如,藉由旋轉夾盤20)以進一步改善照射均一性。
基板40可藉由夾盤20進行旋轉,使得基板40之多個旋轉發生歷時給定曝光(退火)時間。在實例中,基板40以至少300RPM之速度旋轉。在另一實例中,基板40以高達100Hz(例如,6000RPM)之速度旋轉。在實例中,基板40與基板40、材料層46及夾盤20之實體限值將允許一樣快地旋轉。圖5A展示將冷卻流體供應源80流體地連接至LED光源總成50之支撐部件51的一對冷卻線路82。
在實例實施例中,控制器70設置以控制LED光源62或個別LED 66的啟動及停用。不同於熱板,LED 66可幾乎即時地接通並關斷。此情形允許退火時間及光64的能量得到良好控制。
此外,用於LED光源總成50中之特定LED 66可經選擇以與特定材料層46之處理需要匹配。舉例而言,LED 66可基於構成材料層46之有機材料的吸收特性來選擇。同樣,可構成材料層46之一些有機材料可僅對熱作出反應,而其他材料可對熱及光兩者作出反應(例如,光化學地作出反應)。
因為LED 66為窄頻發射器,所以光64之所要波長λ(或波長λA、λB、...的組合)可經選擇以使材料層46的處理最佳化。此係閃光燈光源上之增強,此係因為閃光燈係寬頻的,且並不服從定製其發射以係窄頻從而與材料層46的吸收特性匹配。
在實例中,材料層46可要求熱處理與光處理之組合,其中光處理藉由曝光至具有低於400nm之波長λA的光64來達成。實例光製程係與UV固化相關聯的光反應。在實例中,光製程包含自未固化材料(例如,層間介電質)釋放揮發性化合物。
然而,具有400nm以下之波長的LED 66傾向於具有相對低之功率。因此,在實例中,LED光源總成50可包括具有以下兩者之上述LED 66A及66B:發射處於400nm以下之波長λA的光64A以起始光製程的一些LED 66(例如,LED 66A),及發射處於400nm以上之波長λB的光64B用於熱活化的一些LED(例如,LED 66B)。可藉由LED 66達成之恆定的熱處理時間遠遠短於可藉由熱板達成的時間。此情形允許改善之熱預算及固化條件,其在半導體處理中係有利的。
在一個實例中,每一LED光源62包括所有相同類型之LED 66(例如,LED 66A或66B),如圖3及圖4A中所展示,而在另一實例中,每一LED光源62包括LED 66A與66B的混合物,如圖4B中所展示。UV固化LED 66A正照射材料層46的時間量t A 可受控制器70控制以係針對熱活化LED 66B的不同時間量t B
在實例中,材料層46藉由光阻構成,且在特定實例中藉由化學放大之光阻構成。已知曉,歷時1秒或次秒範圍之退火時間的高溫退火可用以增強光阻曝光性質。舉例而言,在高於250℃之溫度下進行曝光後烘焙情況下,可使得光阻具有較大光敏性。
此增強之光阻效能的原因係正在進行之研究的主題。然而,以一般層級來理解,對於化學放大之光阻,存在兩個競爭製程。一個製程為產生導致增強型光敏性之酸的活化製程(亦即,酸活化製程),且第二製程為限制酸產生的失活製程。在化學放大之光阻中,當吸收了光子時,光子在光阻中產生酸分子。此酸分子接著「曝光」附近光阻模組,其又亦產生另一酸分子。此製程可繼續而不會在缺少酸失活製程的情況下停止。因此,失活製程防止酸分子之次要產生崩潰並曝露整個光阻層。然而,失活製程亦限制光阻的速度(曝光時間)。
圖6為製程反應速率RP(相對單位)對溫度倒數T-1的示意性曲線,其中溫度T以℃為單位。曲線說明可發生於材料層46中之兩個不同溫度相依製程PA及PB之實例特性。在材料層46包含化學放大之光阻的實例中,製程PA可 表示酸活化(或酸產生)製程,且製程PB可表示酸失活製程。在室溫下,兩個製程PA及PB具有稍微可比擬反應速率,但在較高溫度下,酸產生製程PA相較於酸失活製程PB具有較高反應速率。因此,若目標為增加材料層(光阻層)46之敏感性,則基板(晶圓)40可在較高溫度下退火,藉此在材料層46中產生更多酸分子及較少停用分子。
在圖6之實例中,若材料層46於在400℃至500℃之範圍內的溫度(例如,450℃)下退火,則可達成製程PA及PB之反應速率RP之間的顯著差異,其中酸產生製程PA之反應速率RP實質高於酸失活製程PB的反應速率RP。然而,酸產生製程PA在此等高溫下之反應速率RP比室溫下的反應速率高50至100倍。因此,為了達成固定量之酸分子,退火時間必須被減少50倍至100倍。習知曝光後烘焙製程通常執行歷時範圍為60至120秒的時間,其暗示400℃至500℃下之退火時間(持續時間)必須被減少至約1至2秒。
因此,本發明之一態樣包括藉由在一範圍內的退火時間(在一個實例中自約0.1秒至10秒)且於在自200℃至500℃之範圍內的溫度下使材料層46退火。在另一實例中,退火時間係在自0.1秒至2秒之範圍內,而在另一實例中,退火時間係在自0.1秒至1秒之範圍內。
在實例實施例中,光64具有大於400nm之波長以防止較高能量光子與光阻之影像記錄性質干擾。對於UV活化,在實例中,可使用小於400nm之一或多個波長(例如,365nm或更短),而對於熱活化,可使用大於400nm之一或多個波長。
當光阻經曝光以形成光阻圖案時,存在至光阻圖案之線邊緣的某量之粗糙度。此被稱作「線邊緣粗糙度」。線邊緣粗糙度可藉由執行經曝光光阻之高溫退火而被引人注目地減少(亦即,類似於曝光後烘焙的曝光後退火,後者暗示烘焙板的使用)。線邊緣粗糙度可藉由使光阻之溫度增加至光阻開始流動的點來減少。然而,係強制性的是,製程不使得線邊緣自身流動或降級。
線邊緣粗糙度之減少可被認為是具有類似於上文關於光阻敏感性描述之製程的兩個競爭製程。因此,可應用圖6之曲線圖,其中酸產生製程PA係線邊緣粗糙度減低之製程反應速率,且酸失活製程PB係線自身降級的製程。此等製程PA及PB中之每一者具有係溫度T之函數的對應反應速率RP(例如,RPA及RPB)。藉由使溫度T增加且減少退火時間,線邊緣粗糙度可經最小化而不使線自身實質降級。
因此,係關於光阻敏感性及線邊緣粗糙度之上文論述的兩個實例說明,當材料層46依據溫度具有具不同反應速率的兩個競爭製程時,LED光源總成50可如何用以藉由調整給定材料層46之時間對溫度平衡來進行材料層46的退火。
在基板40由矽製成(例如,矽晶圓)且其中退火時間超出100毫秒(亦即,0.1秒)的實例中,矽之導熱性確保,整個矽晶圓可藉由光64來加熱。具體而言,100毫秒之退火時間,矽中之熱擴散距離為大約1mm,前述距離大於典型矽晶圓的厚度。因此,晶圓變為熱均一的,且可用以均一地加熱材料層46,且詳言之可用以增強發生於材料層46中的一或多個溫度相依熱製程。對於待在材料層46中或在基 板(矽晶圓)40中吸收的光64,在約400nm與2微米之範圍內的波長λA係較佳的。對於此等應用,可判定加熱整個晶圓需要之能量。
矽之熱容量為大約0.7焦耳/(gm-℃)。在一秒內使矽750微米厚之300mm晶圓的溫度提昇100℃要求晶圓吸收8.5 KJ之能量(12焦耳/cm2)。LED光源總成50可使用(例如)自1mm×1mm封裝在500mW與1000mW之間發射的LED 66來提供此能量。此等LED 66可購自(例如)Nichia Corporation(日本)或Cree,Inc.(Durham,North Carolina)。
如圖4A及圖4B中所展示,實例LED光源62藉由LED 66之8×8陣列構成,每一LED具有1cm×1cm封裝。LED 66較佳經隔開以促進冷卻。因此,此LED光源62之實例能夠在1cm2封裝內在32至64瓦特之功率之間進行發射。在一秒內,LED光源62可發射32至64焦耳/cm2,其超出使實例300mm矽晶圓提昇100℃的要求。矽之導熱性用來改善材料層46之退火的溫度均一性。
因此,在實例中,圖5A之LED光源總成50可用以在大約1秒或更短之退火時間內加熱100mm(~4")基板(矽晶圓)40至攝氏數百度。在實例實施例中,LED光源62藉由LED 66之m×m陣列構成,其中在一個實例中,m係在4至10之範圍內,而在另一實例中,m係在6至8之範圍內。圖5a之實例LED光源總成50具有95個LED光源62,該等LED光源中之每一者包括64個LED 66(亦即,m=8),使得實例LED光源總成50具有約6,000個LED 66或更精確地6,080個LED 66。在另一實例中,LED光源62藉由LED 66 之矩形或線性陣列n×m陣列構成,其中n為1或大於1,且m係在自2至10之範圍內。
圖5B類似於圖5A,且展示300mm基板(晶圓)40,及具有覆蓋基板40之多個1cm2 LED光源62的實例LED光源總成50。300mm基板(晶圓)40之面積係約706cm2。假設,使用1cm正方形來覆蓋此面積將要求涵蓋約10%以上之面積,則將採用約778個LED光源62。若每一LED光源62包括64個LED 66(亦即,若m=8),則LED光源總成50具有約48,000個LED 66(例如,750×64)。
因此,對於具有半徑R之基板(晶圓)40,LED光源總成50中需要之1cm2 LED光源62的數目N LS 藉由N LS =(1.1).π.R2(對於以cm為單位的R)來近似,且針對給定m值的LED 66之數目N LED 藉由N LED =N T m 2來給出。因此,對於在自100mm至300mm之範圍內的基板40,數目N LS 範圍可為約80至約800,且LED 66之數目N LED 範圍可為約5000至約50000。
在一些光阻應用中,光阻之溫度自室溫增加至處理溫度(亦即,曝光後烘焙溫度)。以實例說明之,當處理溫度為400℃且此溫度藉由加熱矽基板40達成時,基板40需要吸收約50焦耳/cm2的能量。LED光源總成50可在小於1秒內提供此能量。
圖7類似於圖5A及圖5B,且說明其中LED光源總成50包括LED 66之陣列而非各自藉由LED 66之陣列構成的LED光源62之多個陣列60的實例實施例。LED 66之陣列可被考慮單一大LED光源62。LED光源總成50之此特定 實施例依據LED 66之置放可提供較大靈活性,其可最終導致遞送至材料層46之光64的更好均一性。LED 66之陣列可藉由發射不同波長的LED 66構成。
典型個別LED 66具有1mm×1mm之尺寸。在實例中,LED 66藉由約200微米之間距距離dS(亦即,邊緣至邊緣間距)隔開(參見圖4A)。雖然可使用較大間距距離dS,但大於LED 66之尺寸的間距距離將通常導致基板40之上表面42或材料層46處的較低照射均一性。來自給定LED 66之光64的發射極其接近朗伯。由於均一性原因,因此所要的是,使來自一個LED 66之朗伯發射與來自其相鄰LED的發射在材料層46處重疊。
在實例中,此重疊可係大約在其1/e強度點處。相鄰LED 66之強度重疊條件判定LED 66與基板40之上表面42或基板上之材料層46之間的最小軸向距離D1。在實例中,軸向距離D1約等於LED 66之間的中心至中心距離dC。對於具有200微米間距距離dS之1mm正方形LED 66,軸向距離D11.2mm=dC。較大分離可改善照射均一性,但亦可導致材料層46處的減少之強度,前述情形減少峰值退火溫度。請注意,光(照射)64之均一性亦可藉由在退火期間旋轉基板40、藉由使用漫射體(參見圖8B)或此等方法之組合來改善。
在以上實例中,LED光源62中LED 66的中心至中心距離dC為約1.2mm,且每一LED 66之面積為約1.44mm2。在此實例中,具有半徑R之基板40的LED 66之數目N LED 藉由基板40之面積(以mm2為單位)除以1.44來近似。
圖8A類似於圖1,且說明其中基於LED之材料 處理系統10包括具有內部102之處理腔室100的實例實施例。LED光源總成50及夾盤20駐留於處理腔室100的內部102內。基於LED之材料處理系統10之此組態允許使用LED光源總成50對材料層46之熱退火在形成於處理腔室100之內部102中的受控環境中進行。舉例而言,處理腔室100之內部102可含有一種惰性氣體(或數種氣體)或一種處理氣體(或數種氣體)。
因此,如上文所註解,波長λA可經選擇,使得其可光活化材料層46之周圍氣氛中的氣體,而λB可經選擇以加熱基板40且因此加熱材料層46。舉例而言,λA可具有適用於自氧產生臭氧之UV波長,且臭氧可接著與經加熱之材料層46相互作用。此情形可用於(例如)材料層46的增強型蝕刻。
圖8B類似於圖8A,且展示包括安置於LED光源總成50與基板40之間的漫射體150的實例實施例。漫射體150漫射或散射來自LED光源62之光64,且形成經散射或漫射之光64S。漫射體150因此用來使光64在基板40之上表面42處或駐留於基板上之材料層46處均一化。
對於熟習此項技術者將係顯而易見的是,對如本文中所描述之本發明之較佳實施例的各種修改可進行而不偏離如在附加申請專利範圍中界定的本發明之精神或範疇。因此,本發明涵蓋在附加申請專利範圍及其等效物之範疇內的所提供之各種修改及變化。
10‧‧‧實例基於LED之材料處理系統
20‧‧‧夾盤
24‧‧‧底座
30‧‧‧熱隔離層
40‧‧‧基板:40
42‧‧‧上表面
46‧‧‧材料層
50‧‧‧實例LED光源總成
51‧‧‧支撐部件
52‧‧‧前側
54‧‧‧背側
56‧‧‧自由空間光透射區
60‧‧‧LED光源之陣列
62‧‧‧LED光源
64‧‧‧光
70‧‧‧控制器
72‧‧‧處理器
74‧‧‧記憶體器件
80‧‧‧冷卻流體供應源
82‧‧‧冷卻線路
84‧‧‧冷卻流體
A1‧‧‧垂直系統軸線
D1‧‧‧軸向距離
S1‧‧‧第一控制信號
S2‧‧‧第二控制信號

Claims (24)

  1. 一種處理由一基板可操作地支撐之一材料層的方法,該基板由一夾盤來支撐,該方法包含:將該基板置放於具有LED光源之一陣列的一LED光源總成下方,該些LED光源駐留於大體平行於該基板的一平面中,其中每一LED光源包含複數個LED,該複數個LED發射通過介於該些LED光源與該基板之該材料層之間的一自由空間光透射區朝向該基板的光,其中該LED光源總成具有一總數N LS 個LED光源,其中N LS 係在80 N LS 800之範圍內,且其中該複數個LED包括分別發射具有波長λA<365nm及λB>400nm之光的第一LED及第二LED;啟動該些第一LED以藉由第一LED光輻照該材料層,從而以一第一反應速率起始該材料層中的一製程;及啟動該些第二LED以藉由第二LED光通過該材料層輻照該基板歷時2秒或更少的一持續時間,以形成一經加熱之基板;及使用該經加熱之基板加熱該材料層以使得該製程具有大於該第一反應速率的一第二反應速率。
  2. 如請求項1之方法,其中該材料層包含已使用一光微影製程曝光的光阻。
  3. 如請求項1之方法,其更包含在該些第一LED及該些第二LED之該啟動期間旋轉該基板,其中該旋轉具有至少300RPM的一旋轉速率。
  4. 如請求項1之方法,其更包含使該第一LED光及該第二LED光通過一漫射體以使該第一LED光及該第二LED光 在該材料層處的照射均一性的一量增加。
  5. 一種處理一光阻層的方法,該光阻層由一基板可操作地支撐且具有一溫度相依光敏性,該方法包含:將該基板安置於具有LED光源之一陣列的一LED光源總成下方,該些LED光源駐留於大體平行於該基板的一平面中,其中每一LED光源包含複數個LED,該些LED可發射通過一光透射區朝向該光阻層的具有400nm或更長之波長的光,其中該LED光源總成具有一總數N LS 個LED光源,其中N LS 係在範圍80 N LS 800內;及藉由LED光通過該光阻層輻照該基板歷時2秒或更少的一持續時間,以形成具有小於450℃之一溫度的一經加熱基板;及使用該經加熱之基板來加熱該光阻層以使得該光阻具有增加之光敏性。
  6. 如請求項5之方法,其更包含在對該基板之該輻照期間旋轉該基板。
  7. 如請求項5之方法,其更包含使該LED光通過一漫射體以使該LED光在該光阻層處的照射均一性的一量增加。
  8. 一種處理由一基板可操作地支撐之一光阻層的方法,該方法包含:執行對該光阻層之一光微影曝光,藉此在該光阻層中形成光阻特徵;及藉由來自複數個第一LED的具有一第一波長λA<365nm之第一光輻照該光阻層歷時2秒或更小的一持續時間,以光活化該光阻層。
  9. 如請求項8之方法,其中該光阻層具有一溫度相依反應速率,且更包含:藉由來自複數個第二LED的具有一第二波長λB>400nm之第二光通過該光阻層輻照該基板歷時2秒或更小之一時間,以將該基板加熱至不大於450℃的一溫度;及藉由來自該基板之熱加熱該光阻層,藉此使該光阻層之該溫度相依反應速率增加。
  10. 如請求項9之方法,其更包含以300RPM或更大之一速率旋轉該基板。
  11. 如請求項9之方法,其中該些第一LED及該些第二LED界定在5000與50000之間的第一LED及第二LED的一總數。
  12. 如請求項9之方法,其更包含使該第一光通過一漫射體以使得與無該漫射體的情況相比較該第一光在該光阻層處具有較大均一性。
  13. 如請求項9之方法,其更包含使該第二光通過一漫射體以使得與無該漫射體的情況相比較該第二光在該光阻層處具有較大均一性。
  14. 如請求項9之方法,其更包含:相鄰於該基板之一表面提供一反應性氣體;及藉由該第一光及該第二光通過該反應性氣體輻照該基板,其中該反應性氣體與該光阻層反應。
  15. 如請求項14之方法,其中與該光阻層之該反應係一蝕刻製程。
  16. 如請求項15之方法,其中該反應性氣體包括藉由該第一 光及該第二光中之至少一者與氧反應而形成的臭氧。
  17. 一種處理由一基板可操作地支撐之一材料層的方法,該方法包含:藉由來自複數個第一LED的具有一第一波長λA<365nm之第一光輻照該材料層歷時在0.1秒與2秒之間的一持續時間,以在該材料層內起始具有一溫度相依反應速率的一製程。
  18. 如請求項17之方法,其更包含:藉由來自複數個第二LED的具有一第二波長λB>400nm之第二光通過該材料層輻照該基板歷時2秒或更小之一時間,以將該基板加熱至不大於450℃的一溫度;及藉由來自該基板的熱加熱該材料層,藉此使該材料層之該製程的該溫度相依反應速率增加。
  19. 如請求項18之方法,其中該材料層係形成於該基板中且具有一缺陷密度的一經摻雜層,且其中該製程包含釋放在該經摻雜層中捕獲的氫,藉此減少該缺陷密度。
  20. 如請求項18之方法,其中該材料層包含一未經固化層間介電質材料,且其中該製程包含自該未經固化層間介電質材料釋放揮發性化合物。
  21. 一種處理一材料層之方法,該材料層由一基板可操作地支撐且具有具一反應速率之至少一製程,該方法包含:藉由來自複數個LED的具有一波長λB>400nm之光通過該材料層輻照該基板歷時在0.1秒至10秒之範圍內的一時間,以將該基板加熱至在200℃至500℃之範圍內的一溫度;及 藉由來自該基板的熱加熱該材料層,藉此使該材料層之該至少一製程的溫度相依反應速率增加。
  22. 如請求項21之方法,其中LED之數目係在5000至50000之範圍內。
  23. 如請求項21之方法,其中該時間係在0.1秒與1秒之範圍間。
  24. 如請求項21之方法,其中該材料層包含光阻,且其中該至少一製程包括分別具有第一溫度相依反應速率及第二溫度相依反應速率的一酸活化製程及一酸失活製程,其中該第一溫度相依反應速率大於該第二溫度相依反應速率,且其中加熱該材料層使該第一溫度相依反應速率與該第二溫度反應速率之間的該差異增加。
TW103106535A 2013-02-28 2014-02-26 用於使用發光二極體進行材料處理的方法 TWI520220B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/781,682 US20140238958A1 (en) 2013-02-28 2013-02-28 Systems and methods for material processing using light-emitting diodes

Publications (2)

Publication Number Publication Date
TW201434090A TW201434090A (zh) 2014-09-01
TWI520220B true TWI520220B (zh) 2016-02-01

Family

ID=51387093

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103106535A TWI520220B (zh) 2013-02-28 2014-02-26 用於使用發光二極體進行材料處理的方法

Country Status (4)

Country Link
US (1) US20140238958A1 (zh)
JP (2) JP5964870B2 (zh)
KR (1) KR20140108131A (zh)
TW (1) TWI520220B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9541836B2 (en) * 2014-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for baking photoresist patterns
JP7078838B2 (ja) * 2017-12-01 2022-06-01 東京エレクトロン株式会社 プローバ
US11880139B2 (en) * 2021-09-23 2024-01-23 Honeywell Federal Manufacturing & Technologies, Llc Photolithography system including selective light array

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6100012A (en) * 1998-07-06 2000-08-08 National Semiconductor Corporation Infra-red radiation post-exposure bake process for chemically amplified resist lithography
US6476362B1 (en) * 2000-09-12 2002-11-05 Applied Materials, Inc. Lamp array for thermal processing chamber
JP2005502185A (ja) * 2001-02-12 2005-01-20 株式会社日立国際電気 超急速熱処理チャンバおよび使用方法
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP5080009B2 (ja) * 2005-03-22 2012-11-21 日立ビアメカニクス株式会社 露光方法
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US8404499B2 (en) * 2009-04-20 2013-03-26 Applied Materials, Inc. LED substrate processing
JP2011159832A (ja) * 2010-02-01 2011-08-18 Yamaguchi Univ 半導体発光装置
JP5605134B2 (ja) * 2010-09-30 2014-10-15 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5875759B2 (ja) * 2010-10-14 2016-03-02 株式会社Screenセミコンダクターソリューションズ 熱処理方法および熱処理装置
JP5568495B2 (ja) * 2011-03-15 2014-08-06 東京エレクトロン株式会社 熱処理装置
KR20130011933A (ko) * 2011-07-20 2013-01-30 울트라테크 인크. GaN LED 및 이것의 고속 어닐링 방법
KR102119914B1 (ko) * 2012-05-31 2020-06-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US20140065838A1 (en) * 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation

Also Published As

Publication number Publication date
US20140238958A1 (en) 2014-08-28
JP6154034B2 (ja) 2017-06-28
JP5964870B2 (ja) 2016-08-03
JP2014209556A (ja) 2014-11-06
KR20140108131A (ko) 2014-09-05
JP2016122844A (ja) 2016-07-07
TW201434090A (zh) 2014-09-01

Similar Documents

Publication Publication Date Title
US9741559B2 (en) Film forming method, computer storage medium, and film forming system
JP5786487B2 (ja) 熱処理装置及び熱処理方法
TWI692012B (zh) 旋轉基板雷射退火
TWI525668B (zh) Led基板處理系統及方法
TWI575635B (zh) 用於快速熱處理的裝置及方法
TWI520220B (zh) 用於使用發光二極體進行材料處理的方法
JP2009010239A (ja) 基板処理装置
JP2009535858A5 (zh)
JP2007116072A (ja) 熱処理装置及び記憶媒体
JP2008177300A (ja) 加熱装置、塗布、現像装置、加熱方法及び記憶媒体
JP2014011256A (ja) 熱処理方法および熱処理装置
JP2012178576A (ja) 熱処理装置及び記憶媒体
JP5568495B2 (ja) 熱処理装置
KR20160075344A (ko) 기판 처리 장치 및 기판 처리 방법
JP6783571B2 (ja) 放射装置及び放射装置を用いた処理装置
JP2016054242A (ja) 熱処理方法及び熱処理装置
TW546679B (en) Heating method
TW202042328A (zh) 加熱處理方法及光加熱裝置
JP2006294717A (ja) 基板加熱装置
CN114381361B (zh) 光活化装置及其控制方法
JP2003234303A (ja) 熱処理装置
KR102148856B1 (ko) Uv led 광원을 이용한 노광장치
JP5176364B2 (ja) 光加熱装置及び光加熱方法
JP2003234304A (ja) 熱処理装置
JP2018022761A (ja) 光源ユニット

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees