JP6154034B2 - 発光ダイオードを利用した材料の製造システムおよび製造方法 - Google Patents

発光ダイオードを利用した材料の製造システムおよび製造方法 Download PDF

Info

Publication number
JP6154034B2
JP6154034B2 JP2016002305A JP2016002305A JP6154034B2 JP 6154034 B2 JP6154034 B2 JP 6154034B2 JP 2016002305 A JP2016002305 A JP 2016002305A JP 2016002305 A JP2016002305 A JP 2016002305A JP 6154034 B2 JP6154034 B2 JP 6154034B2
Authority
JP
Japan
Prior art keywords
substrate
leds
material layer
led light
photoresist layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2016002305A
Other languages
English (en)
Other versions
JP2016122844A (ja
Inventor
ザフィロポウロ、ダブリュー、アーサー
ハウリーラック、エム、アンドリュー
Original Assignee
ウルトラテック インク
ウルトラテック インク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ウルトラテック インク, ウルトラテック インク filed Critical ウルトラテック インク
Publication of JP2016122844A publication Critical patent/JP2016122844A/ja
Application granted granted Critical
Publication of JP6154034B2 publication Critical patent/JP6154034B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Led Device Packages (AREA)

Description

本開示は、材料の製造に関し、特に、発光ダイオード(LED)を利用した材料の製造システムおよび製造方法に関する。
本願で言及されるいずれの刊行物または特許文献の全開示は、参照により組み込まれる。
半導体デバイスのようなデバイスの製造では、光を用いた材料の製造プロセスが必要である場合がよくある。ある例としては、当該プロセスは熱反応(熱プロセス)を含み、他の例としては、当該製造工程は光反応(光プロセス)を含み、さらに他の例としては、当該プロセスは熱反応と光化学反応の両方を含む。
製造される材料は、有機物でもありうるし、無機物でもありうる。有機材料は、様々な用途のために半導体の製造に利用される。たとえば、反射防止膜やフォトレジスト材料などである。有機材料は、また、OLEDのような、様々なタイプの電子デバイスの活性層にも利用される。無機材料は、たとえば、断熱層あるいは中間誘電体を含む。
従来は、半導体製造の実用(および特定の有機材料)において、ある材料の製造工程は、ホットプレートなどの従来の手段を用いて、低温加熱(たとえば、150℃以下)を、比較的長い時間(たとえば、数分)行うことを含むものであった。たとえば、米国特許6,784,017号明細書に開示されているように、光ビームの利用など、有機物のための他のアニール技術が研究されている。
米国特許第6,784,017号明細書
より高い温度での、かつ、より短い時間での、有機物および無機物の製造方法が求められるようになるであろう。そして、本技術分野において現在のところ利用可能なものよりも、短い期間および高い温度での、光を利用した材料の製造を可能にするシステムおよび方法が求められる。
本開示の一局面は、フォトレジスト層の製造方法である。フォトレジスト層は、基板によって操作可能に支持され、温度依存の感光性を有する。製造方法は、基板に略平行な平面上のLED光源のアレイを有するLED光源アセンブリの下方に基板を配置することを含む。各々のLED光源は、複数のLEDを含む。複数のLEDは、光伝導領域を介してフォトレジスト層に向けて400nmあるいはそれよりも長い波長の光を発しうる。LED光源アセンブリは、全体の個数がNLSのLED光源を有する。NLSは、80≦NLS≦800の範囲である。製造方法は、また、450℃よりも低い温度を有する熱された基板を形成するために、2秒かそれ未満の期間にフォトレジスト層を介してLED光を基板に照射することを含む。製造方法は、フォトレジストの感光性を増加せるために、熱せられた基板を利用してフォトレジスト層を熱することをさらに含む。
本開示の他の局面は、上述の製造方法であって、基板の照射中に基板を回転することをさらに含む。
本開示の他の局面は、上述の製造方法であって、LED光をディフューザーに通して、フォトレジスト層におけるLED光の照度の均一性の程度を改善することをさらに含む。
本開示の他の局面は、基板に操作可能に支持されるフォトレジスト層の製造方法である。製造方法は、フォトレジスト層のフォトリソグラフィー露光を実行することによって、フォトレジスト層のフォトレジスト特徴を形成することを含む。製造方法は、2秒以下の間、第1の波長λ<365nmを有する複数の第1のLEDからの第1の光によってフォトレジスト層を照射し、フォトレジスト層を光活性化することを含む。
本開示の他の局面は、上述の製造方法であって、フォトレジスト層が、温度依存の反応速度を有する。製造方法は、2秒以下の間、第2の波長λ>400nmを有する複数の第2のLEDからの第2の光を、フォトレジスト層を介して基板に照射して、基板を450℃以下の温度に熱することをさらに含む。製造方法は、基板からの熱によってフォトレジスト層を熱することによって、フォトレジスト層の温度依存の反応速度を増加させることをさらに含む。
本開示の他の局面は、上述の製造方法であって、300RPM以上の速度で基板を回転させることをさらに含む。
本開示の他の局面は、上述の製造方法であって、複数の第1のLEDと第2のLEDは、5,000から50,000の間の第1および第2のLEDの全体個数を規定する。
本開示の他の局面は、上述の製造方法であって、第1の光をディフューザーに通して、ディフューザーがない場合と比較して、フォトレジスト層における第1の光の均一性をより改善させることをさらに含む。
本開示の他の局面は、上述の製造方法であって、第2の光をディフューザーに通して、ディフューザーがない場合と比較して、第2の光のフォトレジスト層における均一性をより改善させることをさらに含む。
本開示の他の局面は、上述の製造方法であって、基板の表面の近傍に反応ガスを供給することと、反応ガスを介して第1および第2の光を基板に照射することとをさらに含む。反応ガスはフォトレジスト層と反応する。
本開示の他の局面は、上述の製造方法であって、フォトレジスト層との反応はエッチプロセスである。
本開示の他の局面は、上述の製造方法であって、反応ガスは、オゾンを含む。オゾンは、酸素と反応する第1および第2の光の少なくともいずれかによって形成される。
本開示の他の局面は、基板に操作可能に支持される材料層の製造方法である。製造方法は、0.1秒から2秒の間の期間、第1の波長λ<365nmを有する複数の第1のLEDからの第1の光によって材料を照射して、温度依存の反応速度を有する材料層の処理を初期化することを含む。
本開示の他の局面は、上述の製造方法であって、第2の波長λ>400nmを有する複数の第2のLEDからの第2の光を、2秒以下の間、材料層を介して基板に照射して、450℃以下の温度に基板を熱することと、基板からの熱で材料層を熱することによって、材料層のプロセスの温度依存の反応速度を高めることとを含む。
本開示の他の局面は、上述の製造方法であって、材料層は、基板に形成され、欠陥密度を有するドープ層である。プロセスは、ドープ層に捉えられた水素を放出することによって、欠陥密度を低減させることを備える。
本開示の他の局面は、上述の製造方法であって、材料層は、修復されていない中間誘電体材料を備える。プロセスは、修復されていない中間誘電体材料からの揮発性成分を放出することを備える。
本開示の他の局面は、基板に操作可能に支持され、反応速度を有する少なくとも1つのプロセスを有する材料層の製造方法である。製造方法は、波長λ>400nmを有する複数のLEDからの光を、0.1秒から10秒の範囲の時間、材料層を介して基板に照射して、200℃から500℃の範囲の温度に基板を熱することを含む。製造方法は、基板からの熱によって材料層を熱することによって、材料層の少なくとも1つのプロセスの温度依存の反応速度を高めることを含む。
本開示の他の局面は、上述の製造方法であって、LEDの個数が、5000から50000の範囲である。
本開示の他の局面は、上述の製造方法であって、時間が0.1秒から1秒の間である。
本開示の他の局面は、上述の製造方法であって、材料層がフォトレジストを備える。少なくとも1つのプロセスは、酸活性化プロセスと酸不活性化プロセスとを含む。それぞれのプロセスは第1および第2の温度依存の反応速度を有する。第1の温度依存の反応速度は第2の温度依存の反応速度よりも大きい。材料層を熱することによって第1および第2の温度依存の反応速度の差を拡大させる。
さらなる特徴及び利点は、詳細な説明に明記されている。また、それらの一部は詳細な説明の記載内容から当業者にとって直ちに明白となるか、詳細な説明、特許請求の範囲、添付図面を含む、ここに記載された発明を実施することによって認識される。上記の背景技術等に関する記載及び下記の詳細な説明に関する記載は、単なる例示であって、特許請求の範囲に記載されている本発明の本質及び特徴を理解するための概略または枠組みを提供するものであることを理解すべきである。
添付図面は、さらなる理解を提供するために含まれており、本明細書の一部を構成すると共に本明細書の一部に組み込まれる。図面は、1または複数の実施形態を示しており、詳細な説明と共に種々の実施形態の原理や動作を説明する役割を担う。このように、本開示は、添付図面と共に以下に示す詳細な説明からより完全に理解されることになるであろう。
基板によって支持される材料層の熱アニールに関する本開示に従うLED型材料製造システムの一例の模式図である。 図1のLED型材料製造システムの一例の断面図である。 図2と同様の図であって、LED型材料製造システムの一例の断面を示す。ここでは、複数のLED光源が異なる波長の光を発する。 同じタイプのLEDのアレイから構成されるLED光源の一例の平面図である。 異なる波長の光を発する2つの異なるタイプのLEDからLED光源が構成される点を除いて、図4Aと同様の図である。 LED光源のアレイから構成され、基板上の材料層のアニールに適したLED光源アセンブリの平面(正面)図である。 LED光源のアレイから構成され、基板上の材料層のアニールに適したLED光源アセンブリの平面(正面)図である。 温度の逆数T−1(単位が℃であるときの温度T)に対する反応速度R(相対ユニット)のプロット図であって、2つの異なる温度依存プロセスPおよびPの特徴の例を示す。 1つのLEDアレイによってLED光源が構成される点を除いて、図5Aおよび図5Bと同様の図である。 図1と同様の図であって、コントロールされた環境において材料層のアニールを実行することができるプロセスチャンバーを含むLED型材料製造システムを示す。 図8Aと同様の図であって、LED光源アセンブリと、照射される基板との間に配置されるディフューザーを含む一実施の形態を示す。
以降、本開示の様々な実施形態、および、添付の図面に示される複数の例について詳述する。可能な限り、同一または類似の部分の図では、同一または類似の参照番号および参照符号が用いられる。図面には決まった縮尺がなく、当業者であれば、図面は本発明の主要な部分を説明するために簡略化されていることに気づくであろう。
特許請求の範囲の記載は、発明の詳細な説明に組み込まれると共にその一部を構成する。
いくつかの図面において、参考のために直交座標系が描かれているが、これは特定の方向および配置方向を限定するものではない。
図1は、LED型材料製造システム10の一例の概略図である。一方、図2は、X−Z平面のLED型材料製造システム10の断面図である。LED型材料製造システム10は、システムの垂直軸A1に沿って配置されるチャック20を含む。チャック20は、台24と、台24に載置される断熱層30とを含む。基板40は、断熱層30に載置され、上面42を有する。断熱層30は、後述するように、基板40が上から熱せられるときに、基板40の熱を保つ役目を果たす。
少なくとも1つの材料の層46が、基板40の上面42に載置される。以下では、層46は、1つの層を含むか複数の層を含むかに関わらず、「材料層」46ともいう。材料層46を構成しうる材料の例としては、炭素原子材料層を含むポリマーなどのような有機材料や、半導体リソグラフィーの実用に利用される反射防止膜材料や、SiCOHなどのような半導体パッケージの実用に利用される低k誘電体などが挙げられる。材料層46の無機材料の例としては、スピンオンの二酸化ケイ素層などのような無機誘電体などが挙げられる。たとえば、材料層46は、中間誘電体を含む。
LED型材料製造システム10は、シリコンデバイスや化合物半導体デバイスなどのような、半導体デバイスの欠陥を修復するためにも利用されうる。たとえば、GaNデバイスにおいては、p型層に水素がよく捕捉される。これによって、伝導性やデバイス性能が低下する。熱および光による活性化によるアニールは、水素を放出し、GaN性能を改善するのに有効であるとみられている。このように、いくつかの例では、材料層46は、ドープ部分やドープ領域を含む。
一例としては、基板40は、たとえばシリコンウエハなどのような、半導体ウエハである。一例としては、基板40は、100mm(約4”)から450mm(約18”)までの範囲の直径を有する。しかし、LED型材料製造システム10は、半導体の製造に利用しやすいサイズの基板40を製造するように設計されうる。
LED型材料製造システム10は、LED光源アセンブリ50を含む。LED光源アセンブリ50は、システムの垂直軸に沿って配置される。LED光源アセンブリ50は、軸方向において基板40から距離D1だけ離間している。LED光源アセンブリ50は、一例として、正面52と背面54とを有する支持部材51を含む。正面52は、基板40の上面42と向かい合う。LED光源アセンブリ50は、支持部材51によって制御可能に配置される複数のLED光源62のアレイ60を含む。LED光源62の各々は、LED光源の軸ALを有する。軸ALは、システムの垂直軸A1と略平行である。複数のLED光源62は、基板40、および、基板40上の材料層46に向けて光64を発するように配置されている。一実施の形態においては、チャック20は、システムの垂直軸A1回りに回転するように構成されている。一例においては、複数のLED光源62は、概して、光源面63に配置される。光源面63は、支持部材51の正面52の位置あるいはその付近に配置される。複数のLED光源62のアレイ60と基板40の上面42は、軸方向に距離D1だけ離間される。
一実施の形態においては、LED光源アセンブリ50と基板40の材料層46との間の空間が、自由空間光伝導領域56を規定する。この自由空間光伝導領域56では、複数のLED光源62からの光が、光の伝導によって反射されたりブロックされたりすることなく、また光反射部材や光ブロック部材を介すことなく、材料層46に到達する。他の例では、たとえば、光伝導部材、光反射部材、光散乱部材、光分配部材、光ブロック部材、あるいはこれらの組み合わせなどのような、少なくとも1つの光学部材(図8Aの部材150を参照。)が配置されうる。
LED型材料製造システム10は、また、複数のLED光源62のアレイ60の複数のLED光源62に制御可能に接続されているコントローラ70を含み、複数のLED光源62の動作を制御する。コントローラ70は、随意に、システムの垂直軸A1の回りのチャック20の回転も制御する。一実施の形態においては、コントローラ70は、パーソナルコンピュータあるいはワークステーションなどのようなコンピュータであるか、それらを含むものである。コントローラ70は、商業的に入手可能なマイクロプロセッサのいずれかでありうるプロセッサ72と、メモリデバイス(「メモリ」)74と、プロセッサ72をメモリ74に接続するために適したバス構造とを含む。コントローラ70は、コンピュータ読み取り可能な媒体(たとえば、メモリ74、プロセッサ72、あるいはその両方)に格納される命令(ソフトウェア)を介してプログラムされ得る。当該命令は、LED型材料製造システム 10の様々な機能をコントローラ70に実現させ、基板40によって支持される材料層46のアニールを効果的なものにする。たとえば、コントローラ70は、第1の制御信号S1によってLEDアレイ60のLED66の動作を制御し、第2の制御信号S2によってチャック20の動作を制御する。
一実施の形態においては、LED型材料製造システム10は、冷却通路82を介して支持部材51に流体連結される冷却流体供給装置80を含む。冷却流体供給装置80は、冷却用の流体84を支持部材51、および、支持部材51内の冷却通路82とに供給し、戻ってくる冷却用の流体84を受け取り、複数のLED光源62によって生じる熱を取り除く。冷却用の流体84は、蒸留水や水グリセリン混合液などのような公知の冷却用の流体でありうる。
図2は、複数のLED光源62がすべて同じ、たとえば、それぞれのLED光源が同じ波長λの光64を発する場合の一実施の形態を示す。
図3は、図2と同様のものである。しかしながら、図3は、LED光源62のアレイ60が2つのタイプのLED光源62、すなわち、それぞれが異なる波長λとλの光64を発する62Aおよび62Bの一つを含むものである。
一実施の形態においては、λは材料層46のある効果を達成するために選択され、λは他の効果を達成するために選択される。たとえば、λは、材料層46(たとえば、λが400nmのすぐ下)の光プロセスを初期化したり促進したりできるように選択される。一方、λは、材料層46(たとえば、λ>400nm)を熱的に活性化させるため、あるいは、下方の基板40を熱するために、選択される他の波長でありうる。なお、これらは、期間中は、材料層46を熱したり、光プロセスの反応速度を高めたりする役割を果たす。
他の例としては、λは、材料層46の周囲の雰囲気中の化学物質を光活性化できるように選択され得る。一方、λは、基板40を熱し、その結果材料層46を熱するように選択され得る。たとえば、λは、生成されたオゾンに適したUV波長を有しうる。そして、オゾンは、熱せられた材料層46に作用しうる。これは、たとえば、材料層46のエッチングを促進することに利用され得る。
図4Aは、LED光源62の一例を示す正面図である。一実施の形態においては、LED光源62は、一般的に共通の面に配置されるLED66のサブアレイ66によって構成される。たとえば、LED光源62は、L=1cmで、L=1cmの寸法を有する。各LED66は、d=1mmで、d=1mmの寸法を有する。これによって、LED光源62は、64個のLED66の8×8のサブアレイを形成する。たとえば、各LED66は、光64のランバート放射を行う。LED66は、その中心同士の間隔がdであって、その間の間隔(たとえば、端と端の間隔)がdである。
図4Bは、図4Aと同様の図である。しかしながら、図4Bは、異なる波長λおよびλの光64Aおよび64Bを発する異なるLED66Aおよび66Bを含むLED光源62の一例を示す。異なる複数のLED光源62Aおよび62Bの数分布は、均等(たとえば、LED66Aが50%で、LED66Bが50%)である必要はない。しかしながら、それは、材料層46の所望のアニール効果が達成できるように選択された割合またはパーセンテージでありうる。
図5Aは、隙間を設けて配置された複数のLED光源62のアレイ60を含むLED光源アセンブリ50の一例を示す平面(正面)図である。ここでは、複数のLED光源62は、各々、LED66のサブアレイによって構成される。基板40の輪郭は、点線で示され、たとえば300mmの半導体ウエハでありうる。たとえば、複数のLED光源62は、前述の例であるLED光源66の1cm×1cmのアレイである。図5Aの一例としてのLED光源アセンブリ50は、93のLED光源62を含む。各LED光源62は、64個のLED66を有し、全体としては5千9百52(5,952)個のLED66を有する。
図5Bは、図5Aと同様の図であり、300mmの基板(ウエハ)40と、各々が1cmの複数のLED光源62を含むLED光源アセンブリ50を示す。複数のLED光源62は、LED光源アセンブリ50が基板40の上面42の全体を照射するように構成されている。図5Bは、下記においてより詳細に説明する。
材料層46の熱的な製造に関する一実施の形態においては、材料層46を有する基板40は、材料層46がLED光源アセンブリ50の下方に位置するようにチャック20上に配置される。LED光源アセンブリ50は、材料層46を光学的に製造するように、たとえば、光64の照度の均一性の最適量を提供するように、構成された複数のLED光源62の構造を有しうる。LED66からの光64の放射が典型的なランバートであるとき、それらは材料層46での最適な(あるいは実質的に最適な)照度分布に到達するように設計されうる。一実施の形態においては、照度均一性をより改善させるために、基板40は、(たとえば、チャック20を回転することによって)システムの垂直軸A1の回りに回転させられる。
基板40の複数の回転が所定の露光(アニール)時間に実行されるように、基板40はチャック20によって回転され得る。たとえば、基板40は、少なくとも300RPMの速度で回転する。他の例では、基板40は、100Hz(たとえば、6000RPM)の速度で回転する。たとえば、基板40は、材料層46とチャック20が許可するであろう、基板40の物理的な限界と同じ速さで回転する。図5Aは、冷却流体供給装置80をLED光源アセンブリ50の支持部材51に流体接続する冷却通路82の対を表示する。
一実施の形態においては、コントローラ70は、複数のLED光源62あるいは個々のLED66の活性化および不活性化を制御するように構成される。ホットプレートとは異なり、LED66は、即座につけたり切ったりできる。これによって、アニール時間と光64のエネルギー量をうまくコントロールできる。
加えて、LED光源アセンブリ50に採用される特定のLED66は、特定の材料層46の製造要求を満たすように選択されうる。たとえば、LED66は、材料層46を構成する有機材料の吸光特性に基づいて選択され得る。同様に、材料層46を構成しうるいくつかの有機材料は、熱だけに反応しうる。しかしながら、他のいくつかは、熱と光(たとえば、光化学)の両方に反応しうる。
LED66は、狭い帯域の発光体であるため、材料層46の製造を最適化するために、光64の所望の波長λ(あるいは波長λ,λ・・・の組み合わせ)が選択され得る。これは、ストロボ光源の改善である。なぜなら、ストロボは、帯域が広く、その放射を材料層46の吸光特性を満たすような狭い帯域に適合させることに向いていないからである。
たとえば、材料層46は、熱による製造および光による製造の組み合わせを求め得る。光による製造は、400nm未満の波長λを有する光64の放射によって実現される。光による製造の一例は、UV修復に関係する光反応である。たとえば、光による製造は、修復されていない材料(たとえば、中間誘電体)からの揮発性化合物の放出を備える。
しかしながら、400nm未満の波長を有するLED66は、比較的低い出力を有する傾向がある。たとえば、LED光源アセンブリ50は、光による製造を初期化するための、400nm未満の波長λの光64Aを発するいくつかのLED66(たとえば、LED66A)と、熱活性化のための400nmより長い波長λの光64Bを発するいくつかのLED(たとえば、LED66B)を有する前述したLED66Aおよび66Bを含みうる。LED66によって到達し得る熱による製造時間の定数は、ホットプレートによって達成されるものよりもかなり短いものである。これによって熱収支と修復状況とを改善し、このことは半導体製造に有益なものとなる。
一例としては、各LED光源62は、図3および図4Aに示されるように、全ての同じタイプのLED66(たとえば、LED66AとLED66Bのいずれか)を含む。他の例としては、各LED光源62は、図4Bに示されるように、LED66Aおよび66Bの混合を含む。熱活性化LED66Bのための時間tと異なるように、UV修復のLED66Aの材料層46への照射時間tの長さが、コントローラ70によって制御されうる。
たとえば、材料層46は、フォトレジストによって構成される。特定の場合には、材料層46は、化学的増幅フォトレジストによって構成される。第2の範囲およびサブの第2の範囲のアニール時間における高温アニールが、フォトレジスト露光特性を改善するために用いられうることが知られている。例えば、250℃を超える温度で後露光焼成される場合、フォトレジストは、より大きな感光性を有するように製造される。
フォトレジスト性能が強化される原因が、本研究の目的である。しかしながら、一般的なレベルにおいては、化学的増幅フォトレジストに関しては、2つの競合するプロセスがあることが理解されている。1つのプロセスは、感光性を改善することになる酸を生成する活性化プロセス(すなわち、酸活性化プロセス)である。第2のプロセスは、酸の生成を制限する不活性化プロセスである。化学的増幅フォトレジストにおいては、フォトンが吸収されたとき、フォトレジスト内に酸性分子が生成される。この酸性分子は、フォトレジスト分子の近くに「露出」され、次に、他の酸性分子も生成する。このプロセスは、酸不活性化プロセスの休止中に停止することなく続行しうる。このように、不活性化プロセスは、2次的な酸性分子の生成が、全体的なフォトレジスト層を崩れさせたり露光させたりすることを防止する。しかしながら、不活性化プロセスは、フォトレジストの速度(露光時間)に制限もかける。
図6は、温度の逆数T−1に対する反応速度R(相対ユニット)のプロット図であって、Tの単位は℃である。当該プロットは、材料層46で生じうる2つの異なる温度依存プロセスPおよびPの特徴の例を示す。たとえば、材料層46は、化学的増幅フォトレジストを含み、プロセスPは酸活性化(あるいは酸生成)プロセスを示しうる。プロセスPは、酸不活性化プロセスを示しうる。室温においては、2つのプロセスPおよびPは、いくぶん同等の反応速度を有する。しかしながら、高温においては、酸生成プロセスPは、酸不活性プロセスPよりも反応速度が速くなる。したがって、目的が材料層(フォトレジスト層)46の感度を増加させることである場合、基板(ウエハ)40は高い温度でアニールされ、それ故より多くの酸性分子を生成し、材料層46中の不活性分子が低減される。
図6の例では、400℃から500℃(たとえば、450℃)の範囲の温度で材料層46がアニールされる場合、プロセスPおよびPの反応速度Rに適度な違いが生じうる。酸生成プロセスPにおける反応速度Rは、酸不活性化プロセスPにおけるものよりも実質的に大きい。しかしながら、これらの高い温度における酸生成プロセスPの反応速度Rは、室温のそれよりも50から100倍大きい。したがって、酸性分子の安定量に達するためには、アニール時間は50倍から100倍減らさなければならない。従来の後露光焼成プロセスは、典型的に、60から120秒の範囲の時間の間、実行されるものである。これは、400℃から500℃の間におけるアニール時間(継続時間)が約1から2秒にまで短縮されることを示唆している。
このように、本開示の一局面は、一例としての200℃から500℃の範囲の温度において、約0.1秒から10秒までの範囲におけるアニール時間での材料層46のアニールを含む。他の例としては、アニール時間は、0.1秒から2秒の範囲内である。他の例としては、アニール時間は、0.1秒から1秒である。
一実施の形態においては、光64は、高いエネルギーのフォトンがフォトレジストの像記録特性に悪影響を及ぼさないように、400nmより長い波長を有する。UV活性化に関しては、たとえば、400nmよりも短い(たとえば、365nm以下の)1または複数の波長が採用されうる。それに対して、熱活性化に関しては、400nmよりも長い1または複数の波長が採用されうる。
フォトレジストが露光されてフォトレジストパターンが形成されるとき、フォトレジストパターンの輪郭線はある一定の粗さを有している。これは、「輪郭線粗さ」と言われる。輪郭線粗さは、露光されたフォトレジストの高温アニール(すなわち、その後半は焼成プレートの使用が示唆される後露光焼成と同様の後露光アニール)を実行することによって劇的に低減することができる。輪郭線粗さは、流れ始めるポイントまでフォトレジストの温度を高めることによって、低減され得る。しかしながら、当該プロセスは、輪郭線自体を、流れさせたり、あるいは品質を劣化させないことが必要である。
輪郭線粗さの低減は、フォトレジスト感度に関して、上述したように、2つの競合するプロセスを有するものと考えられる。そのため、図6のグラフが適用され、そこでは、酸生成プロセスPが、輪郭粗さが低減するプロセスの反応速度であって、酸不活性化プロセスPが線自身が劣化するプロセスである。これらのプロセスPおよびPの各々は、温度Tの関数である、対応する反応速度R(たとえば、RPAおよびRPB)を有する。温度Tを増加させたり、アニール時間を短くさせたりすることによって、実質的に線そのものを劣化させることなく輪郭粗さを低減しうる。
このように、フォトレジスト感度および輪郭粗さに関する上述の2つの例は、材料層46が、温度の関数としての異なる反応速度を有する2つの競合するプロセスを有するときに、LED光源アセンブリ50が、任意の材料層46における温度に対する時間のバランスを調整することによって、どのようにして材料層46のアニールを実行するために利用されうるのかを示す。
基板40がシリコン(たとえば、シリコンウエハ)から作られており、アニール時間が100ミリ秒を超えている場合の一例としては、シリコンの熱伝導性は、シリコンウエハ全体が光64によって温められることを保証する。特に、アニール時間は100ミリ秒であり、シリコンの熱拡散距離は約1mmであり、これは典型的なシリコンウエハの厚みよりも大きい。したがって、ウエハは、熱的に均一になり、材料層46を均一的に熱するように利用されうる。特に、材料層46において発生する1つまたは複数の温度依存の熱プロセスを強化させるために利用されうる。材料層46か基板(シリコンウエハ)40のいずれかに吸収される光に関しては、波長λが約400nmと2ミクロンの間の範囲であることが好ましい。このような実用においては、ウエハの全体を温めるのに必要なエネルギーの量が決定されうる。
シリコンの熱容量は、約0.7ジュール/(gm−℃)である。厚みが750ミクロンの300mmウエハの温度を1秒間で100℃上げるには、ウエハが8.5KJのエネルギー(12ジュール/cm)を吸収する必要がある。LED光源アセンブリ50は、たとえば、1mm×1mmのパッケージから500mWと1000mWの間ぐらいのエネルギーを放射するLED66を利用することによって、この量のエネルギーを供給することができる。そのようなLED66は、たとえば、日本の日亜会社あるいはノースカロライナのDorhamのCree,Incから購入可能である。
図4Aおよび図4Bに示されるように、LED光源62の一例は、LED66の8×8アレイによって構成される。それぞれのLED66は、1cm×1cmのパッケージである。LED66は、冷却を促進するのに好ましい間隔をあけて配置される。このため、そのようなLED光源62の一例は、1cmパッケージ内において32〜64ワットの間の放射能力を有する。1秒の間に、LED光源62は出力32〜64ジュール/cmを発することができる。これは、一例としての300mmシリコンウエハを、100℃上げるための要求を超えている。シリコンの熱伝導性は、材料層46のアニールの温度均一性を改善する役割を果たす。
そのため、一例において、図5AのLED光源アセンブリ50は、1秒以下のオーダーのアニール時間内に、100mm(〜4”)基板(シリコンウエハ)40を数百℃にまで温めることに利用されうる。一実施の形態においては、LED光源62は、LED66のm×mアレイによって構成される。そして、一例としてのmは、4から10の範囲内にある。他の例としてのmは、6から8の範囲内にある。一例としての図5aのLED光源アセンブリ50は、95個のLED光源62を有する。LED光源62の各々は、64個のLED66(すなわち、m=8)を含み、一例としてのLED光源アセンブリ50は、約6000個のLED66、正確には6080個のLED66を有している。他の例においては、LED光源62は、長方形または線形配列のLED66のn×mアレイによって構成される。ここで、nは1以上であって、mは2から10の範囲内にある。
図5Bは、図5Aと同様の図であって、300mm基板(ウエハ)40およびLED光源アセンブリ50の一例とを示す。ここでは、1cmのLED光源62が基板40をカバーする。300mmの基板(ウエハ)40の面積は、約706cmである。このエリアをカバーする1平方cmの使用は約10%程度余計にエリアをカバーする必要があり、これによって778個のLED光源62が必要になる。各LED光源62が64個のLED66を含む場合(すなわち、m=8のとき)、LED光源アセンブリ50は約48000個のLED66を有する(たとえば、750×64)。
そのため、半径Rを有する基板(ウエハ)40に関しては、LED光源アセンブリ50に必要とされる1cmのLED光源62の個数NLSは、NLS=(1.1)・π・R(Rの単位はcm)という式に近似される。任意値mにおけるLED66の個数NLEDは、NLED=N・mで与えられる。そのため、100mm〜300mmの範囲の基板40に関しては、個数NLSは、約80から約800の範囲にありうる。LED66の個数NLEDは、約5000から50000の範囲にありうる。
いくつかのフォトレジストの実用においては、フォトレジストの温度は室温からプロセス温度(すなわち、後露光焼成温度)まで上昇させられる。例として、プロセス温度が400℃であって、これがシリコン基板40を加熱することによって達成される場合、基板40は約50ジュール/cmのエネルギーを吸収する必要がある。LED光源アセンブリ50は、1秒未満のうちにこのエネルギーを提供しうる。
図7は、図5Aおよび図5Bと同様であって、LED光源アセンブリ50が、各々がLED66のアレイによって構成されている複数のLED光源62の複数のアレイ60というよりもLED66のアレイを含む場合の一実施の形態を示す。LED66のアレイは、単一の大型LED光源62として考えられうる。LED光源アセンブリ50のこのような特定の実施の形態は、LED66の配置に関してより融通がきくものであって、その結果、材料層46に伝導される光64をより均一なものへと導きうる。LED66のアレイは、異なる波長を発するLED66によって構成されうる。
典型的な個々のLED66は、1mm×1mmの寸法を有する。たとえば、LED66は、約200マイクロ(図4Aを参照。)の隙間距離d(すなわち、端部と端部との間隔)によって隔離されている。大きな隙間距離dが採用されるほど、LED66の寸法よりも大きな隙間距離が基板40の上面42あるいは材料層46における低い照度均一性を導きやすくなる。任意のLED66からの光64の放射はランバートに非常に似ている。均一性の理由から、材料層46においてLED66からのランバート放射を隣からの放射に重ならせることが要求される。
たとえば、この重なりは、1/eの強度ポイントぐらいにおいて生じうる。近接するLED66の強度の重なりの状態は、LED66と、基板40の上面42あるいは基板40上の材料層46との間の最小の軸方向距離D1を決定する。たとえば、軸方向の距離D1は、LED66間の中心から中心までの距離dに略等しい。200マイクロの隙間間隔dを有する1mm平方のLED66に関して、軸方向距離D1≒1.2mm=dである。隙間を大きくするほど、照度均一性を改善しうるが、材料層46における強度の低下を招きうる。これは、ピークアニール温度も低下させる。なお、光(照度)64の均一性は、アニール中の基板40の回転、ディフューザーの使用(図8Bを参照、)、あるいはこれらの手法の組み合わせによっても、改善しうる。
上述の例においては、LED光源62のLED66の中心から中心の距離dは約1.2mmである。各々のLEDの面積は、約1.44mmである。そのような例において、半径Rの基板40のLED66の個数NLEDは、基板40の面積(単位はmm)を1.44で除することによって近似される。
図8Aは、図1と同様の図であって、LED型材料製造システム10が、内部102を有するプロセスチャンバー100を含む場合の一実施の形態を示す。LED光源アセンブリ50およびチャック20は、プロセスチャンバー100の内部102に配置される。このようなLED型材料製造システム10の構成は、プロセスチャンバー100の内部102に形成される制御環境にて実行されるLED光源アセンブリ50を使用することによる材料層46の熱アニールを実現する。たとえば、プロセスチャンバー100の内部102は、1種類の不活性ガス(あるいは複数種類のガス)あるいは1種類のプロセスガス(あるいは複数種類のガス)を含みうる。
このため、上述したように、波長λは、材料層46の雰囲気中のガスを光活性できるように選択されうる。波長λは、基板40を加熱し、それによって材料層46を加熱するように選択されうる。たとえば、λは、酸素からオゾンを製造するために適したUV波長を有する。そして、オゾンは、温められた材料層46に作用しうる。このことは、たとえば、材料層46のエッチングを改善するために利用される。
図8Bは、図8Aと同様の図であって、LED光源アセンブリ50と基板40との間に配置されたディフューザー150を含む一実施の形態を示す。ディフューザー150は、複数のLED光源62からの光64を分配あるいは拡散し、拡散あるいは分配された光64Sを形成する。このように、ディフューザー150は、基板40の上面42、あるいはその上に配置された材料層46において、光64を均一化する役割を果たす。
本開示の他の局面は、材料製造システムである。材料製造システムは、短波長のLED(たとえば、λ<365nm)と長波長のLED(たとえば、λ>400nm)の組み合わせを含み得る。材料製造システムは、P型レイヤーの導電性を改善することによってその性能を改善するために、GaNデバイス(たとえば、製造下のGaNのLED)をアニールするために利用されうる。短い波長の放射は、フォトンエネルギー(すなわち、光プロセス)に依存し、温度依存の反応速度を有する光プロセスを活性化することに利用され得る。一方、長い波長の放射は、基板の温度を高めるために利用され、材料層を熱する。これによって、光プロセスの温度依存の反応速度を増加させる。
本開示の他の局面は、基板上に形成される材料層を製造するための材料製造システムである。材料製造システムは、システムの軸に沿って配置されるチャックを有する。チャックは、台と台上の断熱層とを有する。断熱層は、基板を支持するように構成されている。材料製造システムは、LED光源アセンブリを有する。LED光源アセンブリは、システム軸に沿って配置され、LED光源アセンブリとチャックとの間の光伝導空間を規定するためにチャックから軸方向に離間される。LED光源アセンブリは、基板と略平行な平面上のLED光源のアレイを備える。各LED光源は、光伝導領域を介してチャックに光を発する複数のLEDを備える。LED光源アセンブリは、全体の個数がNLSのLED光源を有する。NLSは、80≦NLS≦800の範囲にある。複数のLEDは、第1および第2のLEDからなり、それぞれは波長λ<365と波長λの光を発する。なお、400nm<λ<2μmである。
本開示の他の局面は、上述の材料製造システムであって、各々のLED光源がm×mのLEDのアレイを含み、4≦m≦10である。
本開示の他の局面は、上述の材料製造システムであって、LED光源アセンブリは、全体の個数がNLEDのLEDを有し、NLEDは5000≦NLED≦50000の範囲にある。
本開示の他の局面は、上述の材料製造システムであって、コントローラをさらに含む。コントローラは、複数のLED光源に操作可能に接続され、LEDによって発せられる光の量を制御可能に構成される。
本開示の他の局面は、上述の材料製造システムであって、チャックが回転可能である。
本開示の他の局面は、上述の材料製造システムであって、LED光源のアレイの近傍に配置されるディフューザーをさらに備え、ディフューザーはLEDからの光を分散あるいは拡散するように構成されている。
本開示の他の局面は、基板によって操作可能に支持される材料層の製造方法である。製造方法は、基板に略平行な平面に位置するLED光源のアレイを有するLED光源アセンブリの下方に基板を配置することを含む。各々のLED光源は、複数のLEDを備える。複数のLEDは、複数のLED光源と材料層との間の自由空間光伝導領域を介して材料層に向けて光を発する。LED光源アセンブリは、全体の個数がNLSの複数のLED光源を有する。NLSは80≦NLS≦800の範囲にある。複数のLEDは、第1および第2のLEDを含む。第1および第2のLEDは、それぞれ波長λ<365の光と波長λ>400nmの光を発する。製造方法は、第1のLEDを活性化して、第1のLED光を材料層46に照射して、第1の反応速度で材料層においてプロセスを初期化することを含む。製造方法は、第2のLEDを活性化して、材料層を通って第2のLED光を基板に照射して、熱せられた基板を形成することをさらに含む。製造方法は、プロセスが第1の反応速度よりも早い第2の反応速度を有するように熱せられた基板を利用して材料層を熱することを含む。
本開示の他の局面は、上述の製造方法であって、材料層がフォトレジストを備え、フォトレジストは、フォトリソグラフィープロセスを利用して露光されたものである。
本開示の他の局面は、上述の製造方法であって、第1および第2のLEDを活性化している間に基板を回転することをさらに含み、当該回転は少なくとも300RPMの回転速度を有する。
本開示の他の局面は、上述の製造方法であって、材料層における第1および第2のLED光の照度均一性の量を増加させるために、第1および第2のLED光をディフューザーに通す。
当業者には明白であるが、添付の特許請求の範囲に記載される本開示の精神および範囲を逸脱することなく、ここに記述される本開示の好ましい実施形態に対して様々な修正を加えることができる。したがって、本開示は、添付の特許請求の範囲およびその均等範囲内において本開示の修正および変更を包含する。

Claims (15)

  1. 基板に操作可能に支持され、温度依存の感光性を有するフォトレジスト層の製造方法であって、
    基板に略平行な平面上のLED光源のアレイを有するLED光源アセンブリの下方に基板を配置することであって、前記LED光源は、複数の第1のLEDおよび複数の第2のLEDを含むことと、
    2秒以下の間、第1の波長λ <365nmを有する前記複数の第1のLEDからの第1の光によって前記フォトレジスト層を照射し、前記フォトレジスト層を活性化することと、
    2秒以下の間、第2の波長λ >400nmを有する前記複数の第2のLEDからの第2の光によって前記フォトレジスト層を照射して、450℃よりも低い温度を有する熱された基板を形成することと、
    フォトレジストの感光性を増加させるために熱せられた基板を利用して前記フォトレジスト層を熱することとを備え、
    前記LED光源アセンブリは全体の個数NLSのLED光源を有し、NLSは80≦NLS≦800の範囲であり、
    前記基板の表面の近傍に反応性ガスを供給することと、
    前記反応性ガスを介して前記第1の光および第2の光を前記基板に照射することと
    をさらに備え、
    前記反応性ガスが前記フォトレジスト層と反応する、方法。
  2. 前記基板の照射中に前記基板を回転することをさらに備える、請求項1に記載の方法。
  3. LEDからの光をディフューザーに通して、前記フォトレジスト層におけるLED光の照度均一性を向上させることをさらに備える、請求項1または2に記載の方法。
  4. 基板に操作可能に支持され、温度依存の反応速度を有するフォトレジスト層の製造方法であって、
    前記フォトレジスト層のフォトリソグラフィー露光を実行することによって、前記フォトレジスト層のフォトレジスト特徴を形成することと、
    2秒以下の間、第1の波長λ<365nmを有する複数の第1のLEDからの第1の光によって前記フォトレジスト層を照射し、前記フォトレジスト層を活性化することと、
    2秒以下の間、第2の波長λ >400nmを有する複数の第2のLEDからの第2の光を、前記フォトレジスト層を介して前記基板に照射して、前記基板を450℃以下の温度に熱することと、
    前記基板からの熱によって前記フォトレジスト層を熱することによって、前記フォトレジスト層の温度依存の反応速度を高めることと
    を備えるともに、
    前記基板の表面の近傍に反応性ガスを供給することと、
    前記反応性ガスを介して前記第1および第2の光を前記基板に照射することと
    をさらに備え、
    前記反応性ガスが前記フォトレジスト層と反応する、方法。
  5. 300RPM以上の速度で前記基板を回転させることをさらに備える、請求項に記載の方法。
  6. 前記複数の第1のLEDと第2のLEDは、第1および第2のLEDの5,000から50,000の間の全体個数を規定する、請求項またはに記載の方法。
  7. 前記第1の光をディフューザーに通して、ディフューザーがない場合と比較して、前記第1の光の前記フォトレジスト層における均一性をより向上させることをさらに備える、請求項からのいずれか1項に記載の方法。
  8. 前記第2の光をディフューザーに通して、ディフューザーがない場合と比較して、前記第2の光の前記フォトレジスト層における均一性をより向上させることをさらに備える、請求項からのいずれか1項に記載の方法。
  9. 前記フォトレジスト層との反応はエッチプロセスである、請求項4から8のいずれか1項に記載の方法。
  10. 前記反応性ガスは、酸素と反応する第1および第2の光の少なくともいずれかによって形成されるオゾンを含む、請求項4から9のいずれか1項に記載の方法。
  11. 基板に操作可能に支持される材料層の製造方法であって、
    0.1秒から2秒の間の期間、第1の波長λ<365nmを有する複数の第1のLEDからの第1の光によって材料を照射して、温度依存の反応速度を有する材料層の処理を初期化することと、
    第2の波長λ >400nmを有する複数の第2のLEDからの第2の光を、2秒以下の間、前記材料層を介して前記基板に照射して、450℃以下の温度に前記基板を熱することと、
    前記基板からの熱で前記材料層を熱することによって、前記材料層のプロセスの温度依存の反応速度を高めることと
    を備え、
    前記材料層は、前記基板に形成され、欠陥密度を有するドープ層であり、
    前記プロセスは、前記ドープ層に捉えられた水素を放出することによって、欠陥密度を低減させることを備える、方法。
  12. 前記材料層は、修復されていない中間誘電体材料を含み、
    前記プロセスは、修復されていない中間誘電体材料からの揮発性成分を放出することを備える、請求項11に記載の方法。
  13. 基板に操作可能に支持され、反応速度を有する少なくとも1つのプロセスを有する材料層の製造方法であって、
    波長λ>400nmを有する複数のLEDからの光を、0.1秒から10秒の範囲の時間、前記材料層を介して基板に照射して、200℃から500℃の範囲の温度に前記基板を熱することと、
    前記基板からの熱によって前記材料層を熱することによって、前記材料層の少なくとも1つのプロセスの温度依存の反応速度を高めることと
    を備え、
    前記材料層がフォトレジストを含み、
    少なくとも1つのプロセスが、酸活性化プロセスと酸不活性化プロセスとを含み、それぞれのプロセスが第1および第2の温度依存の反応速度を有し、第1の温度依存の反応速度は第2の温度依存の反応速度よりも大きく、前記材料層を熱して第1および第2の温度依存の反応速度の差を拡大させる、方法。
  14. LEDの個数が、5,000から50,000の範囲である、請求項13に記載の方法。
  15. 前記時間が0.1秒から1秒の間である、請求項13または14に記載の方法。
JP2016002305A 2013-02-28 2016-01-08 発光ダイオードを利用した材料の製造システムおよび製造方法 Expired - Fee Related JP6154034B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/781,682 2013-02-28
US13/781,682 US20140238958A1 (en) 2013-02-28 2013-02-28 Systems and methods for material processing using light-emitting diodes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014011186A Division JP5964870B2 (ja) 2013-02-28 2014-01-24 発光ダイオードを利用した材料の製造システムおよび製造方法

Publications (2)

Publication Number Publication Date
JP2016122844A JP2016122844A (ja) 2016-07-07
JP6154034B2 true JP6154034B2 (ja) 2017-06-28

Family

ID=51387093

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014011186A Expired - Fee Related JP5964870B2 (ja) 2013-02-28 2014-01-24 発光ダイオードを利用した材料の製造システムおよび製造方法
JP2016002305A Expired - Fee Related JP6154034B2 (ja) 2013-02-28 2016-01-08 発光ダイオードを利用した材料の製造システムおよび製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014011186A Expired - Fee Related JP5964870B2 (ja) 2013-02-28 2014-01-24 発光ダイオードを利用した材料の製造システムおよび製造方法

Country Status (4)

Country Link
US (1) US20140238958A1 (ja)
JP (2) JP5964870B2 (ja)
KR (1) KR20140108131A (ja)
TW (1) TWI520220B (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9541836B2 (en) * 2014-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for baking photoresist patterns
JP7078838B2 (ja) * 2017-12-01 2022-06-01 東京エレクトロン株式会社 プローバ
US11880139B2 (en) * 2021-09-23 2024-01-23 Honeywell Federal Manufacturing & Technologies, Llc Photolithography system including selective light array

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6100012A (en) * 1998-07-06 2000-08-08 National Semiconductor Corporation Infra-red radiation post-exposure bake process for chemically amplified resist lithography
US6476362B1 (en) * 2000-09-12 2002-11-05 Applied Materials, Inc. Lamp array for thermal processing chamber
WO2002065514A1 (en) * 2001-02-12 2002-08-22 Micro C Technologies, Inc. Ultra fast rapid thermal processing chamber and method of use
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP5080009B2 (ja) * 2005-03-22 2012-11-21 日立ビアメカニクス株式会社 露光方法
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
US20070212859A1 (en) * 2006-03-08 2007-09-13 Paul Carey Method of thermal processing structures formed on a substrate
US8404499B2 (en) * 2009-04-20 2013-03-26 Applied Materials, Inc. LED substrate processing
JP2011159832A (ja) * 2010-02-01 2011-08-18 Yamaguchi Univ 半導体発光装置
JP5605134B2 (ja) * 2010-09-30 2014-10-15 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5875759B2 (ja) * 2010-10-14 2016-03-02 株式会社Screenセミコンダクターソリューションズ 熱処理方法および熱処理装置
JP5568495B2 (ja) * 2011-03-15 2014-08-06 東京エレクトロン株式会社 熱処理装置
KR20130011933A (ko) * 2011-07-20 2013-01-30 울트라테크 인크. GaN LED 및 이것의 고속 어닐링 방법
WO2013179922A1 (en) * 2012-05-31 2013-12-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20140065838A1 (en) * 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation

Also Published As

Publication number Publication date
JP2016122844A (ja) 2016-07-07
TWI520220B (zh) 2016-02-01
JP5964870B2 (ja) 2016-08-03
TW201434090A (zh) 2014-09-01
KR20140108131A (ko) 2014-09-05
US20140238958A1 (en) 2014-08-28
JP2014209556A (ja) 2014-11-06

Similar Documents

Publication Publication Date Title
TWI692012B (zh) 旋轉基板雷射退火
JP5786487B2 (ja) 熱処理装置及び熱処理方法
JP5055756B2 (ja) 熱処理装置及び記憶媒体
JP6154034B2 (ja) 発光ダイオードを利用した材料の製造システムおよび製造方法
TWI575635B (zh) 用於快速熱處理的裝置及方法
US7102141B2 (en) Flash lamp annealing apparatus to generate electromagnetic radiation having selective wavelengths
TWI712088B (zh) 熱處理裝置
KR101671160B1 (ko) 다수-스테이지 광학 균질화
US8005352B2 (en) Heat treating device
JP2012178576A (ja) 熱処理装置及び記憶媒体
US10312117B2 (en) Apparatus and radiant heating plate for processing wafer-shaped articles
KR20140089854A (ko) 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법
US20170194162A1 (en) Semiconductor manufacturing equipment and method for treating wafer
TW546679B (en) Heating method
JP4847046B2 (ja) 熱処理装置
TW202042328A (zh) 加熱處理方法及光加熱裝置
CN114381361B (zh) 光活化装置及其控制方法
CN116705644A (zh) 晶圆处理设备和半导体制造设备
CN117219532A (zh) 晶圆处理设备和半导体制造设备
TW202403885A (zh) 熱處理裝置
JP2009032711A (ja) 半導体装置の製造方法
JP2018022761A (ja) 光源ユニット
JP2001044130A (ja) 加熱方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170523

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170531

R150 Certificate of patent or registration of utility model

Ref document number: 6154034

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees