TWI508173B - A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium - Google Patents

A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium Download PDF

Info

Publication number
TWI508173B
TWI508173B TW102117903A TW102117903A TWI508173B TW I508173 B TWI508173 B TW I508173B TW 102117903 A TW102117903 A TW 102117903A TW 102117903 A TW102117903 A TW 102117903A TW I508173 B TWI508173 B TW I508173B
Authority
TW
Taiwan
Prior art keywords
gas
layer
supplying
wafer
processing chamber
Prior art date
Application number
TW102117903A
Other languages
English (en)
Other versions
TW201409570A (zh
Inventor
Ryota Sasajima
Yoshinobu Nakamura
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201409570A publication Critical patent/TW201409570A/zh
Application granted granted Critical
Publication of TWI508173B publication Critical patent/TWI508173B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

半導體裝置之製造方法、基板處理裝置及記錄媒體
本發明,係有關於包含有在基板上形成薄膜的工程之半導體裝置之製造方法、基板處理裝置及記錄媒體者。
伴隨著半導體裝置(元件)的細微化,對於將電晶體之閘極和源極之間的寄生電容降低的要求係日益提高。因此,作為側壁膜等,代替從先前技術起便使用至今之氮化矽膜(Six Ny 膜,以下,亦有單純稱作SiN膜的情況),係對於採用介電率更低之膜(Low-k膜)一事有所檢討。在對於SiN膜中添加有氧(O)以及碳(C)之氧碳氮化矽膜(SiOCN膜)中,係藉由添加O而實現低介電率化,並且將起因於添加O而導致劣化的濕蝕刻耐性和乾蝕刻耐性,藉由添加C來使其回復或者是改善者。
SiOCN膜,例如,係對於處理室內之被加熱了的晶圓,而依序進行供給含矽氣體之工程、和供給含碳氣體之工程、和供給氮化氣體之工程、以及供給氧化氣體之工程,並將此作為1個循環,而藉由實施特定次數之此一 循環,係能夠進行成膜,此事,係為周知(例如參考專利文獻1)。
[先前技術文獻] [專利文獻]
[專利文獻1]日本特開2011-238894號公報
近年來,作為電晶體之閘極絕緣膜,係採用有高介電率絕緣膜(High-k膜),起因於此,對於將被形成在閘極周邊處之側壁膜等的薄膜之成膜溫度降低至例如600℃以下或者是更進而降低至450℃以下之低溫區域的要求,係日益提高。然而,若是使成膜溫度降低至此種低溫區域,則薄膜之成膜速度係降低,而會有使半導體裝置之生產性降低之虞。
本發明之目的,係在於提供一種:當在低溫區域中而形成包含特定元素、氧、碳以及氮之薄膜時,能夠對於成膜速度之降低作抑制的半導體裝置之製造方法、基板處理裝置及記錄媒體。
若依據本發明之其中一種形態,則係提供一種半導體裝置之製造方法,其特徵為:係具備有:將包含有對於基板而供給含特定元素氣體的工程、和對於前述基 板而供給含碳氣體的工程、和對於前述基板而供給氧化氣體的工程、以及對於前述基板而供給氮化氣體的工程之循環,進行特定之次數,藉由此而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的工程,在形成前述薄膜之工程中,係構成為在進行供給前述含特定元素氣體的工程之前,先進行供給前述氮化氣體的工程,並在進行了此供給氮化氣體的工程之後,於直到進行前述供給特定元素之氣體的工程為止的期間中,並不進行前述供給含碳氣體的工程以及供給前述氧化氣體的工程。
若依據本發明之其他形態,則係提供一種基板處理裝置,其特徵為,具備有:收容基板之處理室;和對於前述處理室內之基板而供給含有特定元素氣體之含特定元素氣體供給系;和對於前述處理室內之基板而供給含碳氣體之含碳氣體供給系;和對於前述處理室內之基板而供給氧化氣體之氧化氣體供給系;和對於前述處理室內之基板而供給氮化氣體之氮化氣體供給系;和藉由將包含有對於前述處理室內之基板而供給前述含特定元素氣體的處理、和對於前述處理室內之基板而供給含碳氣體的處理、和對於前述處理室內之基板而供給氧化氣體的處理、以及對於前述處理室內之基板而供給氮化氣體的處理之循環,進行特定之次數,而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的處理,並在形成前述薄膜之處理中,構成為在進行供給前述含特定元素氣體的處理之前,先進行供給前述氮化氣體的處理,並在進行了此供給 氮化氣體的處理之後,於直到進行供給前述特定元素之氣體的處理為止的期間中,並不進行供給前述含碳氣體的處理以及供給前述氧化氣體的處理,而如此這般地來對於前述含特定元素氣體供給系、前述含碳氣體供給系、前述氧化氣體供給系以及前述氮化氣體供給系作控制之控制部。
若依據本發明之又一其他形態,則係提供一種電腦可讀取之記錄媒體,其特徵為:係記錄有程式,該程式,係使電腦實行:將包含有對於基板處理裝置之處理室內的基板而供給含特定元素氣體的程序、和對於前述處理室內之前述基板而供給含碳氣體的程序、和對於前述處理室內之前述基板而供給氧化氣體的程序、以及對於前述處理室內之前述基板而供給氮化氣體的程序之循環,進行特定之次數,而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的程序,在形成前述薄膜之程序中,係構成為在進行供給前述含特定元素氣體的程序之前,先進行供給前述氮化氣體的程序,並在進行了此供給氮化氣體的程序之後,於直到進行供給前述特定元素之氣體的程序為止的期間中,並不進行供給前述含碳氣體的程序以及供給前述氧化氣體的程序。
若依據本發明之半導體裝置之製造方法、基板處理裝置及記錄媒體,則當在低溫區域中而形成包含特定元素、氧、碳以及氮之薄膜時,係成為能夠對於成膜速 度之降低作抑制。
121‧‧‧控制器
200‧‧‧晶圓
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
231‧‧‧排氣管
232a‧‧‧第1氣體供給管
232b‧‧‧第2氣體供給管
232c‧‧‧第3氣體供給管
232d‧‧‧第4氣體供給管
[圖1]係為可合適地使用在本實施形態中之基板處理裝置的縱型處理爐之概略構成圖,並為藉由縱剖面圖來對於處理爐部分作展示之圖。
[圖2]係為可合適地使用在本實施形態中之基板處理裝置的縱型處理爐之概略構成圖,並為藉由圖1之A-A線剖面圖來對於處理爐部分作展示之圖。
[圖3]係為可合適地使用在本實施形態中之基板處理裝置的控制器之概略構成圖。
[圖4](a)係為對於本實施形態之第1序列中的氣體供給之時序作展示之圖,(b)係為對於其之變形例中的氣體供給之時序作展示之圖。
[圖5](a)係為對於本實施形態之第2序列中的氣體供給之時序作展示之圖,(b)係為對於其之變形例中的氣體供給之時序作展示之圖。
[圖6](a)係為對於本發明之實施例中的氣體供給之時序作展示之圖,(b)係為對於比較例中的氣體供給之時序作展示之圖。
[圖7]對於本發明之實施例以及比較例的SiOCN膜之膜厚的測定結果作展示之圖。
以下,根據圖面,針對本發明之實施型態作說明。
(1)基板處理裝置之構成
圖1,係為可合適地使用在本實施形態中之基板處理裝置的縱型處理爐之概略構成圖,並藉由縱剖面圖來對於處理爐202部分作展示。圖2,係為可合適地使用在本實施形態中的縱型處理爐之概略構成圖,並藉由圖1之A-A線剖面圖來對於處理爐202部分作展示。另外,本發明,係並不被限定於本實施形態之基板處理裝置,亦可合適地適用具備有單片式、Hot Wall型、Cold Wall型之處理爐的基板處理裝置。
如圖1中所示一般,處理爐202,係具備有作為加熱手段(加熱機構)之加熱器207。加熱器207係為圓筒形狀,並藉由被支持於作為保持板之加熱器基座(未圖示)處,而被垂直地作安置。另外,加熱器207,係亦如同後述一般地,作為藉由熱來使氣體活性化之活性化機構而起作用。
在加熱器207之內側,係與加熱器207成同心圓狀地而被配置有構成反應容器(處理容器)之反應管203。反應管203,例如係由石英(SiO2 )或者是碳化矽(SiC)等之耐熱性材料所成,並被形成為將上端閉塞並且使下端作了開口的圓筒形狀。在反應管203之筒中空部 處,係被形成有處理室201,並構成為能夠將作為基板之晶圓200藉由後述之埠217來以水平姿勢且在垂直方向上作了多段整列的狀態而作收容。
在處理室201內,第1噴嘴249a、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d,係以貫通反應管203之下部的方式而被作設置。在第1噴嘴249a、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d處,係分別被連接有第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d。如此這般,在反應管203處,係被設置有4根的噴嘴249a、249b、249c、249d嗨4根的氣體供給管232a、232b、232c、232d,並構成為能夠對於處理室201內而供給複數種類(於此係為4種)之氣體。
另外,在反應管203之下方,係亦可設置支持反應管203之金屬製的岐管,並將各噴嘴以貫通此金屬製之岐管之側壁的方式來作設置。於此情況,係亦可在此金屬製之岐管處,更進而設置後述之排氣管231。另外,於此情況,亦同樣的,係可將排氣管231,並非設置在金屬製之岐管處,而是設置在反應管203之下部處。如此這般,亦可構成為:將處理爐202之爐口部設為金屬製,並在此金屬製之爐口部處安裝噴嘴等。
在第1氣體供給管232a處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241a、以及身為開閉閥之閥243a。 又,在第1氣體供給管232a之較閥243a更下游側處,係被連接有第1惰性氣體供給管232e。在此第1惰性氣體供給管232e處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241e、以及身為開閉閥之閥243e。又,在第1氣體供給管232a之前端部處,係被連接有上述之第1噴嘴249a。第1噴嘴249a,係在反應管203之內壁和晶圓200之間的圓弧狀之空間中,沿著反應管203之內壁而從下部起朝向上部,以朝向晶圓200之積載方向上方來立起的方式而作設置。亦即是,第1噴嘴249a,係在晶圓200所被作配列之晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。第1噴嘴249a,係作為L字型之長噴嘴而被構成,其之水平部,係以貫通反應管203之下部側壁的方式而被作設置,其之垂直部,係以至少從晶圓配列區域之其中一端側起而朝向另外一端側來立起的方式而被作設置。在第1噴嘴249a之側面處,係被設置有供給氣體之氣體供給孔250a。在第1噴嘴249a之側面處,係被設置有供給氣體之氣體供給孔250a。氣體供給孔250a,係以朝向反應管203之中心的方式而開口,並成為能夠朝向晶圓200而供給氣體。此氣體供給孔250a,係從反應管203之下部起涵蓋至上部地而被作複數設置,並分別具備有相同之開口面積,且進而以相同之開口節距而被作設置。主要,係藉由第1氣體供給管232a、質量流控制器241a、閥243a, 來構成第1氣體供給系。另外,亦可將第1噴嘴249a視為被包含在第1氣體供給系中。又,主要,係藉由第1惰性氣體供給管232e、質量流控制器241e、閥243e,來構成第1惰性氣體供給系。
在第2氣體供給管232b處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241b、以及身為開閉閥之閥243b。又,在第2氣體供給管232b之較閥243b更下游側處,係被連接有第2惰性氣體供給管232f。在此第2惰性氣體供給管232f處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241f、以及身為開閉閥之閥243f。又,在第2氣體供給管232b之前端部處,係被連接有上述之第2噴嘴249b。第2噴嘴249b,係在反應管203之內壁和晶圓200之間的圓弧狀之空間中,沿著反應管203之內壁而從下部起朝向上部,以朝向晶圓200之積載方向上方來立起的方式而作設置。亦即是,第2噴嘴249b,係在晶圓200所被作配列之晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。第2噴嘴249b,係作為L字型之長噴嘴而被構成,其之水平部,係以貫通反應管203之下部側壁的方式而被作設置,其之垂直部,係以至少從晶圓配列區域之其中一端側起而朝向另外一端側來立起的方式而被作設置。在第2噴嘴249b之側面處,係被設置有供給氣體之氣體供給 孔250b。氣體供給孔250b,係以朝向反應管203之中心的方式而開口,並成為能夠朝向晶圓200而供給氣體。此氣體供給孔250b,係從反應管203之下部起涵蓋至上部地而被作複數設置,並分別具備有相同之開口面積,且進而以相同之開口節距而被作設置。主要,係藉由第2氣體供給管232b、質量流控制器241b、閥243b,來構成第2氣體供給系。另外,亦可將第2噴嘴249b視為被包含在第2氣體供給系中。又,主要,係藉由第2惰性氣體供給管232f、質量流控制器241f、閥243f,來構成第2惰性氣體供給系。
在第3氣體供給管232c處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241c、以及身為開閉閥之閥243c。又,在第3氣體供給管232c之較閥243c更下游側處,係被連接有第3惰性氣體供給管232g。在此第3惰性氣體供給管232g處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器241g、以及身為開閉閥之閥243g。又,在第3氣體供給管232c之前端部處,係被連接有上述之第3噴嘴249c。第3噴嘴249c,係在反應管203之內壁和晶圓200之間的圓弧狀之空間中,沿著反應管203之內壁而從下部起朝向上部,以朝向晶圓200之積載方向上方來立起的方式而作設置。亦即是,第3噴嘴249c,係在晶圓200所被作配列之晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域 中,以沿著晶圓配列區域的方式而被作設置。第3噴嘴249c,係作為L字型之長噴嘴而被構成,其之水平部,係以貫通反應管203之下部側壁的方式而被作設置,其之垂直部,係以至少從晶圓配列區域之其中一端側起而朝向另外一端側來立起的方式而被作設置。在第3噴嘴249c之側面處,係被設置有供給氣體之氣體供給孔250c。氣體供給孔250c,係以朝向反應管203之中心的方式而開口,並成為能夠朝向晶圓200而供給氣體。此氣體供給孔250c,係從反應管203之下部起涵蓋至上部地而被作複數設置,並分別具備有相同之開口面積,且進而以相同之開口節距而被作設置。主要,係藉由第3氣體供給管232c、質量流控制器241c、閥243c,來構成第3氣體供給系。另外,亦可將第3噴嘴249c視為被包含在第3氣體供給系中。又,主要,係藉由第3惰性氣體供給管232g、質量流控制器241g、閥243g,來構成第3惰性氣體供給系。
在第4氣體供給管232d處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241d、以及身為開閉閥之閥243d。又,在第4氣體供給管232d之較閥243d更下游側處,係被連接有第4惰性氣體供給管232h。在此第4惰性氣體供給管232h處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器241h、以及身為開閉閥之閥243h。又,在第4氣體供給 管232d之前端部處,係被連接有上述之第4噴嘴249d。第4噴嘴249d,係被設置在身為氣體分散空間之緩衝室237內。
緩衝室237,係在反應管203之內壁和晶圓200之間的圓弧狀之空間中,並在從反應管203之內壁的下部起而涵蓋至上部的部分處,沿著晶圓200之積載方向而作設置。亦即是,緩衝室237,係在晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。在緩衝室237之與晶圓200相鄰接之壁的端部處,係被設置有供給氣體之氣體供給孔250e。氣體供給孔250e,係以朝向反應管203之中心的方式而開口,並成為能夠朝向晶圓200而供給氣體。此氣體供給孔250e,係從反應管203之下部起涵蓋至上部地而被作複數設置,並分別具備有相同之開口面積,且進而以相同之開口節距而被作設置。
第4噴嘴249d,係在緩衝室237之與被設置有氣體供給孔250e的端部相反側之端部處,沿著反應管203之內壁而從下部起朝向上部,以朝向晶圓200之積載方向上方來立起的方式而作設置。亦即是,第4噴嘴249d,係在晶圓200所被作配列之晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。第4噴嘴249d,係作為L字型之長噴嘴而被構成,其之水平部,係以貫通反應管202之下部側壁的方式而被作設置,其之垂直部,係以至少從晶 圓配列區域之其中一端側起而朝向另外一端側來立起的方式而被作設置。在第4噴嘴249d之側面處,係被設置有供給氣體之氣體供給孔250d。氣體供給孔250d,係朝向緩衝室237之中心而開口。此氣體供給孔250d,係與緩衝室237之氣體供給孔250e相同的,而從反應管203之下部起涵蓋至上部地被作複數設置。此複數之氣體供給孔250d的各別之開口面積,當緩衝室237內和處理室201內之差壓為小的情況時,係可從上游側(下部)起直到下游側(上部)為止地,而分別設為相同之開口面積和相同之開口節距,但是,當差壓為大的情況時,若是從上游側起朝向下游側地,而分別將開口面積增大或者是將開口節距縮小,則為理想。
在本實施形態中,藉由將第4噴嘴249d之氣體供給孔250d的各別之開口面積或開口節距從上游側起一直涵蓋至下游側地而如同上述一般地作調節,首先,從氣體供給孔250d之各個起,係噴出雖然存在有流速之差但是流量係為略同樣的氣體。之後,係構成為將從此氣體供給孔250d之各個處所噴處的氣體,暫時先導入至緩衝室237內,並在緩衝室237內而進行氣體之流速差的均一化。亦即是,藉由第4噴嘴249d之各氣體供給孔250d所噴出至緩衝室237內之氣體,係在緩衝室237內而使各氣體之粒子速度作了緩和,之後,再藉由緩衝室237之氣體供給孔250e而噴出至處理室201內。藉由此,藉由第4噴嘴249d之各氣體供給孔250d所噴出至緩衝室237內之 氣體,在藉由緩衝室237之各氣體供給孔250e而噴出至處理室201內時,係成為具備有均一之流量和流速的氣體。
主要,係藉由第4氣體供給管232d、質量流控制器241d、閥243d,來構成第4氣體供給系。另外,亦可將第4噴嘴249d以及緩衝室237視為被包含在第4氣體供給系中。又,主要,係藉由第4惰性氣體供給管232h、質量流控制器241h、閥243h,來構成第4惰性氣體供給系。第4惰性氣體供給系,係亦作為洗淨氣體供給系而起作用。
如此這般,在本實施形態中之氣體供給方法,係經由被配置在藉由反應管203之內壁和被作了積載的複數枚之晶圓200的端部所定義出之圓弧狀的縱長之空間內的噴嘴249a、249b、249c、249d以及緩衝室237來搬送氣體,並從噴嘴249a、249b、249c、249d以及在緩衝室237處所分別開口之氣體供給孔250a、250b、250c、250d、250e來在晶圓200之近旁處而首先將氣體噴出至反應管203內,而將反應管203內之氣體的主要之流動設為與晶圓200之表面相平行的方向,亦即是設為水平方向。藉由設為此種構成,係能夠對於各晶圓200而均一地供給氣體,而有著能夠使被形成在各晶圓200處之薄膜的膜厚成為均一之效果。另外,反應後之剩餘氣體,係朝向排氣口、亦即是朝向後述之排氣管231的方向而流動,但是,此剩餘氣體之流動方向,係依存於排氣口之位置而被 適宜特定,其係並非為被限定於垂直方向者。
從第1氣體供給管232a,係作為含特定元素氣體,而例如將矽烷系氣體等之矽原料氣體,亦即是將含有矽(Si)之氣體(含矽氣體),經由質量流控制器241a、閥243a、第1噴嘴249a來供給至處理室201內。作為含矽氣體,例如係可使用六氯二矽烷(Si2 Cl6 ,略稱:HCDS)氣體。另外,當使用如同HCDS一般之在常溫常壓下為液體狀態之液體原料的情況時,係成為將液體原料藉由氣化器或者是起泡器等之氣化系統來作氣化,再作為原料氣體(HCDS氣體)來作供給。
從第2氣體供給管232b,係作為含碳氣體,亦即是含有碳(C)之氣體,經由質量流控制器241b、閥243b、第2噴嘴249b來供給至處理室201內。作為含碳氣體,例如係可使用丙烯(C3 H6 )氣體等之碳氫系氣體。
從第3氣體供給管232c,係作為氧化氣體,亦即是含有氧(O)之氣體(含氧氣體),經由質量流控制器241c、閥243c、第3噴嘴249c來供給至處理室201內。作為氧化氣體,例如,係可使用氧(O2 )氣。
從第4氣體供給管232d,係作為氮化氣體,亦即是含有氮(N)之氣體(含氮氣體),經由質量流控制器241d、閥243d、第4噴嘴249d、緩衝室237,來供給至處理室201內。作為氮化氣體,例如,係可使用氨(NH3 )氣。
從惰性氣體供給管232e、232f、232g、 232h,係將例如氮(N2 )氣體,分別經由質量流控制器241e、241f、241g、241h,閥243e、243f、243g、243h,氣體供給管232a、232b、232c、232d、噴嘴249a、249b、249c、249d以及緩衝室237,而供給至處理室201內。
另外,例如當從各氣體供給管而分別流動如同上述一般之氣體的情況時,係藉由第1氣體供給系來構成含有特定元素氣體供給系,亦即是含矽氣體供給系(矽烷系氣體供給系)。又,係藉由第2氣體供給系來構成含碳氣體供給系。又,係藉由第3氣體供給系來構成氧化氣體供給系,亦即是含氧氣體供給系。又,係藉由第4氣體供給系來構成氮化氣體供給系,亦即是含氮氣體供給系。另外,係亦將含有特定元素氣體供給系稱作原料氣體供給系或者是單純稱作原料供給系。又,當將含碳氣體、氧化氣體以及氮化氣體總稱為反應氣體的情況時,係藉由含碳氣體供給系、氧化氣體供給系以及氮化氣體供給系而構成反應氣體供給系。
在緩衝室237內,係如圖2中所示一般,將具有細長構造之身為第1電極的第1棒狀電極269以及身為第2電極的第2棒狀電極270,從反應管203之下部起涵蓋至上部地而沿著晶圓200之層積方向作配設。第1棒狀電極269以及第2棒狀電極270之各個,係與第4噴嘴249d相平行地而被作設置。第1棒狀電極269以及第2棒狀電極270之各個,係從上部起而涵蓋至下部地,藉由 身為對各電極作保護之保護管的電極保護管275而被作覆蓋,並藉此而被作保護。此第1棒狀電極269或第2棒狀電極270之其中一方,係經由整合器272而被與高頻電源273作連接,而另外一方係被與身為基準電位之接地作連接。藉由透過整合器272而從高頻電源273來對於第1棒狀電極269以及第2棒狀電極270之間施加高頻電力,在第1棒狀電極269以及第2棒狀電極270之間的電漿產生區域224處係產生電漿。主要係藉由第1棒狀電極269、第2棒狀電極270、電極保護管275,而構成作為電漿產生器(電漿產生部)之電漿源。另外,亦可將整合器272、高頻電源273視為被包含在電漿源中。另外,電漿源,係如同後述一般地,作為藉由電漿來使氣體活性化(激勵)之活性化機構(激勵部)而起作用。
電極保護管275,係成為能夠將第1棒狀電極269以及第2棒狀電極270之各個在與緩衝室237內之氛圍作了隔離的狀態下而***至緩衝室237內之構造。於此,若是電極保護管275之內部的氧濃度為與外氣(大氣)之氧濃度同等程度,則分別被***至電極保護管275內之第1棒狀電極269以及第2棒狀電極270,係會由於加熱器207所導致之熱而被氧化。因此,係構成為:藉由預先在電極保護管275之內部填充氮氣等之惰性氣體,或者是使用惰性氣體洗淨機構來藉由氮氣等之惰性氣體而將電極保護管275之內部作洗淨,來將電極保護管275之內部的氧濃度降低,而能夠防止第1棒狀電極269或者是第 2棒狀電極270之氧化。
在反應管203處,係被設置有將處理室201內之氛圍作排氣的排氣管231。在排氣管231處,係經由檢測出處理室201內之壓力的作為壓力檢測器(壓力檢測部)之壓力感測器245以及作為壓力調整器(壓力調整部)之APC(Auto Pressure Controller)閥244,而連接有作為真空排氣裝置之真空幫浦246。另外,APC閥244,係構成為:藉由在使真空幫浦246動作了的狀態下而將閥作開閉,而能夠進行處理室201內之真空排氣以及真空排氣停止,進而,藉由在使真空幫浦246動作了的狀態下而對於閥開度作調節,而能夠對於處理室201內之壓力作調整。主要係藉由排氣管231、APC閥244、壓力感測器245,而構成排氣系。另外,亦可將真空幫浦246視為被包含在排氣系中。排氣系,係構成為能夠藉由一面使真空幫浦246動作一面基於藉由壓力感測器245所檢測出的壓力資訊來對於APC閥244之閥的開度作調節,來以使處理室201內之壓力成為特定之壓力(真空度)的方式而進行真空排氣。
在反應管203之下方,係被設置有能夠將反應管203之下端開口氣密地作閉塞之作為爐口蓋體的密封帽219。密封帽219,係構成為從垂直方向下側起來對於反應管203之下端作抵接。密封帽219,例如係由不鏽鋼等之金屬所成,並被形成為圓盤狀。在密封帽219之上面,係被設置有與反應管202之下端作抵接的作為密封構 件之O型環220。在密封帽219之與處理室201相反側處,係被設置有使作為後述之基板保持具的舟217作旋轉之旋轉機構267。旋轉機構267之旋轉軸255,係貫通密封帽219而被與舟217作連接。旋轉機構267,係構成為藉由使舟217作旋轉而使晶圓200旋轉。密封帽219,係構成為藉由被垂直地設置於反應管203之外部處的作為升降機構之舟升降器115來在垂直方向上作升降。舟升降器115,係構成為能夠藉由使密封帽219作升降,來將舟217對於處理室201內外而作搬入以及搬出。亦即是,舟升降器115,係構成為將舟217、亦即是將晶圓200搬送至處理室201內外之搬送裝置(搬送機構)。
作為基板支持具之舟217,例如係由石英或碳化矽等之耐熱性材料所成,並構成為能夠將複數枚之晶圓200以水平姿勢並且相互使中心作了對齊的狀態下來整列並作多段支持。另外,在舟217之下部,係被設置有例如由石英或碳化矽等之耐熱性材料所成的絕熱構件218,並構成為使從加熱器207而來之熱難以傳導至密封帽219側。另外,絕熱構件218,係亦可藉由由石英或碳化矽等之耐熱性材料所成之複數枚的絕熱板以及將此些絕熱板以水平姿勢來作多段支持之絕熱板支持器,而構成之。
在反應管203內,係被設置有作為溫度檢測器之溫度感測器263,並構成為藉由基於以溫度感測器263所檢測出之溫度資訊來調整對於加熱器207之通電程度,來使處理室201內之溫度成為所期望之溫度分布。溫 度感測器263,係與噴嘴249a、249b、249c、249d同樣的而被構成為L字型,並沿著反應管203之內壁而作設置。
如圖3中所示一般,身為控制部(控制手段)之控制器121,係作為具備有CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶裝置121c、I/O埠121d的電腦,而構成之。RAM121b、記憶裝置121c、I/O埠121d,係構成為能夠經由內部匯流排121e而與CPU121a進行資料交換。在控制器121處,例如係被連接有作為觸控面板等而構成之輸入輸出裝置122。
記憶裝置121c,例如係藉由快閃記憶體、HDD(Hard Disk Drive)等而構成。在記憶裝置121c內,係可讀出地而被儲存有對於基板處理裝置之動作作控制的控制程式、和後述之記載有基板處理之程序和條件等的製程配方等。另外,製程配方,係為以能夠使控制器121實行在後述之基板處理工程中之各程序並且能夠得到特定之結果的方式而作了組合者,並作為程式而起作用。以下,亦會將此製程配方和控制程式等單純統稱為程式。另外,在本說明書中,當使用了「程式」此一用語時,係會有僅包含製程配方之單體的情況,或是僅包含控制程式之單體的情況,或者是包含有此雙方的情況。又,RAM121b,係作為將藉由CPU121a所讀出的程式或資料暫時性地作保持之記憶體區域(工作區域)而構成之。
I/O埠121d,係被與上述之質量流控制器 241a、241b、241c、241d、241e、241f、241g、241h,閥243a、243b、243c、243d、243e、243f、243g、243h,壓力感測器245,APC閥244、真空幫浦246,加熱器207,溫度感測器263,高頻電源273,整合器272,旋轉機構267,舟升降器115等作連接。
CPU121a,係構成為從記憶裝置121c而讀出控制程式並實行,並且因應於從輸入輸出裝置122而來之操作指令的輸入等而從記憶裝置121c讀出製程配方。又,CPU121a,係構成為依循於所讀出之製程配方之內容,來對於由質量流控制器241a、241b、241c、241d、241e、241f、241g、241h所致之各種氣體的流量調整動作,閥243a、243b、243c、243d、243e、243f、243g、243h之開閉動作,APC閥244之開閉動作以及根據壓力感測器245所進行之由APC閥244所致之壓力調整動作,真空幫浦246之起動以及停止,基於溫度感測器263所進行之加熱器207的溫度調整動作,由旋轉機構267所進行之舟217的旋轉以及旋轉速度調節動作,由舟升降器115所致之舟217的升降動作,高頻電源273之電力供給,由整合器272所進行之阻抗調整動作等作控制。
另外,控制器121,係並不被限定於作為專用之電腦而構成的情況,亦可作為汎用之電腦而構成之。例如,係可藉由準備儲存有上述之程式的外部記憶裝置(例如,磁帶、軟碟或硬碟等之磁碟、CD或DVD等之光碟、MO等之光磁碟、USB記憶體或記憶卡等之半導體記憶 體)123,並使用該外部記憶裝置123來將程式安裝至汎用之電腦中等,來構成本實施形態之控制器121。另外,用以對於電腦供給程式之手段,係並不被限定於經由外部記憶裝置123來作供給的情況。例如,係亦可構成為使用網際網路或者是專用線路等之通訊手段來並不經由外部記憶裝置123地而供給程式。另外,記憶裝置121c或外部記憶裝置123,係作為電腦可讀取之記錄媒體而構成之。以下,亦將此些單純統稱為記錄媒體。另外,在本說明書中,當使用了「記錄媒體」此一用語時,係會有僅包含記憶裝置121c之單體的情況,或是僅包含外部記憶裝置123之單體的情況,或者是包含有此雙方的情況。
(2)基板處理工程
接著,使用上述之基板處理裝置的處理爐,作為半導體裝置(元件)之製造工程的其中一個工程,針對在基板上成膜薄膜之程序例作說明。另外,在以下之說明中,構成基板處理裝置之各部分的動作,係藉由控制器121而被控制。
另外,在本實施形態中,所形成之膜的組成比,係以會成為化學計量組成或者是與化學計量組成相異之特定之組成比的方式,來對於包含有構成所形成之膜的複數之元素的複數種類之氣體的供給條件作控制。例如,係以使構成所形成之膜的複數之元素中之至少1個的元素會在化學計量組成上相對於其他之元素而成為過剩一事作 為目的,來對於供給條件作控制。以下,針對一面對於構成所形成之膜的複數之元素的比例、亦即是對於膜的組成比作控制,一面進行成膜的程序例來作說明。
(第1程序)
首先,針對本發明之第1程序作說明。
圖4(a),係為對於本實施形態之第1程序中的氣體供給之時序作展示之圖。
在本實施形態之第1程序中,係藉由將包含有對於晶圓200而供給含特定元素之氣體的工程和對於晶圓200而供給含碳氣體之工程和對於晶圓200而供給氧化氣體之工程以及對於晶圓200而供給氮化氣體之工程的循環,作特定次數之進行,來在晶圓200上形成包含有特定元素、氧、碳以及氮之薄膜。
另外,在形成薄膜之工程中,係構成為在進行供給含特定元素氣體的工程之前,先進行供給氮化氣體之工程,並在進行了此供給氮化氣體的工程之後,於直到進行供給含特定元素氣體的工程為止之期間中,並不進行供給含碳氣體之工程以及供給氧化氣體之工程。具體而言,係在進行了供給氮化氣體的工程之後,將包含有供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程以及供給氮化氣體的工程之循環,進行特定之次數。
更具體而言,係在進行了供給氮化氣體的工 程之後,將包含有供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程以及供給氮化氣體的工程,依此順序而進行,並將此4個工程作為1個循環,而將此循環進行特定之次數,較理想為進行複數次。
以下,針對本實施形態之第1程序作具體性說明。於此,係針對下述一般的例子作說明:亦即是,作為含特定元素氣體而使用HCDS氣體,作為含碳氣體而使用C3 H6 氣體,作為氧化氣體而使用O2 氣體,作為氮化氣體而使用NH3 氣體,並在進行了圖4(a)之成膜程序,亦即是進行了供給NH3 氣體的工程後,將藉由依序供給HCDS氣體之工程和供給C3 H6 氣體之工程和供給O2 氣體之工程以及供給NH3 氣體之工程所成的循環,進行特定之次數,藉由此成膜程序,而在晶圓200上形成包含矽、氧、碳以及氮之矽氧碳氮化膜(SiOCN膜)。
另外,當在本說明書中而使用所謂「晶圓」之用語的情況時,係有著代表「晶圓本身」的情況,和代表「晶圓與被形成於其之表面上的特定之層或膜等之間的層積體(集合體)」的情況(亦即是,亦包含有被形成在表面上之特定之層或膜等而統稱為晶圓的情況)。又,當在本說明書中而使用所謂「晶圓之表面」之用語的情況時,係有著代表「晶圓本身之表面(露出面)」的情況,和代表「被形成於晶圓上的特定之層或膜等之表面、亦即是作為層積體之晶圓的最表面」的情況。
又,當在本說明書中而記載為「對於晶圓而 供給特定之氣體」的情況時,係有著代表「對於晶圓本身之表面(露出面)而直接供給特定之氣體」的情況,和代表「對於被形成於晶圓上的層或膜等、亦即是對於作為層積體之晶圓的最表面,而供給特定之氣體」的情況。又,當在本說明書中而記載為「在晶圓上形成特定之層(或者是膜)」的情況時,係有著代表「在晶圓本身之表面(露出面)上直接形成特定之層(或者是膜)」的情況,和代表「在被形成於晶圓上的層或膜等之上、亦即是在作為層積體之晶圓的最表面上,而形成特定之層(或者是膜)」的情況。
另外,當在本說明書中而使用「基板」之用語的情況時,係與使用「晶圓」之用語的情況相同,於此情況,只要將上述說明中之「晶圓」代換為「基板」即可。
(晶圓填充器以及舟裝載器)
若是將複數枚之晶圓200裝填於舟217(晶圓填充)中,則如圖1中所示一般,將複數枚之晶圓200作了支持的舟217,係藉由舟升降器115而被作舉升並被搬入(舟裝載)至處理室201內。在此狀態下,密封帽219係成為隔著O型環220而將反應管203之下端作了密封的狀態。
(壓力調整及溫度調整)
以使處理室201內成為所期望之壓力(真空度)的方 式,來藉由真空幫浦246而進行真空排氣。此時,係藉由壓力感測器245來測定處理室201內之壓力,並基於此測定出之壓力資訊而對於APC閥244進行反饋控制(壓力調整)。另外,真空幫浦246,係至少在直到對於晶圓200所進行之處理結束為止的期間中而恆常被維持於動作狀態。又,以使處理室201內成為所期望之溫度的方式,來藉由加熱器207而進行加熱。此時,係以使處理室201內成為所期望之溫度分布的方式,來基於溫度感測器263所檢測出之溫度資訊而對於加熱器207之通電程度進行反饋控制(溫度調整)。另外,由加熱器207所進行之處理室201內的加熱,係至少在直到對於晶圓200所進行之處理結束為止的期間中而被持續進行。接著,開始由旋轉機構267所致之舟217以及晶圓200的旋轉(晶圓旋轉)另外,由旋轉機構267所進行之舟217以及晶圓200的旋轉,係至少在直到對於晶圓200所進行之處理結束為止的期間中而被持續進行。
[矽氧碳氮化膜形成工程]
接著,進行後述之表面改質步驟,之後,進行後述之4個步驟,亦即是依序實行步驟1~4。
[表面改質步驟]
(供給NH3 氣體)
開啟第4氣體供給管232d之閥243d,並在第4氣體 供給管232d內流動NH3 氣體。在第4氣體供給管232d內流動之NH3 氣體,係藉由質量流控制器241d而被作流量調整。被作了流量調整之NH3 氣體,係從第4噴嘴249d之氣體供給孔250d而被供給至緩衝室237內。此時,係並不對於第1棒狀電極269以及第2棒狀電極270之間施加高頻電力。藉由此,被供給至緩衝室237內之NH3 氣體係藉由熱而被活性化,並從氣體供給孔250e而被供給至處理室201內,再從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的NH3 氣體。另外,此時係亦可對於第1棒狀電極269以及第2棒狀電極270之間施加高頻電力,並藉由電漿來將被供給至緩衝室237內之NH3 氣體活性化並作供給。於此情況,從高頻電源273所施加至第1棒狀電極269以及第2棒狀電極270之間的高頻電力,例如係被設定為會成為50~1000W之範圍內的電力。其他之處理條件,係設為與藉由熱來使NH3 氣體活性化並作供給的情況時之處理條件(於後再述)相同。
此時,同時性地開啟閥243h,並在第4惰性氣體供給管232h內流動N2 氣體。在第4惰性氣體供給管232h內流動之N2 氣體,係與NH3 氣體一同地經由緩衝室237而被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止NH3 氣體侵入至第1噴嘴249a、第2噴嘴249b、第3噴嘴249c中,係開啟閥243e、243f、243g,並在第1惰性氣體供給管232e、第2 惰性氣體供給管232f、第3惰性氣體供給管232g內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c、第1噴嘴249a、第2噴嘴249b、第3噴嘴249c,而被供給至處理室201內,並從排氣管231而被排氣。
當藉由熱而使NH3 氣體活性化並流動時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241d所控制之NH3 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由質量流控制器241h、241e、241f、241g所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之NH3 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給NH3 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~600秒之範圍內的時間。另外,在表面改質步驟中之NH3 氣體的氣體供給時間,較理想,係設為較在後述之步驟4中的NH3 氣體之氣體供給時間而更長。藉由此,係能夠對於成膜前之晶圓200的最表面而充分地進行表面改質處理(於後再述)。又,此時之加熱器207的溫度,係設定為會使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。NH3 氣體,由於反應溫度係為高,而在上述一般之晶圓溫度下係難以產生反應,因此,係藉由將處理室201內之壓力設為上述一般之 較高的壓力,來使熱性地進行活性化一事成為可能。另外,係以藉由熱來將NH3 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之表面改質。
藉由對於晶圓200之最表面(形成SiOCN膜時之基底面)供給被作了活性化之NH3 氣體,晶圓200之最表面係被改質(表面改質處理)。此時,例如,藉由晶圓200之最表面與被作了活性化之NH3 氣體起反應並被氮化,在晶圓200之最表面上,係會有被形成具有Si-N鍵結之層、亦即是被形成包含矽(Si)以及氮(N)之氮化層(矽氮化層)的情況。又,例如,藉由使NH3 氣體吸附在晶圓200之最表面上,亦會有在晶圓200之最表面處被形成有NH3 氣體之吸附層的情況。又,也會有同時產生此些之反應並在晶圓200之最表面上形成氮化層和NH3 氣體之吸附層之雙方的情況。
氮化層,係除了包含Si以及N之連續性之層以外,亦包含有非連續性之層。亦即是,氮化層,係包含有包含Si-N鍵結之從未滿1原子層起直到數原子層程度的厚度之層。又,NH3 氣體之吸附層,係除了NH3 氣體之氣體分子的連續性之化學吸附層以外,亦包含非連續之化學吸附層。亦即是,NH3 氣體之吸附層,係包含有藉由NH3 氣體分子所構成之1分子層或者是未滿1分子層之厚度的化學吸附層。另外,構成NH3 氣體之吸附層的NH3 氣體分子,係亦包含有N和H間之鍵結被部分性地作了 切離者(Nx Hy )。亦即是,NH3 氣體之吸附層,係包含有NH3 氣體分子以及/或者是Nx Hy 分子的連續性之化學吸附層或者是非連續性之化學吸附層。另外,所謂未滿1原子層之厚度之層,係指被非連續性地形成之原子層,所謂1原子層之厚度之層,係指被連續性地形成之原子層。又,所謂未滿1分子層之厚度之層,係指被非連續性地形成之分子層,所謂1分子層之厚度之層,係指被連續性地形成之分子層。
表面改質處理後之晶圓200的最表面,係成為易於吸附在後述之步驟1中所被供給之HCDS氣體並容易堆積Si之表面狀態。亦即是,在表面改質步驟中所使用之NH3 氣體,係成為作為促進HCDS氣體或Si之對於晶圓200的最表面之吸附或堆積的吸附以及堆積促進氣體而起作用。
(除去殘留氣體)
之後,將第4氣體供給管232d之閥243d關閉,而停止NH3 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於晶圓200之表面改質有所幫助後的NH3 氣體或反應副生成物排除。另外,此時,閥243h、243e、243f、243g係維持於開啟,而維持N2 氣體之對於處理室201內的供給。藉由此,係能夠提高將殘留於處理 室201內之未反應或者是對於表面改質有所幫助後的NH3 氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟1中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟1中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201內完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氮化氣體,除了氨(NH3 )氣以外,亦可使用二氮烯(N2 H2 )氣體、聯氨(N2 H4 )氣體、N3 H8 氣體等。作為惰性氣體,除了N2 氣體以外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等之稀有氣體。
[步驟1]
(供給HCDS氣體)
在表面改質步驟結束而除去了處理室201內之殘留氣體之後,係將第1氣體供給管232a之閥243a開啟,並在第1氣體供給管232a內流動HCDS氣體。在第1氣體供給管232a內流動之HCDS氣體,係藉由質量流控制器 241a而被作流量調整。被作了流量調整之HCDS氣體,係從第1噴嘴249a之氣體供給孔250a而被供給至處理室201內,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給HCDS氣體。
此時,同時性地開啟閥243e,並在第1惰性氣體供給管232e內流動N2 氣體等之惰性氣體。在第1惰性氣體供給管232e內流動之N2 氣體,係藉由質量流控制器241e而被作流量調整。被作了流量調整之N2 氣體,係與HCDS氣體一同地而被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止HCDS氣體侵入至第2噴嘴249b、第3噴嘴249c、第4噴嘴249d、緩衝室237內,係開啟閥243f、243g、243h,並在第2惰性氣體供給管232f、第3惰性氣體供給管232g、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d、緩衝室237,而被供給至處理室201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~13300Pa、較理想為20~1330Pa之範圍內的壓力。藉由質量流控制器241a所控制之HCDS氣體的供給流量,例如係設為1~1000sccm之範圍內的流量。藉由質量流控制器241e、241f、241g、241h所控制之N2 氣體的供給流量,例如係分別設為100 ~10000sccm之範圍內的流量。對於晶圓200供給HCDS氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係設定為會在處理室201內而產生CVD反應之程度的溫度,亦即是設定為使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。另外,若是晶圓200之溫度成為未滿250℃,則係成為難以在晶圓200上吸附HCDS,而會有變得無法得到實用性之成膜速度的情形。藉由將晶圓200之溫度設為250℃以上,係能夠解決此問題。另外,藉由將晶圓200之溫度設為300℃以上,係成為能夠在晶圓200上更充分地吸附HCDS,而成為能夠得到更加充分之成膜速度。又,若是晶圓200之溫度超過700℃,則CVD反應係變強(氣相反應係成為具支配性),起因於此,膜厚均一性係變得容易惡化,其控制係變得困難。藉由將晶圓200之溫度設為700℃以下,係能夠抑制膜厚均一性之惡化,並使其之控制成為可能。特別是,藉由將晶圓200之溫度設為650℃以下,表面反應係成為具支配性,而變得易於確保膜厚均一性,其之控制係變得容易。故而,較理想,晶圓200之溫度係設為250~700℃,更理想係設為300~650℃之範圍內的溫度。
藉由HCDS氣體之供給,於在表面改質步驟中而藉由NH3 氣體來作了改質的晶圓200之最表面上,係 作為第1層而被形成有例如從未滿1原子層起乃至數原子層程度之厚度的含矽層。含矽層,係可為HCDS氣體之吸附層,亦可為矽層(Si層),亦可為包含有雙方。但是,含矽層,較理想係為含有矽(Si)以及氯(Cl)之層。
於此,所謂矽層,係為除了藉由矽(Si)所構成之連續性之層以外,亦包含有非連續性之層或者是能夠將此些作重疊之矽薄膜者的總稱。另外,亦有將藉由Si所構成之連續性之層稱作矽薄膜的情況。另外,構成矽層之Si,係亦包含有其與Cl間之鍵結並未完全被切斷者。
又,HCDS氣體之吸附層,係除了HCDS氣體之氣體分子的連續性之化學吸附層以外,亦包含非連續之化學吸附層。亦即是,HCDS氣體之吸附層,係包含有藉由HCDS分子所構成之1分子層或者是未滿1分子層之厚度的化學吸附層。另外,構成HCDS氣體之吸附層的HCDS(Si2 Cl6 )分子,係亦包含有Si和Cl間之鍵結被部分性地作了切離者(Six Cly )。亦即是,HCDS氣體之吸附層,係包含有Si2 Cl6 分子以及/或者是Six Cly 分子的連續性之化學吸附層或者是非連續性之化學吸附層。另外,所謂未滿1原子層之厚度之層,係指被非連續性地形成之原子層,所謂1原子層之厚度之層,係指被連續性地形成之原子層。又,所謂未滿1分子層之厚度之層,係指被非連續性地形成之分子層,所謂1分子層之厚度之層,係指被連續性地形成之分子層。
在HCDS氣體會進行自我分解(熱分解)的 條件下,亦即是在會產生HCDS氣體之熱分解反應的條件下,係會藉由在晶圓200上堆積Si而形成矽層。在HCDS氣體並不會進行自我分解(熱分解)的條件下,亦即是在不會產生HCDS氣體之熱分解反應的條件下,係會藉由在晶圓200上吸附有HCDS氣體而形成HCDS氣體之吸附層。另外,相較於在晶圓200上形成HCDS氣體之吸附層,係以在晶圓200上形成矽層的情況時,能夠更將成膜速率提高,而為理想。
若是被形成在晶圓200上之含矽層的厚度超過數個原子層,則在後述之步驟3、4中的改質之作用係會變得無法到達含矽層之全體處。又,能夠形成在晶圓200上之含矽層的厚度之最小值,係為未滿1原子層。故而,含矽層厚度,較理想係以設為未滿1原子層~數原子層程度為理想。另外,藉由將含矽層之厚度設為1原子層以下,亦即是設為1原子層或者是未滿1原子層,係能夠將後述之步驟3、4中的改質反應之作用相對性地提高,而能夠將在步驟3、4之改質反應中所需要的時間縮短。並且,係亦能夠將在步驟1之含矽層之形成中所需要的時間縮短。其結果,係能夠將每一循環所需要的處理時間縮短,且亦成為能夠將總處理時間縮短。亦即是,係亦成為能夠將成膜速率提高。又,藉由將含矽層之厚度設為1原子層以下,係亦成為能夠將膜厚均一性之控制性提高。
(除去殘留氣體)
在形成了含矽層之後,將第1氣體供給管232a之閥243a關閉,而停止HCDS氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於含矽層之形成有所幫助後的HCDS氣體或反應副生成物排除。另外,此時,閥243e、243f、243g、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於含矽層之形成有所幫助後的HCDS氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟2中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟2中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為含矽氣體,除了六氯二矽烷(Si2 Cl6 ,略 稱:HCDS)氣體以外,亦可使用四氯矽烷、亦即是矽四氯化物(SiCl4 ,略稱:STC)氣體、三氯矽烷(SiHCl3 ,略稱:TCS)氣體、二氯矽烷(SiH2 Cl2 ,略稱:DCS)氣體、單氯矽烷(SiH3 Cl,略稱:MCS)氣體、單矽烷(SiH4 )氣體等之無機原料、乃至於使用胺基矽烷系之肆二甲基胺基矽烷(Si[N(CH3 )2 ]4 ,略稱:4DMAS)氣體、參二甲基胺基矽烷(Si[N(CH3 )2 ]3 H,略稱:3DMAS)氣體、雙二乙基胺基矽烷(Si[N(C2 H5 )2 ]2 H2 ,略稱:2DEAS)氣體、雙第3丁基胺基矽烷(SiH2 [NH(C4 H9 )]2 ,略稱:BTBAS)氣體等的有機原料亦可。作為惰性氣體,除了N2 氣體以外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等之稀有氣體。
[步驟2]
(供給C3 H6 氣體)
在步驟1結束而除去了處理室201內之殘留氣體之後,係將第2氣體供給管232b之閥243b開啟,並在第2氣體供給管232b內流動C3 H6 氣體。在第2氣體供給管232b內流動之C3 H6 氣體,係藉由質量流控制器241b而被作流量調整。被作了流量調整之C3 H6 氣體,係從第2噴嘴249b之氣體供給孔250b而被供給至處理室201內。被供給至處理室201內之C3 H6 氣體,係藉由熱而被活性化,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的C3 H6 氣體。
此時,同時性地開啟閥243f,並在第2惰性氣體供給管232f內流動N2 氣體。在第2惰性氣體供給管232f內流動之N2 氣體,係與C3 H6 氣體一同地被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止C3 H6 氣體侵入至第1噴嘴249a、第3噴嘴249c、第4噴嘴249d、緩衝室237內,係開啟閥243e、243g、243h,並在第1惰性氣體供給管232e、第3惰性氣體供給管232g、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第3氣體供給管232c、第4氣體供給管232d、第1噴嘴249a、第3噴嘴249c、第4噴嘴249d、緩衝室237,而被供給至處理室201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241b所控制之C3 H6 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由質量流控制器241f、241e、241g、241h所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之C3 H6 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給C3 H6 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係與步驟1相同的,設定為會使晶圓200之溫度成為 例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。另外,係以藉由熱來將C3 H6 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,後述之含碳層的形成係變得容易。
此時,在處理室201內所流動之氣體,係為被熱性地活性化之C3 H6 氣體,在處理室201內係並未流動HCDS氣體。故而,C3 H6 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,此時,在於步驟1中所形成在晶圓200上之作為第1層的含矽層上,係被形成有未滿1原子層之厚度的含碳層,亦即是非連續性之含碳層。藉由此,係形成包含矽及碳之第2層,亦即是係形成在在含矽層上被形成有含碳層之層。另外,依存於條件,亦會有含矽層之一部分與C3 H6 氣體產生反應並使含矽層被改質(碳化)而形成含矽以及碳之第2層的情況。
在含矽層上所形成之含碳層,係可為碳層(C層),亦可為含碳氣體(C3 H6 氣體)之化學吸附層、亦即是C3 H6 作了分解後之物質(Cx Hy )的化學吸附層。於此,碳層係有必要設為藉由碳所構成之非連續性之層。又,Cx Hy 之化學吸附層係有必要設為Cx Hy 分子之非連續性之化學吸附層。另外,當將形成於含矽層上之含碳層設為連續性之層的情況時,例如使Cx Hy 之對於含矽層上的吸附狀態成為飽和狀態,而在含矽層上形成Cx Hy 之連續性之化學吸附層的情況時,含矽層之表面係成為全體性地 藉由Cx Hy 之化學吸附層而被作覆蓋。於此情況,在第2層之表面上係成為不會存在有矽,其結果,會有使在後述之步驟3中的第2層之氧化反應或者是在後述之步驟4中的第3層之氮化反應變得困難之情形。此係因為,在上述一般之處理條件下,氮或氧係會與矽結合,但是係難以與碳結合之故。為了在後述之步驟3或步驟4中而產生所期望之氧化反應或氮化反應,係有必要設為使Cx Hy 之對於含矽層上的吸附狀態成為不飽和狀態並在第2層之表面上而使矽露出之狀態。
為了將Cx Hy 之對於含矽層上的吸附狀態設為不飽和狀態,係只要將在步驟2中之處理條件設為上述之處理條件即可,但是,藉由進而將步驟2中之處理條件設為下述之處理條件,係成為易於將Cx Hy 之對於含矽層上的吸附狀態設為不飽和狀態。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
C3 H6 氣體分壓:33~5177Pa
C3 H6 氣體供給流量:1000~10000sccm
N2 氣體供給流量:300~3000sccm
C3 H6 氣體供給時間:6~200秒
(除去殘留氣體)
在形成了第2層之後,將第2氣體供給管232b之閥 243b關閉,而停止C3 H6 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第2層之形成有所幫助後的C3 H6 氣體或反應副生成物排除。另外,此時,閥243f、243e、243g、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於第2層之形成有所幫助後的C3 H6 氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟3中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟3中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氮化氣體,除了丙烯(C3 H6 )氣體以外,亦可使用乙炔(C2 H2 )氣體或乙烯(C2 H4 )氣體等之 烴系氣體。
[步驟3]
(供給O2 氣體)
在步驟2結束而除去了處理室201內之殘留氣體之後,係將第3氣體供給管232c之閥243c開啟,並在第3氣體供給管232c內流動O2 氣體。在第3氣體供給管232c內流動之O2 氣體,係藉由質量流控制器241c而被作流量調整。被作了流量調整之O2 氣體,係從第3噴嘴249c之氣體供給孔250c而被供給至處理室201內。被供給至處理室201內之O2 氣體,係藉由熱而被活性化,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的O2 氣體。
此時,同時性地開啟閥243g,並在第3惰性氣體供給管232g內流動N2 氣體。在第3惰性氣體供給管232g內流動之N2 氣體,係與O2 氣體一同地被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止O2 氣體侵入至第1噴嘴249a、第2噴嘴249b、第4噴嘴249d、緩衝室237內,係開啟閥243e、243f、243h,並在第1惰性氣體供給管232e、第2惰性氣體供給管232f、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第2氣體供給管232b、第4氣體供給管232d、第1噴嘴249a、第2噴嘴249b、第4噴嘴249d、緩衝室237,而被供給至處理室 201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241c所控制之O2 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由質量流控制器241g、241e、241f、241h所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之O2 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給O2 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係與步驟1、2相同的,設定為會使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。O2 氣體,係會在上述一般之條件下而被熱性地活性化。另外,係以藉由熱來將O2 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之氧化。
此時,在處理室201內所流動之氣體,係為被熱性地活性化之O2 氣體,在處理室201內係並未流動HCDS氣體和C3 H6 氣體。故而,O2 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,並與在步驟2中所形成在晶圓200上之包含矽以及碳之第2層(在含矽層上形成有含碳層之層)之至少一部份產生反 應。藉由此,第2層係以無電漿而熱性地被氧化,並被改變成含有矽、氧以及碳之第3層,亦即是被改變(改質)成矽氧碳化層(SiOC層)。
此時,第2層之氧化反應係設為並不會使其飽和。例如,當在步驟1中而形成數原子層之厚度的含矽層,並在步驟2中而形成未滿1原子層之厚度的含碳層的情況時,係使其之表面層(表面之1原子層)的至少一部份氧化。於此情況,係以不會使第2層之全體被氧化的方式,來在會使第2層之氧化反應成為不飽和的條件下而進行氧化。另外,依存於條件,亦能夠將第2層之從表面層起的下方之數層氧化,但是,係以僅使其之表面層氧化的情況時為更能夠使SiOCN膜之組成比的控制性提升,而為理想。又,例如,當在步驟1中而形成1原子層或者是未滿1原子層之厚度的含矽層,並在步驟2中而形成未滿1原子層之厚度的含碳層的情況時,亦係同樣地係使其之表面層的一部份氧化。於此情況,係以不會使第2層之全體被氧化的方式,來在會使第2層之氧化反應成為不飽和的條件下而進行氧化。
另外,為了將第2層之氧化反應設為不飽和,係只要將在步驟3中之處理條件設為上述之處理條件即可,但是,藉由進而將步驟3中之處理條件設為下述之處理條件,係成為易於將第2層之氧化反應設為不飽和。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
O2 氣體分壓:12~5030Pa
O2 氣體供給流量:1000~5000sccm
N2 氣體供給流量:300~10000sccm
O2 氣體供給時間:6~200秒
另外,此時,特別是藉由以將O2 氣體之稀釋率提高(將濃度降低)或是將O2 氣體之供給時間縮短或者是將O2 氣體之分壓降低的方式,來對於上述之處理條件作調整的情況時,係能夠將在步驟3中之氧化力適度地降低,而成為更容易將第2層之氧化反應設為不飽和,而為理想。圖4(a)之成膜程序,係對於藉由將在步驟3中所供給之N2 氣體的供給流量設為較在其他之步驟中所供給的N2 氣體之供給流量更大,而將O2 氣體之分壓降低並使氧化力作了降低的模樣作例示。
藉由將在步驟3中之氧化力降低,在氧化之過程中,係成為易於對碳(C)之從第2層中脫離的情況作抑制。相較於Si-C鍵結,由於係以Si-O鍵結之鍵結能量為更大,因此,若是形成Si-O鍵結,則Si-C鍵結係會有被切斷的傾向,但是,藉由將在步驟3中之氧化力適度地降低,當在第2層中形成Si-O鍵結時,係能夠抑制Si-C鍵結被切斷的情況,而成為容易對於與Si間之鍵結被作了切斷的C之從第2層脫離的情形作抑制。
又,藉由將在步驟3中之氧化力降低,係能夠維持在氧化處理後之第2層(亦即是第3層)之最表面 處而露出有Si的狀態。藉由維持在第3層之最表面處而露出有Si的狀態,在後述之步驟4中,將第3層之最表面作氮化一事係成為容易。假設若是成為在第3層之最表面的全體而被形成有Si-O鍵結或者是Si-C鍵結而在其之最表面上並未露出有Si之狀態,則在後述之步驟4的條件下,係會有難以形成Si-N鍵結的傾向。然而,藉由維持在第3層之最表面處而露出有Si的狀態,亦即是藉由在第3層之最表面處而使能夠在後述之步驟4中與N作結合之Si存在,形成Si-N鍵結一事係成為容易。
(除去殘留氣體)
在形成了第3層之後,將第3氣體供給管232c之閥243c關閉,而停止O2 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第3層之形成有所幫助後的O2 氣體或反應副生成物排除。另外,此時,閥243g、243e、243f、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於第3層之形成有所幫助後的O2 氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地 洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟4中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟4中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氧化氣體,除了氧(O2 )氣以外,亦可使用水蒸氣(H2 O)氣體、一氧化氮(NO)氣體、一氧化二氮(N2 O)氣體、二氧化氮(NO2 )氣體、一氧化碳(CO)氣體、二氧化碳(CO2 )氣體、臭氧(O3 )氣體、氫(H2 )氣+O2 氣體、H2 氣體+O3 氣體等。
[步驟4]
(供給NH3 氣體)
在結束步驟3並將處理室201內之殘留氣體除去之後,係對於晶圓200而供給藉由熱而作了活性化的NH3 氣體。此時之處理條件以及處理程序,係與在上述之表面改質步驟中的NH3 氣體供給時之處理條件以及處理程序略相同。但是,對於晶圓200供給NH3 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。另 外,在步驟4中,亦同樣的,NH3 氣體係藉由熱來活性化並作供給。另外,係以藉由熱來將NH3 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之氮化。但是,與上述之表面改質步驟相同的,NH3 氣體係亦可藉由電漿來活性化並作供給。
此時,在處理室201內所流動之氣體,係為被熱性地活性化之NH3 氣體,在處理室201內係並未流動HCDS氣體和C3 H6 氣體以及O2 氣體。故而,NH3 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,並與在步驟3中所形成在晶圓200上之作為第3層之包含矽、氧以及碳的層之至少一部份產生反應。藉由此,第3層係以無電漿而熱性地被氮化,並被改變成含有矽、氧、碳以及氮之第4層,亦即是被改變(改質)成矽氧碳氮化層(SiOCN層)。
又,藉由對於晶圓200供給被作了活性化之NH3 氣體,第3層之最表面係被改質(表面改質處理)。此時,例如,藉由第3層之最表面與被作了活性化之NH3 氣體起反應並被氮化,在第3層之最表面上、亦即是第4層之最表面上,係會有被形成具有Si-N鍵結之層、亦即是被形成包含矽(Si)以及氮(N)之氮化層(矽氮化層)的情況。又,例如,藉由使NH3 氣體吸附在第3層之最表面上,亦會有在第3層之最表面、亦即是第4層之最表面處被形成有NH3 氣體之吸附層的情況。又,也會有同時產生此些之反應並在第3層之最表面、亦即是第4層之 最表面上形成氮化層和NH3 氣體之吸附層之雙方的情況。
表面改質處理後之第3層的最表面、亦即是第4層的最表面,係成為易於吸附在接下來的步驟1中所被供給之HCDS氣體並容易堆積Si之表面狀態。亦即是,在步驟4中所使用之NH3 氣體,係成為作為促進在下一循環中之HCDS氣體或Si之對於晶圓200的最表面(第4層之最表面)之吸附或堆積的吸附以及堆積促進氣體而起作用。
另外,此時,第3層之氮化反應係設為並不會使其飽和。例如,當在步驟1~3中而形成數原子層之厚度之第3層的情況時,係使其之表面層(表面之1原子層)的至少一部份氮化。於此情況,係以不會使第3層之全體被氮化的方式,來在會使第3層之氮化反應成為不飽和的條件下而進行氮化。另外,依存於條件,亦能夠將第3層之從表面層起的下方之數層氮化,但是,係以僅使其之表面層氮化的情況時為更能夠使SiOCN膜之組成比的控制性提升,而為理想。又,例如當在步驟1~3中而形成1原子層或者是未滿1原子層之厚度之第3層的情況時,係同樣的使其之表面層的一部份氮化。於此情況,係以不會使第3層之全體被氮化的方式,來在會使第3層之氮化反應成為不飽和的條件下而進行氮化。
另外,為了將第3層之氮化反應設為不飽和,係只要將在步驟4中之處理條件設為上述之處理條件即可,但是,藉由進而將步驟4中之處理條件設為下述之 處理條件,係成為易於將第3層之氮化反應設為不飽和。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
NH3 氣體分壓:33~5030Pa
NH3 氣體供給流量:1000~5000sccm
N2 氣體供給流量:300~3000sccm
NH3 氣體供給時間:6~200秒
(除去殘留氣體)
在形成了第4層之後,將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第4層之形成有所幫助後的NH3 氣體或反應副生成物排除。此時之處理條件以及處理程序,係與在上述之表面改質步驟中的殘留氣體除去時之處理條件以及處理程序相同。
作為氮化氣體,係與表面改質步驟相同地,除了氨(NH3 )氣以外,亦可使用二氮烯(N2 H2 )氣體、聯氨(N2 H4 )氣體、N3 H8 氣體等。
將上述之步驟1~4作為1個循環,藉由將此循環進行一次以上,係能夠在晶圓200上形成特定膜厚之包含矽、氧、碳以及氮之薄膜,亦即是係能夠成膜矽氧碳氮化膜(SiOCN膜)。另外,上述之循環,係以反覆進行複數次為理想。此時,藉由對於在各步驟中之處理室201 內的壓力與氣體供給時間等之處理條件作控制,係能夠對於在SiOCN層中之各元素成分、亦即是矽成分、氧成分、碳成分、氮成分之比例、也就是矽濃度、氧濃度、碳濃度、氮濃度作調整,而能夠對於SiOCN膜之組成比作控制。另外,在將循環進行複數次的情況時,至少在第2循環以後之各步驟中,記載為「對於晶圓200而供給特定之氣體」的部分,係代表「對於被形成在晶圓200上之層、亦即是對於作為層積體之晶圓200的最表面,而供給特定之氣體」,記載為「在晶圓200上形成特定之層」的部分,係代表「在被形成於晶圓200上之層上、亦即是作為層積體之晶圓200之最表面之上,而形成特定之層」。關於此事,係如同上述一般。另外,此事,針對後述之其他的成膜程序和各變形例,亦為相同。
(洗淨以及恢復大氣壓)
若是進行了形成具有特定組成之特定膜厚之SiOCN膜的成膜處理,則係藉由對於處理室201內而供給N2 等之惰性氣體並作排氣,而藉由惰性氣體來將處理室201內洗淨(氣體洗淨)。之後,處理室201內之氛圍係被置換為惰性氣體(惰性氣體置換),處理室201內之壓力係恢復為常壓(恢復大氣壓)。
(舟卸載以及晶圓卸載)
之後,藉由舟升降器115來使密封帽219下降,而使 反應管203之下端開口,並且,將完成處理之晶圓200以被支持於舟217處的狀態下,來從反應管203之下端起而搬出至反應管203之外部(舟卸載)。之後,完成處理之晶圓200係被從舟217而取出(晶圓卸載)。
(第2程序)
接下來,針對本實施形態之第2程序作說明。
圖5(a),係為對於本實施形態之第2程序中的氣體供給之時序作展示之圖。
在本實施形態之第2程序中,係在將包含有供給氮化氣體的工程和供給含特定元素氣體的工程和供給含碳氣體的工程以及供給氧化氣體的工程之循環,進行了特定之次數之後,進行供給氮化氣體的工程,在此點上,係與上述之第1程序相異。
更具體而言,係將包含有供給氮化氣體之工程和供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程,依此順序而進行,並將此4個工程作為1個循環,而將此循環進行特定之次數,較理想為進行複數次,之後,進行供給氮化氣體之工程,在此點上,係與上述之第1程序相異。
另外,係構成為在進行供給含特定元素氣體的工程之前,先進行供給氮化氣體之工程,並在進行了此供給氮化氣體的工程之後,於直到進行供給含特定元素氣體的工程為止之期間中,並不進行供給含碳氣體之工程以 及供給氧化氣體之工程,在此點上,係與上述第1程序相同。
以下,針對本實施形態之第2程序作具體性說明。於此,係針對下述一般的例子作說明:亦即是,作為含特定元素氣體而使用HCDS氣體,作為含碳氣體而使用C3 H6 氣體,作為氧化氣體而使用O2 氣體,作為氮化氣體而使用NH3 氣體,並在進行了圖5(a)之成膜程序,亦即是將依序進行供給NH3 氣體的工程、供給HCDS氣體之工程、供給C3 H6 氣體之工程和供給O2 氣體之工程的循環作了特定次數的進行之後再進行供給NH3 氣體之工程,藉由此成膜程序,而在晶圓200上形成包含矽、氧、碳以及氮之矽氧碳氮化膜(SiOCN膜)。
(晶圓填充~晶圓旋轉)
晶圓填充、舟裝載、壓力調整、溫度調整、晶圓旋轉,係與第1程序相同地來進行。
[矽氧碳氮化膜形成工程]
接著,將後述之4個步驟、亦即是步驟1~4,作為1個循環,並將此循環進行1次以上,之後,進行後述之氮化步驟。
[步驟1]
步驟1,係與第1程序之表面改質步驟或步驟4同樣 地來進行。步驟1中之處理條件,係與第1程序中之表面改質步驟或步驟4中之處理條件相同。
另外,在初次(第1次)之循環中而於步驟1中所產生之反應、所形成之層等,係與在第1程序中之表面改質步驟中者相同。亦即是,藉由對於晶圓200之最表面(形成SiOCN膜時之基底面)供給被作了活性化之NH3 氣體,而使晶圓200之最表面改變為容易吸附HCDS氣體並且容易堆積Si的表面狀態(改質)。亦即是,在晶圓200之最表面處,係被形成有包含Si以及N之氮化層、或是NH3 氣體之吸附層、或者是此些之雙方。
又,在進行複數次之循環的情況時,於第2次以後之循環中,在步驟1中所產生之反應、所形成之層等,係與在第1程序中之步驟4中者相同。亦即是,在此步驟中,係藉由對於處理室201內之NH3 氣體的供給,來將在後述之步驟4中所形成的第3層之至少一部份氮化,並藉由此而在晶圓200上形成包含矽、氧、碳以及氮之第4層。進而,在此步驟中,係藉由對於第3層之表面供給被作了活性化之NH3 氣體,而使第3層被氮化所成的第4層之最表面,改變為容易吸附HCDS氣體並且容易堆積Si的表面狀態(改質)。亦即是,在第4層之最表面處,係被形成有包含Si以及N之氮化層、或是NH3 氣體之吸附層、或者是此些之雙方。
[步驟2]
步驟2,係與第1程序之步驟1同樣地來進行。於步驟2中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟1中者相同。亦即是,在此步驟中,係藉由對於處理室201內之HCDS氣體之供給,來在藉由NH3 氣體之供給而被作了改質的晶圓200上,作為第1層而形成例如從未滿1原子層起乃至數原子層程度之厚度的含矽層。
[步驟3]
步驟3,係與第1程序之步驟2同樣地來進行。於步驟3中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟2中者相同。亦即是,在此步驟中,係藉由對於處理室201內之C3 H6 氣體的供給,來在藉由步驟2所形成的作為第1層之含矽層之上形成含碳層,並藉由此而在晶圓200上形成包含矽以及碳之第2層,亦即是形成在含矽層上被形成有含碳層之層。
[步驟4]
步驟4,係與第1程序之步驟3同樣地來進行。於步驟4中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟3中者相同。亦即是,在此步驟中,係藉由對於處理室201內之O2 氣體的供給,來將第2層之至少一部份氧化,並藉由此而在晶圓200上形成包含矽、氧以及碳之第3層。
將上述之步驟1~4作為1個循環,藉由將此 循環進行一次以上,係能夠在晶圓200上形成特定膜厚之SiOCN膜。另外,上述之循環,係以反覆進行複數次為理想。此時,藉由對於在各步驟中之處理室201內的壓力與氣體供給時間等之處理條件作控制,係能夠對於在SiOCN層中之各元素成分、亦即是矽成分、氧成分、碳成分、氮成分之比例、也就是矽濃度、氧濃度、碳濃度、氮濃度作調整,而能夠對於SiOCN膜之組成比作控制。另外,在此階段中所形成之SiOCN膜之最表面處,係成為被形成有第3層、亦即是SiOC層。
[氮化步驟]
將步驟1~4作為1個循環,並將此循環進行特定次數,之後,實施氮化步驟。本步驟,係與第1程序之步驟4同樣地來進行。於本步驟中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟4中者相同。亦即是,在此步驟中,係藉由對於處理室201內之NH3 氣體的供給,來將在最終循環中而於晶圓200之最表面處所形成的第3層(SiOC層)之至少一部份氮化,而使第3層改變為第4層、亦即是改變為SiOCN層(改質)。藉由此氮化步驟,來將SiOCN膜之最表面適當地氮化並作改質,藉由此,SiOCN膜係成為從最下層起直到最上層為止來將SiOCN層作層積所成之膜。亦即是,SiOCN膜,係成為在膜厚方向上而組成為均一之膜。
(氣體洗淨~晶圓卸載)
若是進行了SiOCN膜之形成處理以及SiOCN膜之最表面的改質處理,則係與第1程序相同的而進行氣體洗淨、惰性氣體置換、恢復大氣壓、舟卸載、晶圓卸載。
(3)本實施形態之效果
若依據本實施形態,則係可得到以下所示之1或複數的效果。
(a)若依據本實施形態,則不論是在何者之成膜程序中,均係構成為在進行供給HCDS氣體的工程之前,先進行供給NH3 氣體之工程,並在進行了此供給NH3 氣體的工程之後,於直到進行供給HCDS氣體的工程為止之期間中,並不進行供給C3 H6 氣體之工程以及供給O2 氣體之工程。藉由此,就算是在低溫區域中,也能夠使SiOCN膜之成膜速度增加,而成為能夠將成膜處理之生產性提升。
亦即是,在第1程序中,係將步驟1~4作為1個循環,並構成為在將此循環進行特定次數之前,先進行對於晶圓200而供給NH3 氣體之表面改質步驟。並且,係構成為在表面改質步驟和步驟1之間,並不進行供給C3 H6 氣體之步驟2以及供給O2 氣體之步驟3。如同上述一般,藉由進行表面改質步驟,晶圓200之最表面,係被改變(改質)為HCDS氣體容易吸附且Si容易堆積之表面狀態。藉由在表面改質步驟和步驟1之間並不進行步驟 2和步驟3,晶圓200之最表面,係維持於HCDS氣體容易吸附且Si容易堆積之表面狀態。因此,在緊接於表面改質步驟之後而進行之步驟1中,對於晶圓200之最表面上的HCDS氣體之吸附和Si之堆積係被促進,對於晶圓200之最表面上的含矽層之形成係成為被促進。
在第3氣體供給管232c處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241c、以及身為開閉閥之閥243c。又,在第3氣體供給管232c之較閥243c更下游側處,係被連接有第3惰性氣體供給管232g。在此第3惰性氣體供給管232g處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器241g、以及身為開閉閥之閥243g。又,在第3氣體供給管232c之前端部處,係被連接有上述之第3噴嘴249c。第3噴嘴249c,係在反應管203之內壁和晶圓200之間的圓弧狀之空間中,沿著反應管203之內壁而從下部起朝向上部,以朝向晶圓200之積載方向上方來立起的方式而作設置。亦即是,第3噴嘴249c,係在晶圓200所被作配列之晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。第3噴嘴249c,係作為L字型之長噴嘴而被構成,其之水平部,係以貫通反應管203之下部側壁的方式而被作設置,其之垂直部,係以至少從晶圓配列區域之其中一端側起而朝向另外一端側來立起的方式而被作設置。在第3噴嘴249c之 側面處,係被設置有供給氣體之氣體供給孔250c。氣體供給孔250c,係以朝向反應管203之中心的方式而開口,並成為能夠朝向晶圓200而供給氣體。此氣體供給孔250c,係從反應管203之下部起涵蓋至上部地而被作複數設置,並分別具備有相同之開口面積,且進而以相同之開口節距而被作設置。主要,係藉由第3氣體供給管232c、質量流控制器241c、閥243c,來構成第3氣體供給系。另外,亦可將第3噴嘴249c視為被包含在第3氣體供給系中。又,主要,係藉由第3惰性氣體供給管232g、質量流控制器241g、閥243g,來構成第3惰性氣體供給系。
在第4氣體供給管232d處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241d、以及身為開閉閥之閥243d。又,在第4氣體供給管232d之較閥243d更下游側處,係被連接有第4惰性氣體供給管232h。在此第4惰性氣體供給管232h處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器241h、以及身為開閉閥之閥243h。又,在第4氣體供給管232d之前端部處,係被連接有上述之第4噴嘴249d。第4噴嘴249d,係被設置在身為氣體分散空間之緩衝室237內。
緩衝室237,係在反應管203之內壁和晶圓200之間的圓弧狀之空間中,並在從反應管203之內壁的 下部起而涵蓋至上部的部分處,沿著晶圓200之積載方向而作設置。亦即是,緩衝室237,係在晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。在緩衝室237之與晶圓200相鄰接之壁的端部處,係被設置有供給氣體之氣體供給孔250e。氣體供給孔250e,係以朝向反應管203之中心的方式而開口,並成為能夠朝向晶圓200而供給氣體。此氣體供給孔250e,係從反應管203之下部起涵蓋至上部地而被作複數設置,並分別具備有相同之開口面積,且進而以相同之開口節距而被作設置。
第4噴嘴249d,係在緩衝室237之與被設置有氣體供給孔250e的端部相反側之端部處,沿著反應管203之內壁而從下部起朝向上部,以朝向晶圓200之積載方向上方來立起的方式而作設置。亦即是,第4噴嘴249d,係在晶圓200所被作配列之晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。第4噴嘴249d,係作為L字型之長噴嘴而被構成,其之水平部,係以貫通反應管203之下部側壁的方式而被作設置,其之垂直部,係以至少從晶圓配列區域之其中一端側起而朝向另外一端側來立起的方式而被作設置。在第4噴嘴249d之側面處,係被設置有供給氣體之氣體供給孔250d。氣體供給孔250d,係朝向緩衝室237之中心而開口。此氣體供給孔250d,係與緩衝室237之氣體供給孔250e相同的,而從反應管203之 下部起涵蓋至上部地被作複數設置。此複數之氣體供給孔250d的各別之開口面積,當緩衝室237內和處理室201內之差壓為小的情況時,係可從上游側(下部)起直到下游側(上部)為止地,而分別設為相同之開口面積和相同之開口節距,但是,當差壓為大的情況時,若是從上游側起朝向下游側地,而分別將開口面積增大或者是將開口節距縮小,則為理想。
在本實施形態中,藉由將第4噴嘴249d之氣體供給孔250d的各別之開口面積或開口節距從上游側起一直涵蓋至下游側地而如同上述一般地作調節,首先,從氣體供給孔250d之各個起,係噴出雖然存在有流速之差但是流量係為略同樣的氣體。之後,係構成為將從此氣體供給孔250d之各個處所噴處的氣體,暫時先導入至緩衝室237內,並在緩衝室237內而進行氣體之流速差的均一化。亦即是,藉由第4噴嘴249d之各氣體供給孔250d所噴出至緩衝室237內之氣體,係在緩衝室237內而使各氣體之粒子速度作了緩和,之後,再藉由緩衝室237之氣體供給孔250e而噴出至處理室201內。藉由此,藉由第4噴嘴249d之各氣體供給孔250d所噴出至緩衝室237內之氣體,在藉由緩衝室237之各氣體供給孔250e而噴出至處理室201內時,係成為具備有均一之流量和流速的氣體。
主要,係藉由第4氣體供給管232d、質量流控制器241d、閥243d,來構成第4氣體供給系。另外, 亦可將第4噴嘴249d以及緩衝室237視為被包含在第4氣體供給系中。又,主要,係藉由第4惰性氣體供給管232h、質量流控制器241h、閥243h,來構成第4惰性氣體供給系。第4惰性氣體供給系,係亦作為洗淨氣體供給系而起作用。
如此這般,在本實施形態中之氣體供給方法,係經由被配置在藉由反應管203之內壁和被作了積載的複數枚之晶圓200的端部所定義出之圓弧狀的縱長之空間內的噴嘴249a、249b、249c、249d以及緩衝室237來搬送氣體,並從噴嘴249a、249b、249c、249d以及在緩衝室237處所分別開口之氣體供給孔250a、250b、250c、250d、250e來在晶圓200之近旁處而首先將氣體噴出至反應管203內,而將反應管203內之氣體的主要之流動設為與晶圓200之表面相平行的方向,亦即是設為水平方向。藉由設為此種構成,係能夠對於各晶圓200而均一地供給氣體,而有著能夠使被形成在各晶圓200處之薄膜的膜厚成為均一之效果。另外,反應後之剩餘氣體,係朝向排氣口、亦即是朝向後述之排氣管231的方向而流動,但是,此剩餘氣體之流動方向,係依存於排氣口之位置而被適宜特定,其係並非為被限定於垂直方向者。
從第1氣體供給管232a,係作為含特定元素氣體,而例如將矽烷系氣體等之矽原料氣體,亦即是將含有矽(Si)之氣體(含矽氣體),經由質量流控制器241a、閥243a、第1噴嘴249a來供給至處理室201內。 作為含矽氣體,例如係可使用六氯二矽烷(Si2 Cl6 ,略稱:HCDS)氣體。另外,當使用如同HCDS一般之在常溫常壓下為液體狀態之液體原料的情況時,係成為將液體原料藉由氣化器或者是起泡器等之氣化系統來作氣化,再作為原料氣體(HCDS氣體)來作供給。
從第2氣體供給管232b,係作為含碳氣體,亦即是含有碳(C)之氣體,經由質量流控制器241b、閥243b、第2噴嘴249b來供給至處理室201內。作為含碳氣體,例如係可使用丙烯(C3 H6 )氣體等之碳氫系氣體。
從第3氣體供給管232c,係作為氧化氣體,亦即是含有氧(O)之氣體(含氧氣體),經由質量流控制器241c、閥243c、第3噴嘴249c來供給至處理室201內。作為氧化氣體,例如,係可使用氧(O2 )氣。
從第4氣體供給管232d,係作為氮化氣體,亦即是含有氮(N)之氣體(含氮氣體),經由質量流控制器241d、閥243d、第4噴嘴249d緩衝室237,來供給至處理室201內。作為氮化氣體,例如,係可使用氨(NH3 )氣。
從惰性氣體供給管232e、232f、232g、232h,係將例如氮(N2 )氣體,分別經由質量流控制器241e、241f、241g、241h,閥243e、243f、243g、243h,氣體供給管232a、232b、232c、232d、噴嘴249a、249b、249c、249d以及緩衝室237,而供給至處理室201內。
另外,例如當從各氣體供給管而分別流動如同上述一般之氣體的情況時,係藉由第1氣體供給系來構成含有特定元素氣體供給系,亦即是含矽氣體供給系(矽烷系氣體供給系)。又,係藉由第2氣體供給系來構成含碳氣體供給系。又,係藉由第3氣體供給系來構成氧化氣體供給系,亦即是含氧氣體供給系。又,係藉由第4氣體供給系來構成氮化氣體供給系,亦即是含氮氣體供給系。另外,係亦將含有特定元素氣體供給系稱作原料氣體供給系或者是單純稱作原料供給系。又,當將含碳氣體、氧化氣體以及氮化氣體總稱為反應氣體的情況時,係藉由含碳氣體供給系、氧化氣體供給系以及氮化氣體供給系而構成反應氣體供給系。
在緩衝室237內,係如圖2中所示一般,將具有細長構造之身為第1電極的第1棒狀電極269以及身為第2電極的第2棒狀電極270,從反應管203之下部起涵蓋至上部地而沿著晶圓200之層積方向作配設。第1棒狀電極269以及第2棒狀電極270之各個,係與第4噴嘴249d相平行地而被作設置。第1棒狀電極269以及第2棒狀電極270之各個,係從上部起而涵蓋至下部地,藉由身為對各電極作保護之保護管的電極保護管275而被作覆蓋,並藉此而被作保護。此第1棒狀電極269或第2棒狀電極270之其中一方,係經由整合器272而被與高頻電源273作連接,而另外一方係被與身為基準電位之接地作連接。藉由透過整合器272而從高頻電源273來對於第1棒 狀電極269以及第2棒狀電極270之間施加高頻電力,在第1棒狀電極269以及第2棒狀電極270之間的電漿產生區域224處係產生電漿。主要係藉由第1棒狀電極269、第2棒狀電極270、電極保護管275,而構成作為電漿產生器(電漿產生部)之電漿源。另外,亦可將整合器272、高頻電源273視為被包含在電漿源中。另外,電漿源,係如同後述一般地,作為藉由電漿來使氣體活性化(激勵)之活性化機構(激勵部)而起作用。
電極保護管275,係成為能夠將第1棒狀電極269以及第2棒狀電極270之各個在與緩衝室237內之氛圍作了隔離的狀態下而***至緩衝室237內之構造。於此,若是電極保護管275之內部的氧濃度為與外氣(大氣)之氧濃度同等程度,則分別被***至電極保護管275內之第1棒狀電極269以及第2棒狀電極270,係會由於加熱器207所導致之熱而被氧化。因此,係構成為:藉由預先在電極保護管275之內部填充氮氣等之惰性氣體,或者是使用惰性氣體洗淨機構來藉由氮氣等之惰性氣體而將電極保護管275之內部作洗淨,來將電極保護管275之內部的氧濃度降低,而能夠防止第1棒狀電極269或者是第2棒狀電極270之氧化。
在反應管203處,係被設置有將處理室201內之氛圍作排氣的排氣管231。在排氣管231處,係經由檢測出處理室201內之壓力的作為壓力檢測器(壓力檢測部)之壓力感測器245以及作為壓力調整器(壓力調整 部)之APC(Auto Pressure Controller)閥244,而連接有作為真空排氣裝置之真空幫浦246。另外,APC閥244,係構成為:藉由在使真空幫浦246動作了的狀態下而將閥作開閉,而能夠進行處理室201內之真空排氣以及真空排氣停止,進而,藉由在使真空幫浦246動作了的狀態下而對於閥開度作調節,而能夠對於處理室201內之壓力作調整。主要係藉由排氣管231、APC閥244、壓力感測器245,而構成排氣系。另外,亦可將真空幫浦246視為被包含在排氣系中。排氣系,係構成為能夠藉由一面使真空幫浦246動作一面基於藉由壓力感測器245所檢測出的壓力資訊來對於APC閥244之閥的開度作調節,來以使處理室201內之壓力成為特定之壓力(真空度)的方式而進行真空排氣。
在反應管203之下方,係被設置有能夠將反應管203之下端開口氣密地作閉塞之作為爐口蓋體的密封帽219。密封帽219,係構成圍從垂直方向下側起來對於反應管203之下端作抵接。密封帽219,例如係由不鏽鋼等之金屬所成,並被形成為圓盤狀。在密封帽219之上面,係被設置有與反應管203之下端作抵接的作為密封構件之O型環220。在密封帽219之與處理室201相反側處,係被設置有使作為後述之基板保持具的舟217作旋轉之旋轉機構267。旋轉機構267之旋轉軸255,係貫通密封帽219而被與舟217作連接。旋轉機構267,係構成為藉由使舟217作旋轉而使晶圓200旋轉。密封帽219,係 構成為藉由被垂直地設置於反應管203之外部處的作為升降機構之舟升降器115來在垂直方向上作升降。舟升降器115,係構成為能夠藉由使密封帽219作升降,來將舟217對於處理室201內外而作搬入以及搬出。亦即是,舟升降器115,係作為將舟217、亦即是將晶圓200搬送至處理室201內外之搬送裝置(搬送機構)。
作為基板支持具之舟217,例如係由石英或碳化矽等之耐熱性材料所成,並構成為能夠將複數枚之晶圓200以水平姿勢並且相互使中心作了對齊的狀態下來整列並作多段支持。另外,在舟217之下部,係被設置有例如由石英或碳化矽等之耐熱性材料所成的絕熱構件218,並構成為使從加熱器207而來之熱難以傳導至密封帽219側。另外,絕熱構件218,係亦可藉由由石英或碳化矽等之耐熱性材料所成之複數枚的絕熱板以及將此些絕熱板以水平姿勢來作多段支持之絕熱板支持器,而構成之。
在反應管203內,係被設置有作為溫度檢測器之溫度感測器263,並構成為藉由基於以溫度感測器263所檢測出之溫度資訊來調整對於加熱器207之通電程度,來使處理室201內之溫度成為所期望之溫度分布。溫度感測器263,係與噴嘴249a、249b、249c、249d同樣的而被構成為L字型,並沿著反應管203之內壁而作設置。
如圖3中所示一般,身為控制部(控制手段)之控制器121,係作為具備有CPU(Central Processing Unit)121a、RAM(Random Access Memory) 121b、記憶裝置121c、I/O埠121d的電腦,而構成之。RAM121b、記憶裝置121c、I/O埠121d,係構成為能夠經由內部匯流排121e而與CPU121a進行資料交換。在控制器121處,例如係被連接有作為觸控面板等而構成之輸入輸出裝置122。
記憶裝置121c,例如係藉由快閃記憶體、HDD(Hard Disk Drivc)等而構成。在記憶裝置121c內,係可讀出地而被儲存有對於基板處理裝置之動作作控制的控制程式、和後述之記載有基板處理之程序和條件等的製程配方等。另外,製程配方,係為以能夠使控制器121實行在後述之基板處理工程中之各程序並且能夠得到特定之結果的方式而作了組合者,並作為程式而起作用。以下,亦會將此製程配方和控制程式等單純總稱為程式。另外,在本說明書中,當使用了「程式」此一用語時,係會有僅包含製程配方之單體的情況,或是僅包含控制程式之單體的情況,或者是包含有此雙方的情況。又,RAM121b,係作為將藉由CPU121a所讀出的程式或資料等暫時性地作保持之記憶體區域(工作區域)而構成之。
I/O埠121d,係被與上述之質量流控制器241a、241b、241c、241d、241e、241f、241g、241h,閥243a、243b、243c、243d、243e、243f、243g、243h,壓力感測器245,APC閥244、真空幫浦246,加熱器207,溫度感測器263,高頻電源273,整合器272,旋轉機構267,舟升降器115等作連接。
CPU121a,係構成為從記憶裝置121c而讀出控制程式並實行,並且因應於從輸入輸出裝置122而來之操作指令的輸入等而從記憶裝置121c讀出製程配方。又,CPU121a,係構成為依循於所讀出之製程配方之內容,來對於由質量流控制器241a、241b、241c、241d、241e、241f、241g、241h所致之各種氣體的流量調整動作,閥243a、243b、243c、243d、243e、243f、243g、243h之開閉動作,APC閥244之開閉動作以及根據壓力感測器245所進行之由APC閥244所致之壓力調整動作,真空幫浦246之起動以及停止,基於溫度感測器263所進行之加熱器207的溫度調整動作,由旋轉機構267所進行之舟217的旋轉以及旋轉速度調節動作,由舟升降器115所致之舟217的升降動作,高頻電源273之電力供給,由整合器272所進行之阻抗調整動作等作控制。
另外,控制器121,係並不被限定於作為專用之電腦而構成的情況,亦可作為汎用之電腦而構成之。例如,係可藉由準備儲存有上述之程式的外部記憶裝置(例如,磁帶、軟碟或硬碟等之磁碟、CD或DVD等之光碟、MO等之光磁碟、USB記憶體或記憶卡等之半導體記憶體)123,並使用該外部記憶裝置123來將程式安裝至汎用之電腦中等,來構成本實施形態之控制器121。另外,用以對於電腦供給程式之手段,係並不被限定於經由外部記憶裝置123來作供給的情況。例如,係亦可構成為使用網際網路或者是專用線路等之通訊手段來並不經由外部記 憶裝置123地而供給程式。另外,記憶裝置121c或外部記憶裝置123,係作為電腦可讀取之記錄媒體而構成之。以下,亦將此些單純統稱為記錄媒體。另外,在本說明書中,當使用了「記錄媒體」此一用語時,係會有僅包含記憶裝置121c之單體的情況,或是僅包含外部記憶裝置123之單體的情況,或者是包含有此雙方的情況。
(2)基板處理工程
接著,使用上述之基板處理裝置的處理爐,作為半導體裝置(元件)之製造工程的其中一個工程,針對在基板上成膜薄膜之程序例作說明。另外,在以下之說明中,構成基板處理裝置之各部分的動作,係藉由控制器121而被控制。
另外,在本實施形態中,所形成之膜的組成比,係以會成為化學量論組成或者是與化學量論組成相異之特定之組成比的方式,來對於包含有構成所形成之膜的複數之元素的複數種類之氣體的供給條件作控制。例如,係以使構成所形成之膜的複數之元素中之至少1個的元素會在化學計量組成上相對於其他之元素而成為過剩一事作為目的,來對於供給條件作控制。以下,針對一面對於構成所形成之膜的複數之元素的比例、亦即是對於膜的組成比作控制,一面進行成膜的程序例來作說明。
(第1程序)
首先,針對本發明之第1程序作說明。
圖4(a),係為對於本實施形態之第1程序中的氣體供給之時序作展示之圖。
在本實施形態之第1程序中,係藉由將包含有對於晶圓200而供給含特定元素之氣體的工程和對於晶圓200而供給含碳氣體之工程和對於晶圓200而供給氧化氣體之工程以及對於晶圓200而供給氮氣之工程的循環,作特定次數之進行,來在晶圓200上形成包含有特定元素、氧、碳以及氮之薄膜。
另外,在形成薄膜之工程中,係構成為在進行供給含特定元素氣體的工程之前,先進行供給氮化氣體之工程,並在進行了此供給氮化氣體的工程之後,於直到進行供給含特定元素氣體的工程為止之期間中,並不進行供給含碳氣體之工程以及供給氧化氣體之工程。具體而言,係在進行了供給氮化氣體的工程之後,將包含有供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程以及供給氮化氣體的工程之循環,進行特定之次數。
更具體而言,係在進行了供給氮化氣體的工程之後,將包含有供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程以及供給氮化氣體的工程,依此順序而進行,並將此4個工程作為1個循環,而將此循環進行特定之次數,較理想為進行複數次。
以下,針對本實施形態之第1程序作具體性 說明。於此,係針對下述一般的例子作說明:亦即是,作為含特定元素氣體而使用HCDS氣體,作為含碳氣體而使用C3 H6 氣體,作為氧化氣體而使用O2 氣體,作為氮化氣體而使用NH3 氣體,並在進行了圖4(a)之成膜程序,亦即是進行了供給NH3 氣體的工程後,將藉由依序供給HCDS氣體之工程和供給C3 H6 氣體之工程和供給O2 氣體之工程以及供給NH3 氣體之工程所成的循環,進行特定之次數,藉由此成膜程序,而在晶圓200上形成包含矽、氧、碳以及氮之矽氧碳氮化膜(SiOCN膜)。
另外,當在本說明書中而使用所謂「晶圓」之用語的情況時,係有著代表「晶圓本身」的情況,和代表「晶圓與被形成於其之表面上的特定之層或膜等之間的層積體(集合體)」的情況(亦即是,亦包含有被形成在表面上之特定之層或膜等而統稱為晶圓的情況)。又,當在本說明書中而使用所謂「晶圓之表面」之用語的情況時,係有著代表「晶圓本身之表面(露出面)」的情況,和代表「被形成於晶圓上的特定之層或膜等之表面、亦即是作為層積體之晶圓的最表面」的情況。
又,當在本說明書中而記載為「對於晶圓而供給特定之氣體」的情況時,係有著代表「對於晶圓本身之表面(露出面)而直接供給特定之氣體」的情況,和代表「對於被形成於晶圓上的層或膜等、亦即是對於作為層積體之晶圓的最表面,而供給特定之氣體」的情況。又,當在本說明書中而記載為「在晶圓上形成特定之層(或者 是膜)」的情況時,係有著代表「在晶圓本身之表面(露出面)上直接形成特定之層(或者是膜)」的情況,和代表「在被形成於晶圓上的層或膜等之上、亦即是在作為層積體之晶圓的最表面上,而形成特定之層(或者是膜)」的情況。
另外,當在本說明書中而使用「基板」之用語的情況時,係與使用「晶圓」之用語的情況相同,於此情況,只要將上述說明中之「晶圓」代換為「基板」即可。
(晶圓填充器以及舟裝載器)
若是將複數枚之晶圓200裝填於舟217(晶圓填充)中,則如圖1中所示一般,將複數枚之晶圓200作了支持的舟217,係藉由舟升降器115而被作舉升並被搬入(舟裝載)至處理室201內。在此狀態下,密封帽219係成為隔著O型環220而將反應管203之下端作了密封的狀態。
(壓力調整及溫度調整)
以使處理室201內成為所期望之壓力(真空度)的方式,來藉由真空幫浦246而進行真空排氣。此時,係藉由壓力感測器245來測定處理室201內之壓力,並基於此測定出之壓力資訊而對於APC閥244進行反饋控制(壓力調整)。另外,真空幫浦246,係至少在直到對於晶圓200所進行之處理結束為止的期間中而恆常被維持於動作 狀態。又,以使處理室201內成為所期望之溫度的方式,來藉由加熱器207而進行加熱。此時,係以使處理室201內成為所期望之溫度分布的方式,來基於溫度感測器263所檢測出之溫度資訊而對於加熱器207之通電程度進行反饋控制(溫度調整)。另外,由加熱器207所進行之處理室201內的加熱,係至少在直到對於晶圓200所進行之處理結束為止的期間中而被持續進行。接著,開始由旋轉機構267所致之舟217以及晶圓200的旋轉(晶圓旋轉)另外,由旋轉機構267所進行之舟217以及晶圓200的旋轉,係至少在直到對於晶圓200所進行之處理結束為止的期間中而被持續進行。
[矽氧碳氮化膜形成工程]
接著,進行後述之表面改質步驟,之後,進行後述之4個步驟,亦即是依序實行步驟1~4。
[表面改質步驟]
(供給NH3 氣體)
開啟第4氣體供給管232d之閥243d,並在第4氣體供給管232d內流動NH3 氣體。在第4氣體供給管232d內流動之NH3 氣體,係藉由質量流控制器241d而被作流量調整。被作了流量調整之NH3 氣體,係從第4噴嘴249d之氣體供給孔250d而被供給至緩衝室237內。此時,係並不對於第1棒狀電極269以及第2棒狀電極270之間施 加高頻電力。藉由此,被供給至緩衝室237內之NH3 氣體係藉由熱而被活性化,並從氣體供給孔250e而被供給至處理室201內,再從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的NH3 氣體。另外,此時係亦可對於第1棒狀電極269以及第2棒狀電極270之間施加高頻電力,並藉由電漿來將被供給至緩衝室237內之NH3 氣體活性化並作供給。於此情況,從高頻電源273所施加至第1棒狀電極269以及第2棒狀電極270之間的高頻電力,例如係被設定為會成為50~1000W之範圍內的電力。其他之處理條件,係設為與藉由熱來使NH3 氣體活性化並作供給的情況時之處理條件(於後再述)相同。
此時,同時性地開啟閥243h,並在第4惰性氣體供給管232h內流動N2 氣體。在第4惰性氣體供給管232h內流動之N2 氣體,係與NH3 氣體一同地經由緩衝室237而被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止NH3 氣體侵入至第1噴嘴249a、第2噴嘴249b、第3噴嘴249c中,係開啟閥243e、243f、243g,並在第1惰性氣體供給管232e、第2惰性氣體供給管232f、第3惰性氣體供給管232g內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c、第1噴嘴249a、第2噴嘴249b、第3噴嘴249c,而被供給至處理室201內,並從排氣管231而被排氣。
當藉由熱而使NH3 氣體活性化並流動時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241d所控制之NH3 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由質量流控制器241h、241e、241f、241g所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之NH3 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給NH3 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~600秒之範圍內的時間。另外,在表面改質步驟中之NH3 氣體的氣體供給時間,較理想,係設為較在後述之步驟4中的NH3 氣體之氣體供給時間而更長。藉由此,係能夠對於成膜前之晶圓200的最表面而充分地進行表面改質處理(於後再述)。又,此時之加熱器207的溫度,係設定為會使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。NH3 氣體,由於反應溫度係為高,而在上述一般之晶圓溫度下係難以產生反應,因此,係藉由將處理室201內之壓力設為上述一般之較高的壓力,來使熱性地進行活性化一事成為可能。另外,係以藉由熱來將NH3 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之表面改質。
藉由對於晶圓200之最表面(形成SiOCN膜 時之基底面)供給被作了活性化之NH3 氣體,晶圓200之最表面係被改質(表面改質處理)。此時,例如,藉由晶圓200之最表面與被作了活性化之NH3 氣體起反應並被氮化,在晶圓200之最表面上,係會有被形成具有Si-N鍵結之層、亦即是被形成包含矽(Si)以及氮(N)之氮化層(矽氮化層)的情況。又,例如,藉由使NH3 氣體吸附在晶圓200之最表面上,亦會有在晶圓200之最表面處被形成有NH3 氣體之吸附層的情況。又,也會有同時產生此些之反應並在晶圓200之最表面上形成氮化層和NH3 氣體之吸附層之雙方的情況。
氮化層,係除了包含Si以及N之連續性之層以外,亦包含有非連續性之層。亦即是,氮化層,係包含有包含Si-N鍵結之從未滿1原子層起直到數原子層程度的厚度之層。又,NH3 氣體之吸附層,係除了NH3 氣體之氣體分子的連續性之化學吸附層以外,亦包含非連續之化學吸附層。亦即是,NH3 氣體之吸附層,係包含有藉由NH3 氣體分子所構成之1分子層或者是未滿1分子層之厚度的化學吸附層。另外,構成NH3 氣體之吸附層的NH3 氣體分子,係亦包含有N和H間之鍵結被部分性地作了切離者(Nx Hy )。亦即是,NH3 氣體之吸附層,係包含有NH3 氣體分子以及/或者是Nx Hy 分子的連續性之化學吸附層或者是非連續性之化學吸附層。另外,所謂未滿1原子層之厚度之層,係指被非連續性地形成之原子層,所謂1原子層之厚度之層,係指被連續性地形成之原子層。 又,所謂未滿1分子層之厚度之層,係指被非連續性地形成之分子層,所謂1分子層之厚度之層,係指被連續性地形成之分子層。
表面改質處理後之晶圓200的最表面,係成為易於吸附在後述之步驟1中所被供給之HCDS氣體並容易堆積Si之表面狀態。亦即是,在表面改質步驟中所使用之NH3 氣體,係成為作為促進HCDS氣體或Si之對於晶圓200的最表面之吸附或堆積的吸附以及堆積促進氣體而起作用。
(除去殘留氣體)
之後,將第4氣體供給管232d之閥243d關閉,而停止NH3 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於晶圓200之表面改質有所幫助後的NH3 氣體或反應副生成物排除。另外,此時,閥243h、243e、243f、243g係維持於開啟,而維持N2 氣體之對於處理室201內的供給。藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於表面改質有所幫助後的NH3 氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之 後所進行之步驟1中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟1中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氮化氣體,除了氨(NH3 )氣以外,亦可使用二氮烯(N2 H2 )氣體、聯氨(N2 H4 )氣體、N3 H8 氣體等。作為惰性氣體,除了N2 氣體以外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等之稀有氣體。
[步驟1]
(供給HCDS氣體)
在表面改質步驟結束而除去了處理室201內之殘留氣體之後,係將第1氣體供給管232a之閥243a開啟,並在第1氣體供給管232a內流動HCDS氣體。在第1氣體供給管232a內流動之HCDS氣體,係藉由質量流控制器241a而被作流量調整。被作了流量調整之HCDS氣體,係從第1噴嘴249a之氣體供給孔250a而被供給至處理室201內,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給HCDS氣體。
此時,同時性地開啟閥243e,並在第1惰性 氣體供給管232e內流動N2 氣體等之惰性氣體。在第1惰性氣體供給管232e內流動之N2 氣體,係藉由質量流控制器241e而被作流量調整。被作了流量調整之N2 氣體,係與HCDS氣體一同地而被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止HCDS氣體侵入至第2噴嘴249b、第3噴嘴249c、第4噴嘴249d、緩衝室237內,係開啟閥243f、243g、243h,並在第2惰性氣體供給管232f、第3惰性氣體供給管232g、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d、緩衝室237,而被供給至處理室201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~13300Pa、較理想為20~1330Pa之範圍內的壓力。藉由質量流控制器241a所控制之HCDS氣體的供給流量,例如係設為1~1000sccm之範圍內的流量。藉由質量流控制器241e、241f、241g、241h所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。對於晶圓200供給HCDS氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係設定為會在處理室201內而產生CVD反應之程度的溫度,亦即是 設定為使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。另外,若是晶圓200之溫度成為未滿250℃,則係成為難以在晶圓200上吸附HCDS,而會有變得無法得到實用性之成膜速度的情形。藉由將晶圓200之溫度設為250℃以上,係能夠解決此問題。另外,藉由將晶圓200之溫度設為300℃以上,係成為能夠在晶圓200上更充分地吸附HCDS,而成為能夠得到更加充分之成膜速度。又,若是晶圓200之溫度超過700℃,則CVD反應係變強(氣相反應係成為具支配性),起因於此,膜厚均一性係變得容易惡化,其控制係變得困難。藉由將晶圓200之溫度設為700℃以下,係能夠抑制膜厚均一性之惡化,並使其之控制成為可能。特別是,藉由將晶圓200之溫度設為650℃以下,表面反應係成為具支配性,而變得易於確保膜厚均一性,其之控制係變得容易。故而,較理想,晶圓200之溫度係設為250~700℃,更理想係設為300~650℃之範圍內的溫度。
藉由HCDS氣體之供給,於在表面改質步驟中而藉由NH3 氣體來作了改質的晶圓200之最表面上,係作為第1層而被形成有例如從未滿1原子層起乃至數原子層程度之厚度的含矽層。含矽層,係可為HCDS氣體之吸附層,亦可為矽層(Si層),亦可為包含有雙方。但是,含矽層,較理想係為含有矽(Si)以及氯(Cl)之層。
於此,所謂矽層,係為除了藉由矽(Si)所 構成之連續性之層以外,亦包含有非連續性之層或者是能夠將此些作重疊之矽薄膜者的總稱。另外,亦有將藉由Si所構成之連續性之層稱作矽薄膜的情況。另外,構成矽層之Si,係亦包含有其與Cl間之鍵結並未完全被切斷者。
又,HCDS氣體之吸附層,係除了HCDS氣體之氣體分子的連續性之化學吸附層以外,亦包含非連續之化學吸附層。亦即是,HCDS氣體之吸附層,係包含有藉由HCDS分子所構成之1分子層或者是未滿1分子層之厚度的化學吸附層。另外,構成HCDS氣體之吸附層的HCDS(Si2 Cl6 )分子,係亦包含有Si和Cl間之鍵結被部分性地作了切離者(Six Cly )。亦即是,HCDS氣體之吸附層,係包含有Si2 Cl6 分子以及/或者是Six Cly 分子的連續性之化學吸附層或者是非連續性之化學吸附層。另外,所謂未滿1原子層之厚度之層,係指被非連續性地形成之原子層,所謂1原子層之厚度之層,係指被連續性地形成之原子層。又,所謂未滿1分子層之厚度之層,係指被非連續性地形成之分子層,所謂1分子層之厚度之層,係指被連續性地形成之分子層。
在HCDS氣體會進行自我分解(熱分解)的條件下,亦即是在會產生HCDS氣體之熱分解反應的條件下,係會藉由在晶圓200上堆積Si而形成矽層。在HCDS氣體並不會進行自我分解(熱分解)的條件下,亦即是在不會產生HCDS氣體之熱分解反應的條件下,係會藉由在晶圓200上吸附有HCDS氣體而形成HCDS氣體之吸附 層。另外,相較於在晶圓200上形成HCDS氣體之吸附層,係以在晶圓200上形成矽層的情況時,能夠更將成膜速率提高,而為理想。
若是被形成在晶圓200上之含矽層的厚度超過數個原子層,則在後述之步驟3、4中的改質之作用係會變得無法到達含矽層之全體處。又,能夠形成在晶圓200上之含矽層的厚度之最小值,係為未滿1原子層。故而,含矽層厚度,較理想係以設為未滿1原子層~數原子層程度為理想。另外,藉由將含矽層之厚度設為1原子層以下,亦即是設為1原子層或者是未滿1原子層,係能夠將後述之步驟3、4中的改質反應之作用相對性地提高,而能夠將在步驟3、4之改質反應中所需要的時間縮短。並且,係亦能夠將在步驟1之含矽層之形成中所需要的時間縮短。其結果,係能夠將每一循環所需要的處理時間縮短,且亦成為能夠將總處理時間縮短。亦即是,係亦成為能夠將成膜速率提高。又,藉由將含矽層之厚度設為1原子層以下,係亦成為能夠將膜厚均一性之控制性提高。
(除去殘留氣體)
在形成了含矽層之後,將第1氣體供給管232a之閥243a關閉,而停止HCDS氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於含矽層之形成有所 幫助後的HCDS氣體或反應副生成物排除。另外,此時,閥243e、243f、243g、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於含矽層之形成有所幫助後的HCDS氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟2中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟2中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為含矽氣體,除了六氯二矽烷(Si2 Cl6 ,略稱:HCDS)氣體以外,亦可使用四氯矽烷、亦即是矽四氯化物(SiCl4 ,略稱:STC)氣體、三氯矽烷(SiHCl3 ,略稱:TCS)氣體、二氯矽烷(SiH2 Cl2 ,略稱:DCS)氣體、單氯矽烷(SiH3 Cl,略稱:MCS)氣體、單矽烷(SiH4 )氣體等之無機原料、乃至於使用胺基矽烷系之肆 二甲基胺基矽烷(Si[N(CH3 )2 ]4 ,略稱:4DMAS)氣體、參二甲基胺基矽烷(Si[N(CH3 )2 ]3 H,略稱:3DMAS)氣體、雙二乙基胺基矽烷(Si[N(C2 H5 )2 ]2 H2 ,略稱:2DEAS)氣體、雙第3丁基胺基矽烷(SiH2 [NH(C4 H9 )]2 ,略稱:BTBAS)氣體等的有機原料亦可。作為惰性氣體,除了N2 氣體以外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等之稀有氣體。
[步驟2]
(供給C3 H6 氣體)
在步驟1結束而除去了處理室201內之殘留氣體之後,係將第2氣體供給管232b之閥243b開啟,並在第2氣體供給管232b內流動C3 H6 氣體。在第2氣體供給管232b內流動之C3 H6 氣體,係藉由質量流控制器241b而被作流量調整。被作了流量調整之C3 H6 氣體,係從第2噴嘴249b之氣體供給孔250b而被供給至處理室201內。被供給至處理室201內之C3 H6 氣體,係藉由熱而被活性化,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的C3 H6 氣體。
此時,同時性地開啟閥243f,並在第2惰性氣體供給管232f內流動N2 氣體。在第2惰性氣體供給管232f內流動之N2 氣體,係與C3 H6 氣體一同地被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止C3 H6 氣體侵入至第1噴嘴249a、第3噴嘴249c、 第4噴嘴249d、緩衝室237內,係開啟閥243e、243g、243h,並在第1惰性氣體供給管232e、第3惰性氣體供給管232g、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第3氣體供給管232c、第4氣體供給管232d、第1噴嘴249a、第3噴嘴249c、第4噴嘴249d、緩衝室237,而被供給至處理室201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241b所控制之C3 H6 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由質量流控制器241f、241e、241g、241h所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之C3 H6 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給C3 H6 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係與步驟1相同的,設定為會使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。另外,係以藉由熱來將C3 H6 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,後述之含碳層的形成係變得容易。
此時,在處理室201內所流動之氣體,係為 被熱性地活性化之C3 H6 氣體,在處理室201內係並未流動HCDS氣體。故而,C3 H6 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,此時,在於步驟1中所形成在晶圓200上之作為第1層的含矽層上,係被形成有未滿1原子層之厚度的含碳層,亦即是非連續性之含碳層。藉由此,係形成包含矽及碳之第2層,亦即是係形成在在含矽層上被形成有含碳層之層。另外,依存於條件,亦會有含矽層之一部分與C3 H6 氣體產生反應並使含矽層被改質(碳化)而形成含矽以及碳之第2層的情況。
在含矽層上所形成之含碳層,係可為碳層(C層),亦可為含碳氣體(C3 H6 氣體)之化學吸附層、亦即是C3 H6 作了分解後之物質(Cx Hy )的化學吸附層。於此,碳層係有必要設為藉由碳所構成之非連續性之層。又,Cx Hy 之化學吸附層係有必要設為Cx Hy 分子之非連續性之化學吸附層。另外,當將形成於含矽層上之含碳層設為連續性之層的情況時,例如使Cx Hy 之對於含矽層上的吸附狀態成為飽和狀態,而在含矽層上形成Cx Hy 之連續性之化學吸附層的情況時,含矽層之表面係成為全體性地藉由Cx Hy 之化學吸附層而被作覆蓋。於此情況,在第2層之表面上係成為不會存在有矽,其結果,會有使在後述之步驟3中的第2層之氧化反應或者是在後述之步驟4中的第3層之氮化反應變得困難之情形。此係因為,在上述一般之處理條件下,氮或氧係會與矽結合,但是係難以與 碳結合之故。為了在後述之步驟3或步驟4中而產生所期望之氧化反應或氮化反應,係有必要設為使Cx Hy 之對於含矽層上的吸附狀態成為不飽和狀態並在第2層之表面上而使矽露出之狀態。
為了將Cx Hy 之對於含矽層上的吸附狀態設為不飽和狀態,係只要將在步驟2中之處理條件設為上述之處理條件即可但是,藉由進而將步驟2中之處理條件設為下述之處理條件,係成為易於將Cx Hy 之對於含矽層上的吸附狀態設為不飽和狀態。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
C3 H6 氣體分壓:33~5177Pa
C3 H6 氣體供給流量:1000~10000sccm
N2 氣體供給流量:300~3000sccm
C3 H6 氣體供給時間:6~200秒
(除去殘留氣體)
在形成了第2層之後,將第2氣體供給管232b之閥243b關閉,而停止C3 H6 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第2層之形成有所幫助後的C3 H6 氣體或反應副生成物排除。另外,此時, 閥243f、243e、243g、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於第2層之形成有所幫助後的C3 H6 氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟3中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟3中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氮化氣體,除了丙烯(C3 H6 )氣體以外,亦可使用乙炔(C2 H2 )氣體或乙烯(C2 H4 )氣體等之烴系氣體。
[步驟3]
(供給O2 氣體)
在步驟2結束而除去了處理室201內之殘留氣體之 後,係將第3氣體供給管232c之閥243c開啟,並在第3氣體供給管232c內流動O2 氣體。在第3氣體供給管232c內流動之O2 氣體,係藉由質量流控制器241c而被作流量調整。被作了流量調整之O2 氣體,係從第3噴嘴249c之氣體供給孔250c而被供給至處理室201內。被供給至處理室201內之O2 氣體,係藉由熱而被活性化,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的O2 氣體。
此時,同時性地開啟閥243g,並在第3惰性氣體供給管232g內流動N2 氣體。在第3惰性氣體供給管232g內流動之N2 氣體,係與O2 氣體一同地被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止O2 氣體侵入至第1噴嘴249a、第2噴嘴249b、第4噴嘴249d、緩衝室237內,係開啟閥243e、243f、243h,並在第1惰性氣體供給管232e、第2惰性氣體供給管232f、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第2氣體供給管232b、第4氣體供給管232d、第1噴嘴249a、第2噴嘴249b、第4噴嘴249d、緩衝室237,而被供給至處理室201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241c所控制之O2 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由 質量流控制器241g、241e、241f、241h所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之O2 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給O2 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係與步驟1、2相同的,設定為會使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。O2 氣體,係會在上述一般之條件下而被熱性地活性化。另外,係以藉由熱來將O2 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之氧化。
此時,在處理室201內所流動之氣體,係為被熱性地活性化之O2 氣體,在處理室201內係並未流動HCDS氣體和C3 H6 氣體。故而,O2 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,並與在步驟2中所形成在晶圓200上之包含矽以及碳之第2層(在含矽層上形成有含碳層之層)之至少一部份產生反應。藉由此,第2層係以無電漿而熱性地被氧化,並被改變成含有矽、氧以及碳之第3層,亦即是被改變(改質)成矽氧碳化層(SiOC層)。
此時,第2層之氧化反應係設為並不會使其飽和。例如,當在步驟1中而形成數原子層之厚度的含矽 層,並在步驟2中而形成未滿1原子層之厚度的含碳層的情況時,係使其之表面層(表面之1原子層)的至少一部份氧化。於此情況,係以不會使第2層之全體被氧化的方式,來在會使第2層之氧化反應成為不飽和的條件下而進行氧化。另外,依存於條件,亦能夠將第2層之從表面層起的下方之數層氧化,但是,係以僅使其之表面層氧化的情況時為更能夠使SiOCN膜之組成比的控制性提升,而為理想。又,例如,當在步驟1中而形成1原子層或者是未滿1原子層之厚度的含矽層,並在步驟2中而形成未滿1原子層之厚度的含碳層的情況時,亦係同樣地係使其之表面層的一部份氧化。於此情況,係以不會使第2層之全體被氧化的方式,來在會使第2層之氧化反應成為不飽和的條件下而進行氧化。
另外,為了將第2層之氧化反應設為不飽和,係只要將在步驟3中之處理條件設為上述之處理條件即可,但是,藉由進而將步驟3中之處理條件設為下述之處理條件,係成為易於將第2層之氧化反應設為不飽和。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
O2 氣體分壓:12~5030Pa
O2 氣體供給流量:1000~5000sccm
N2 氣體供給流量:300~10000sccm
O2 氣體供給時間:6~200秒
另外,此時,特別是藉由以將O2 氣體之稀釋率提高(將濃度降低)或是將O2 氣體之供給時間縮短或者是將O2 氣體之分壓降低的方式,來對於上述之處理條件作調整的情況時,係能夠將在步驟3中之氧化力適度地降低,而成為更容易將第2層之氧化反應設為不飽和,而為理想。圖4(a)之成膜程序,係對於藉由將在步驟3中所供給之N2 氣體的供給流量設為較在其他之步驟中所供給的N2 氣體之供給流量更大,而將O2 氣體之分壓降低並使氧化力作了降低的模樣作例示。
藉由將在步驟3中之氧化力降低,在氧化之過程中,係成為易於對碳(C)之從第2層中脫離的情況作抑制。相較於Si-C鍵結,由於係以Si-O鍵結之鍵結能量為更大,因此,若是形成Si-O鍵結,則Si-C鍵結係會有被切斷的傾向,但是,藉由將在步驟3中之氧化力適度地降低,當在第2層中形成Si-O鍵結時,係能夠抑制Si-C鍵結被切斷的情況,而成為容易對於與Si間之鍵結被作了切斷的C之從第2層脫離的情形作抑制。
又,藉由將在步驟3中之氧化力降低,係能夠維持在氧化處理後之第2層(亦即是第3層)之最表面處而露出有Si的狀態。藉由維持在第3層之最表面處而露出有Si的狀態,在後述之步驟4中,將第3層之最表面作氮化一事係成為容易。假設若是成為在第3層之最表面的全體而被形成有Si-O鍵結或者是Si-C鍵結而在其之最表面上並未露出有Si之狀態,則在後述之步驟4的條 件下,係會有難以形成Si-N鍵結的傾向。然而,藉由維持在第3層之最表面處而露出有Si的狀態,亦即是藉由在第3層之最表面處而使能夠在後述之步驟4中與N作結合之Si存在,形成Si-N鍵結一事係成為容易。
(除去殘留氣體)
在形成了第3層之後,將第3氣體供給管232c之閥243c關閉,而停止O2 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第3層之形成有所幫助後的O2 氣體或反應副生成物排除。另外,此時,閥243g、243e、243f、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於第3層之形成有所幫助後的O2 氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟4中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟4中而不會產生 不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氧化氣體,除了氧(O2 )氣以外,亦可使用水蒸氣(H2 O)氣體、一氧化氮(NO)氣體、一氧化二氮(N2 O)氣體、二氧化氮(NO2 )氣體、一氧化碳(CO)氣體、二氧化碳(CO2 )氣體、臭氧(O3 )氣體、氫(H2 )氣+O2 氣體、H2 氣體+O3 氣體等。
[步驟4]
(供給NH3 氣體)
在結束步驟3並將處理室201內之殘留氣體除去之後,係對於晶圓200而供給藉由熱而作了活性化的NH3 氣體。此時之處理條件以及處理程序,係與在上述之表面改質步驟中的NH3 氣體供給時之處理條件以及處理程序略相同。但是,對於晶圓200供給NH3 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。另外,在步驟4中,亦同樣的,NH3 氣體係藉由熱來活性化並作供給。另外,係以藉由熱來將NH3 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之氮化。但是,與上述之表面改質步驟相同的,NH3 氣體係亦可藉由電漿來活性化並作供給。
此時,在處理室201內所流動之氣體,係為被熱性地活性化之NH3 氣體,在處理室201內係並未流動HCDS氣體和C3 H6 氣體以及O2 氣體。故而,NH3 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,並與在步驟3中所形成在晶圓200上之作為第3層之包含矽、氧以及碳的層之至少一部份產生反應。藉由此,第3層係以無電漿而熱性地被氮化,並被改變成含有矽、氧、碳以及氮之第4層,亦即是被改變(改質)成矽氧碳氮化層(SiOCN層)。
又,藉由對於晶圓200供給被作了活性化之NH3 氣體,第3層之最表面係被改質(表面改質處理)。此時,例如,藉由第3層之最表面與被作了活性化之NH3 氣體起反應並被氮化,在第3層之最表面上、亦即是第4層之最表面上,係會有被形成具有Si-N鍵結之層、亦即是被形成包含矽(Si)以及氮(N)之氮化層(矽氮化層)的情況。又,例如,藉由使NH3 氣體吸附在第3層之最表面上,亦會有在第3層之最表面、亦即是第4層之最表面處被形成有NH3 氣體之吸附層的情況。又,也會有同時產生此些之反應並在第3層之最表面、亦即是第4層之最表面上形成氮化層和NH3 氣體之吸附層之雙方的情況。
表面改質處理後之第3層的最表面、亦即是第4層的最表面,係成為易於吸附在接下來的步驟1中所被供給之HCDS氣體並容易堆積Si之表面狀態。亦即是,在步驟4中所使用之NH3 氣體,係成為作為促進在下 一循環中之HCDS氣體或Si之對於晶圓200的最表面(第4層之最表面)之吸附或堆積的吸附以及堆積促進氣體而起作用。
另外,此時,第3層之氮化反應係設為並不會使其飽和。例如,當在步驟1~3中而形成數原子層之厚度之第3層的情況時,係使其之表面層(表面之1原子層)的至少一部份氮化。於此情況,係以不會使第3層之全體被氮化的方式,來在會使第3層之氮化反應成為不飽和的條件下而進行氮化。另外,依存於條件,亦能夠將第3層之從表面層起的下方之數層氮化,但是,係以僅使其之表面層氮化的情況時為更能夠使SiOCN膜之組成比的控制性提升,而為理想。又,例如當在步驟1~3中而形成1原子層或者是未滿1原子層之厚度之第3層的情況時,係同樣的使其之表面層的一部份氮化。於此情況,係以不會使第3層之全體被氮化的方式,來在會使第3層之氮化反應成為不飽和的條件下而進行氮化。
另外,為了將第3層之氮化反應設為不飽和,係只要將在步驟4中之處理條件設為上述之處理條件即可,但是,藉由進而將步驟4中之處理條件設為下述之處理條件,係成為易於將第3層之氮化反應設為不飽和。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
NH3 氣體分壓:33~5030Pa
NH3 氣體供給流量:1000~5000sccm
N2 氣體供給流量:300~3000sccm
NH3 氣體供給時間:6~200秒
(除去殘留氣體)
在形成了第4層之後,將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第4層之形成有所幫助後的NH3 氣體或反應副生成物排除。此時之處理條件以及處理程序,係與在上述之表面改質步驟中的殘留氣體除去時之處理條件以及處理程序相同。
作為氮化氣體,係與表面改質步驟相同地,除了氨(NH3 )氣以外,亦可使用二氮烯(N2 H2 )氣體、聯氨(N2 H4 )氣體、N3 H8 氣體等。
將上述之步驟1~4作為1個循環,藉由將此循環進行一次以上,係能夠在晶圓200上形成特定膜厚之包含矽、氧、碳以及氮之薄膜,亦即是係能夠成膜矽氧碳氮化膜(SiOCN膜)。另外,上述之循環,係以反覆進行複數次為理想。此時,藉由對於在各步驟中之處理室201內的壓力與氣體供給時間等之處理條件作控制,係能夠對於在SiOCN層中之各元素成分、亦即是矽成分、氧成分、碳成分、氮成分之比例、也就是矽濃度、氧濃度、碳濃度、氮濃度作調整,而能夠對於SiOCN膜之組成比作控制。另外,在將循環進行複數次的情況時,至少在第2 循環以後之各步驟中,記載為「對於晶圓200而供給特定之氣體」的部分,係代表「對於被形成在晶圓200上之層、亦即是對於作為層積體之晶圓200的最表面,而供給特定之氣體」,記載為「在晶圓200上形成特定之層」的部分,係代表「在被形成於晶圓200上之層上、亦即是作為層積體之晶圓200之最表面之上,而形成特定之層」。關於此事,係如同上述一般。另外,此事,針對後述之其他的成膜程序和各變形例,亦為相同。
(洗淨以及回復大氣壓)
若是進行了形成具有特定組成之特定膜厚之SiOCN膜的成膜處理,則係藉由對於處理室201內而供給N2 等之惰性氣體並作排氣,而藉由惰性氣體來將處理室201內洗淨(氣體洗淨)。之後,處理室201內之氛圍係被置換為惰性氣體(惰性氣體置換),處理室201內之壓力係恢復為常壓(恢復大氣壓)。
(舟卸載以及晶圓卸載)
之後,藉由舟升降器115來使密封帽219下降,而使反應管203之下端開口,並且,將完成處理之晶圓200以被支持於舟217處的狀態下,來從反應管203之下端起而搬出至反應管203之外部(舟卸載)。之後,完成處理之晶圓200係被從舟217而取出(晶圓卸載)。
(第2程序)
接下來,針對本實施形態之第2程序作說明。
圖5(a),係為對於本實施形態之第2程序中的氣體供給之時序作展示之圖。
在本實施形態之第2程序中,係在將包含有供給氮化氣體的工程和供給含特定元素氣體的工程和供給含碳氣體的工程以及供給氧化氣體的工程之循環,進行了特定之次數之後,進行供給氮化氣體的工程,在此點上,係與上述之第1程序相異。
更具體而言,係將包含有供給氮化氣體之工程和供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程,依此順序而進行,並將此4個工程作為1個循環,而將此循環進行特定之次數,較理想為進行複數次,之後,進行供給氮化氣體之工程,在此點上,係與上述之第1程序相異。
另外,係構成為在進行供給含特定元素氣體的工程之前,先進行供給氮化氣體之工程,並在進行了此供給氮化氣體的工程之後,於直到進行供給含特定元素氣體的工程為止之期間中,並不進行供給含碳氣體之工程以及供給氧化氣體之工程,在此點上,係與上述第1程序相同。
以下,針對本實施形態之第2程序作具體性說明。於此,係針對下述一般的例子作說明:亦即是,作為含特定元素氣體而使用HCDS氣體,作為含碳氣體而使 用C3 H6 氣體,作為氧化氣體而使用O2 氣體,作為氮化氣體而使用NH3 氣體,並在進行了圖5(a)之成膜程序,亦即是將依序進行供給NH3 氣體的工程、供給HCDS氣體之工程、供給C3 H6 氣體之工程和供給O2 氣體之工程的循環作了特定次數的進行之後再進行供給NH3 氣體之工程,藉由此成膜程序,而在晶圓200上形成包含矽、氧、碳以及氮之矽氧碳氮化膜(SiOCN膜)。
(晶圓填充~晶圓旋轉)
晶圓填充、舟裝載、壓力調整、溫度調整、晶圓旋轉,係與第1程序相同地來進行。
[矽氧碳氮化膜形成工程]
接著,將後述之4個步驟、亦即是步驟1~4,作為1個循環,並將此循環進行1次以上,之後,進行後述之氮化步驟。
[步驟1]
步驟1,係與第1程序之表面改質步驟或步驟4同樣地來進行。步驟1中之處理條件,係與第1程序中之表面改質步驟或步驟4中之處理條件相同。
另外,在初次(第1次)之循環中而於步驟1中所產生之反應、所形成之層等,係與在第1程序中之表面改質步驟中者相同。亦即是,藉由對於晶圓200之最表 面(形成SiOCN膜時之基底面)供給被作了活性化之NH3 氣體,而使晶圓200之最表面改變為容易吸附HCDS氣體並且容易堆積Si的表面狀態(改質)。亦即是,在晶圓200之最表面處,係被形成有包含Si以及N之氮化層、或是NH3 氣體之吸附層、或者是此些之雙方。
又,在進行複數次之循環的情況時,於第2次以後之循環中,在步驟1中所產生之反應、所形成之層等,係與在第1程序中之步驟4中者相同。亦即是,在此步驟中,係藉由對於處理室201內之NH3 氣體的供給,來將在後述之步驟4中所形成的第3層之至少一部份氮化,並藉由此而在晶圓200上形成包含矽、氧、碳以及氮之第4層。進而,在此步驟中,係藉由對於第3層之表面供給被作了活性化之NH3 氣體,而使第3層被氮化所成的第4層之最表面,改變為容易吸附HCDS氣體並且容易堆積Si的表面狀態(改質)。亦即是,在第4層之最表面處,係被形成有包含Si以及N之氮化層、或是NH3 氣體之吸附層、或者是此些之雙方。
[步驟2]
步驟2,係與第1程序之步驟1同樣地來進行。於步驟2中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟1中者相同。亦即是,在此步驟中,係藉由對於處理室201內之HCDS氣體之供給,來在藉由NH3 氣體之供給而被作了改質的晶圓200上,作為第1層 而形成例如從未滿1原子層起乃至數原子層程度之厚度的含矽層。
[步驟3]
步驟3,係與第1程序之步驟2同樣地來進行。於步驟3中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟2中者相同。亦即是,在此步驟中,係藉由對於處理室201內之C3 H6 氣體的供給,來在藉由步驟2所形成的作為第1層之含矽層之上形成含碳層,並藉由此而在晶圓200上形成包含矽以及碳之第2層,亦即是形成在含矽層上被形成有含碳層之層。
[步驟4]
步驟4,係與第1程序之步驟3同樣地來進行。於步驟4中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟3中者相同。亦即是,在此步驟中,係藉由對於處理室201內之O2 氣體的供給,來將第2層之至少一部份氧化,並藉由此而在晶圓200上形成包含矽、氧以及碳之第3層。
將上述之步驟1~4作為1個循環,藉由將此循環進行一次以上,係能夠在晶圓200上形成特定膜厚之SiOCN膜。另外,上述之循環,係以反覆進行複數次為理想。此時,藉由對於在各步驟中之處理室201內的壓力與氣體供給時間等之處理條件作控制,係能夠對於在SiOCN層中之各元素成分、亦即是矽成分、氧成分、碳成分、氮 成分之比例、也就是矽濃度、氧濃度、碳濃度、氮濃度作調整,而能夠對於SiOCN膜之組成比作控制。另外,在此階段中所形成之SiOCN膜之最表面處,係成為被形成有第3層、亦即是SiOC層。
[氮化步驟]
將步驟1~4作為1個循環,並將此循環進行特定次數,之後,實施氮化步驟。本步驟,係與第1程序之步驟4同樣地來進行。於本步驟中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟4中者相同。亦即是,在此步驟中,係藉由對於處理室201內之NH3 氣體的供給,來將在最終循環中而於晶圓200之最表面處所形成的第3層(SiOC層)之至少一部份氮化,而使第3層改變為第4層、亦即是改變為SiOCN層(改質)。藉由此氮化步驟,來將SiOCN膜之最表面適當地氮化並作改質,藉由此,SiOCN膜係成為從最下層起直到最上層為止來將SiOCN層作層積所成之膜。亦即是,SiOCN膜,係成為在膜厚方向上而組成為均一之膜。
(氣體洗淨~晶圓卸載)
若是進行了SiOCN膜之形成處理以及SiOCN膜之最表面的改質處理,則係與第1程序相同的而進行氣體洗淨、惰性氣體置換、恢復大氣壓、舟卸載、晶圓卸載。
(3)本實施形態之效果
若依據本實施形態,則係可得到以下所示之1或複數的效果。
(a)若依據本實施形態,則不論是在何者之成膜程序中,均係構成為在進行供給HCDS氣體的工程之前,先進行供給NH3 氣體之工程,並在進行了此供給NH3 氣體的工程之後,於直到進行供給HCDS氣體的工程為止之期間中,並不進行供給C3 H6 氣體之工程以及供給O2 氣體之工程。藉由此,就算是在低溫區域中,也能夠使SiOCN膜之成膜速度增加,而成為能夠將成膜處理之生產性提升。
亦即是,在第1程序中,係將步驟1~4作為1個循環,並構成為在將此循環進行特定次數之前,先進行對於晶圓200而供給NH3 氣體之表面改質步驟。並且,係構成為在表面改質步驟和步驟1之間,並不進行供給C3 H6 氣體之步驟2以及供給O2 氣體之步驟3。如同上述一般,藉由進行表面改質步驟,晶圓200之最表面,係被改變(改質)為HCDS氣體容易吸附且Si容易堆積之表面狀態。藉由在表面改質步驟和步驟1之間並不進行步驟2和步驟3,晶圓200之最表面,係維持於HCDS氣體容易吸附且Si容易堆積之表面狀態。因此,在緊接於表面改質步驟之後而進行之步驟1中,對於晶圓200之最表面上的HCDS氣體之吸附和Si之堆積係被促進,對於晶圓200之最表面上的含矽層之形成係成為被促進。
在第3氣體供給管232c處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241c、以及身為開閉閥之閥243c。又,在第3氣體供給管232c之較閥243c更下游側處,係被連接有第3惰性氣體供給管232g。在此第3惰性氣體供給管232g處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器241g、以及身為開閉閥之閥243g。又,在第3氣體供給管232c之前端部處,係被連接有上述之第3噴嘴249c。第3噴嘴249c,係在反應管203之內壁和晶圓200之間的圓弧狀之空間中,沿著反應管203之內壁而從下部起朝向上部,以朝向晶圓200之積載方向上方來立起的方式而作設置。亦即是,第3噴嘴249c,係在晶圓200所被作配列之晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。第3噴嘴249c,係作為L字型之長噴嘴而被構成,其之水平部,係以貫通反應管203之下部側壁的方式而被作設置,其之垂直部,係以至少從晶圓配列區域之其中一端側起而朝向另外一端側來立起的方式而被作設置。在第3噴嘴249c之側面處,係被設置有供給氣體之氣體供給孔250c。氣體供給孔250c,係以朝向反應管203之中心的方式而開口,並成為能夠朝向晶圓200而供給氣體。此氣體供給孔250c,係從反應管203之下部起涵蓋至上部地而被作複數設置,並分別具備有相同之開口面積,且進而以相同之開 口節距而被作設置。主要,係藉由第3氣體供給管232c、質量流控制器241c、閥243c,來構成第3氣體供給系。另外,亦可將第3噴嘴249c視為被包含在第3氣體供給系中。又,主要,係藉由第3惰性氣體供給管232g、質量流控制器241g、閥243g,來構成第3惰性氣體供給系。
在第4氣體供給管232d處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器(MFC)241d、以及身為開閉閥之閥243d。又,在第4氣體供給管232d之較閥243d更下游側處,係被連接有第4惰性氣體供給管232h。在此第4惰性氣體供給管232h處,係從上游方向起,而依序被設置有:身為流量控制器(流量控制部)之質量流控制器241h、以及身為開閉閥之閥243h。又,在第4氣體供給管232d之前端部處,係被連接有上述之第4噴嘴249d。第4噴嘴249d,係被設置在身為氣體分散空間之緩衝室237內。
緩衝室237,係在反應管203之內壁和晶圓200之間的圓弧狀之空間中,並在從反應管203之內壁的下部起而涵蓋至上部的部分處,沿著晶圓200之積載方向而作設置。亦即是,緩衝室237,係在晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。在緩衝室237之與晶圓200相鄰接之壁的端部處,係被設置有供給氣體之氣體供給孔 250e。氣體供給孔250e,係以朝向反應管203之中心的方式而開口,並成為能夠朝向晶圓200而供給氣體。此氣體供給孔250e,係從反應管203之下部起涵蓋至上部地而被作複數設置,並分別具備有相同之開口面積,且進而以相同之開口節距而被作設置。
第4噴嘴249d,係在緩衝室237之與被設置有氣體供給孔250e的端部相反側之端部處,沿著反應管203之內壁而從下部起朝向上部,以朝向晶圓200之積載方向上方來立起的方式而作設置。亦即是,第4噴嘴249d,係在晶圓200所被作配列之晶圓配列區域的側方之將晶圓配列區域水平地作包圍之區域中,以沿著晶圓配列區域的方式而被作設置。第4噴嘴249d,係作為L字型之長噴嘴而被構成,其之水平部,係以貫通反應管203之下部側壁的方式而被作設置,其之垂直部,係以至少從晶圓配列區域之其中一端側起而朝向另外一端側來立起的方式而被作設置。在第4噴嘴249d之側面處,係被設置有供給氣體之氣體供給孔250d。氣體供給孔250d,係朝向緩衝室237之中心而開口。此氣體供給孔250d,係與緩衝室237之氣體供給孔250e相同的,而從反應管203之下部起涵蓋至上部地被作複數設置。此複數之氣體供給孔250d的各別之開口面積,當緩衝室237內和處理室201內之差壓為小的情況時,係可從上游側(下部)起直到下游側(上部)為止地,而分別設為相同之開口面積和相同之開口節距,但是,當差壓為大的情況時,若是從上游側 起朝向下游側地,而分別將開口面積增大或者是將開口節距縮小,則為理想。
在本實施形態中,藉由將第4噴嘴249d之氣體供給孔250d的各別之開口面積或開口節距從上游側起一直涵蓋至下游側地而如同上述一般地作調節,首先,從氣體供給孔250d之各個起,係噴出雖然存在有流速之差但是流量係為略同樣的氣體。之後,係構成為將從此氣體供給孔250d之各個處所噴處的氣體,暫時先導入至緩衝室237內,並在緩衝室237內而進行氣體之流速差的均一化。亦即是,藉由第4噴嘴249d之各氣體供給孔250d所噴出至緩衝室237內之氣體,係在緩衝室237內而使各氣體之粒子速度作了緩和,之後,再藉由緩衝室237之氣體供給孔250e而噴出至處理室201內。藉由此,藉由第4噴嘴249d之各氣體供給孔250d所噴出至緩衝室237內之氣體,在藉由緩衝室237之各氣體供給孔250e而噴出至處理室201內時,係成為具備有均一之流量和流速的氣體。
主要,係藉由第4氣體供給管232d、質量流控制器241d、閥243d,來構成第4氣體供給系。另外,亦可將第4噴嘴249d以及緩衝室237視為被包含在第4氣體供給系中。又,主要,係藉由第4惰性氣體供給管232h、質量流控制器241h、閥243h,來構成第4惰性氣體供給系。第4惰性氣體供給系,係亦作為洗淨氣體供給系而起作用。
如此這般,在本實施形態中之氣體供給方法,係經由被配置在藉由反應管203之內壁和被作了積載的複數枚之晶圓200的端部所定義出之圓弧狀的縱長之空間內的噴嘴249a、249b、249c、249d以及緩衝室237來搬送氣體,並從噴嘴249a、249b、249c、249d以及在緩衝室237處所分別開口之氣體供給孔250a、250b、250c、250d、250e來在晶圓200之近旁處而首先將氣體噴出至反應管203內,而將反應管203內之氣體的主要之流動設為與晶圓200之表面相平行的方向,亦即是設為水平方向。藉由設為此種構成,係能夠對於各晶圓200而均一地供給氣體,而有著能夠使被形成在各晶圓200處之薄膜的膜厚成為均一之效果。另外,反應後之剩餘氣體,係朝向排氣口、亦即是朝向後述之排氣管231的方向而流動,但是,此剩餘氣體之流動方向,係依存於排氣口之位置而被適宜特定,其係並非為被限定於垂直方向者。
從第1氣體供給管232a,係作為含特定元素氣體,而例如將矽烷系氣體等之矽原料氣體,亦即是將含有矽(Si)之氣體(含矽氣體),經由質量流控制器241a、閥243a、第1噴嘴249a來供給至處理室201內。 作為含矽氣體,例如係可使用六氯二矽烷(Si2 Cl6 ,略稱:HCDS)氣體。另外,當使用如同HCDS一般之在常溫常壓下為液體狀態之液體原料的情況時,係成為將液體原料藉由氣化器或者是起泡器等之氣化系統來作氣化,再作為原料氣體(HCDS氣體)來作供給。
從第2氣體供給管232b,係作為含碳氣體,亦即是含有碳(C)之氣體,經由質量流控制器241b、閥243b、第2噴嘴249b來供給至處理室201內。作為含碳氣體,例如係可使用丙烯(C3 H6 )氣體等之碳氫系氣體。
從第3氣體供給管232c,係作為氧化氣體,亦即是含有氧(O)之氣體(含氧氣體),經由質量流控制器241c、閥243c、第3噴嘴249c來供給至處理室201內。作為氧化氣體,例如,係可使用氧(O2 )氣。
從第4氣體供給管232d,係作為氮化氣體,亦即是含有氮(N)之氣體(含氮氣體),經由質量流控制器241d、閥243d、第4噴嘴249d緩衝室237,來供給至處理室201內。作為氮化氣體,例如,係可使用氨(NH3 )氣。
從惰性氣體供給管232e、232f、232g、232h,係將例如氮(N2 )氣體,分別經由質量流控制器241e、241f、241g、241h,閥243e、243f、243g、243h,氣體供給管232a、232b、232c、232d、噴嘴249a、249b、249c、249d以及緩衝室237,而供給至處理室201內。
另外,例如當從各氣體供給管而分別流動如同上述一般之氣體的情況時,係藉由第1氣體供給系來構成含有特定元素氣體供給系,亦即是含矽氣體供給系(矽烷系氣體供給系)。又,係藉由第2氣體供給系來構成含碳氣體供給系。又,係藉由第3氣體供給系來構成氧化氣 體供給系,亦即是含氧氣體供給系。又,係藉由第4氣體供給系來構成氮化氣體供給系,亦即是含氮氣體供給系。另外,係亦將含有特定元素氣體供給系稱作原料氣體供給系或者是單純稱作原料供給系。又,當將含碳氣體、氧化氣體以及氮化氣體總稱為反應氣體的情況時,係藉由含碳氣體供給系、氧化氣體供給系以及氮化氣體供給系而構成反應氣體供給系。
在緩衝室237內,係如圖2中所示一般,將具有細長構造之身為第1電極的第1棒狀電極269以及身為第2電極的第2棒狀電極270,從反應管203之下部起涵蓋至上部地而沿著晶圓200之層積方向作配設。第1棒狀電極269以及第2棒狀電極270之各個,係與第4噴嘴249d相平行地而被作設置。第1棒狀電極269以及第2棒狀電極270之各個,係從上部起而涵蓋至下部地,藉由身為對各電極作保護之保護管的電極保護管275而被作覆蓋,並藉此而被作保護。此第1棒狀電極269或第2棒狀電極270之其中一方,係經由整合器272而被與高頻電源273作連接,而另外一方係被與身為基準電位之接地作連接。藉由透過整合器272而從高頻電源273來對於第1棒狀電極269以及第2棒狀電極270之間施加高頻電力,在第1棒狀電極269以及第2棒狀電極270之間的電漿產生區域224處係產生電漿。主要係藉由第1棒狀電極269、第2棒狀電極270、電極保護管275,而構成作為電漿產生器(電漿產生部)之電漿源。另外,亦可將整合器 272、高頻電源273視為被包含在電漿源中。另外,電漿源,係如同後述一般地,作為藉由電漿來使氣體活性化(激勵)之活性化機構(激勵部)而起作用。
電極保護管275,係成為能夠將第1棒狀電極269以及第2棒狀電極270之各個在與緩衝室237內之氛圍作了隔離的狀態下而***至緩衝室237內之構造。於此,若是電極保護管275之內部的氧濃度為與外氣(大氣)之氧濃度同等程度,則分別被***至電極保護管275內之第1棒狀電極269以及第2棒狀電極270,係會由於加熱器207所導致之熱而被氧化。因此,係構成為:藉由預先在電極保護管275之內部填充氮氣等之惰性氣體,或者是使用惰性氣體洗淨機構來藉由氮氣等之惰性氣體而將電極保護管275之內部作洗淨,來將電極保護管275之內部的氧濃度降低,而能夠防止第1棒狀電極269或者是第2棒狀電極270之氧化。
在反應管203處,係被設置有將處理室201內之氛圍作排氣的排氣管231。在排氣管231處,係經由檢測出處理室201內之壓力的作為壓力檢測器(壓力檢測部)之壓力感測器245以及作為壓力調整器(壓力調整部)之APC(Auto Pressure Controller)閥244,而連接有作為真空排氣裝置之真空幫浦246。另外,APC閥244,係構成為:藉由在使真空幫浦246動作了的狀態下而將閥作開閉,而能夠進行處理室201內之真空排氣以及真空排氣停止,進而,藉由在使真空幫浦246動作了的狀 態下而對於閥開度作調節,而能夠對於處理室201內之壓力作調整。主要係藉由排氣管231、APC閥244、壓力感測器245,而構成排氣系。另外,亦可將真空幫浦246視為被包含在排氣系中。排氣系,係構成為能夠藉由一面使真空幫浦246動作一面基於藉由壓力感測器245所檢測出的壓力資訊來對於APC閥244之閥的開度作調節,來以使處理室201內之壓力成為特定之壓力(真空度)的方式而進行真空排氣。
在反應管203之下方,係被設置有能夠將反應管203之下端開口氣密地作閉塞之作為爐口蓋體的密封帽219。密封帽219,係構成圍從垂直方向下側起來對於反應管203之下端作抵接。密封帽219,例如係由不鏽鋼等之金屬所成,並被形成為圓盤狀。在密封帽219之上面,係被設置有與反應管203之下端作抵接的作為密封構件之O型環220。在密封帽219之與處理室201相反側處,係被設置有使作為後述之基板保持具的舟217作旋轉之旋轉機構267。旋轉機構267之旋轉軸255,係貫通密封帽219而被與舟217作連接。旋轉機構267,係構成為藉由使舟217作旋轉而使晶圓200旋轉。密封帽219,係構成為藉由被垂直地設置於反應管203之外部處的作為升降機構之舟升降器115來在垂直方向上作升降。舟升降器115,係構成為能夠藉由使密封帽219作升降,來將舟217對於處理室201內外而作搬入以及搬出。亦即是,舟升降器115,係作為將舟217、亦即是將晶圓200搬送至 處理室201內外之搬送裝置(搬送機構)。
作為基板支持具之舟217,例如係由石英或碳化矽等之耐熱性材料所成,並構成為能夠將複數枚之晶圓200以水平姿勢並且相互使中心作了對齊的狀態下來整列並作多段支持。另外,在舟217之下部,係被設置有例如由石英或碳化矽等之耐熱性材料所成的絕熱構件218,並構成為使從加熱器207而來之熱難以傳導至密封帽219側。另外,絕熱構件218,係亦可藉由由石英或碳化矽等之耐熱性材料所成之複數枚的絕熱板以及將此些絕熱板以水平姿勢來作多段支持之絕熱板支持器,而構成之。
在反應管203內,係被設置有作為溫度檢測器之溫度感測器263,並構成為藉由基於以溫度感測器263所檢測出之溫度資訊來調整對於加熱器207之通電程度,來使處理室201內之溫度成為所期望之溫度分布。溫度感測器263,係與噴嘴249a、249b、249c、249d同樣的而被構成為L字型,並沿著反應管203之內壁而作設置。
如圖3中所示一般,身為控制部(控制手段)之控制器121,係作為具備有CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶裝置121c、I/O埠121d的電腦,而構成之。RAM121b、記憶裝置121c、I/O埠121d,係構成為能夠經由內部匯流排121e而與CPU121a進行資料交換。在控制器121處,例如係被連接有作為觸控面板等而構成之輸入輸出裝置122。
記憶裝置121c,例如係藉由快閃記憶體、HDD(Hard Disk Drive)等而構成。在記憶裝置121c內,係可讀出地而被儲存有對於基板處理裝置之動作作控制的控制程式、和後述之記載有基板處理之程序和條件等的製程配方等。另外,製程配方,係為以能夠使控制器121實行在後述之基板處理工程中之各程序並且能夠得到特定之結果的方式而作了組合者,並作為程式而起作用。以下,亦會將此製程配方和控制程式等單純總稱為程式。另外,在本說明書中,當使用了「程式」此一用語時,係會有僅包含製程配方之單體的情況,或是僅包含控制程式之單體的情況,或者是包含有此雙方的情況。又,RAM121b,係作為將藉由CPU121a所讀出的程式或資料暫時性地作保持之記憶體區域(工作區域)而構成之。
I/O埠121d,係被與上述之質量流控制器241a、241b、241c、241d、241e、241f、241g、241h,閥243a、243b、243c、243d、243e、243f、243g、243h,壓力感測器245,APC閥244、真空幫浦246,加熱器247,溫度感測器263,高頻電源273,整合器272,旋轉機構267,舟升降器115等作連接。
CPU121a,係構成為從記憶裝置121c而讀出控制程式並實行,並且因應於從輸入輸出裝置122而來之操作指令的輸入等而從記憶裝置121c讀出製程配方。又,CPU121a,係構成為依循於所讀出之製程配方之內容,來對於由質量流控制器241a、241b、241c、241d、 241e、241f、241g、241h所致之各種氣體的流量調整動作,閥243a、243b、243c、243d、243e、243f、243g、243h之開閉動作,APC閥244之開閉動作以及根據壓力感測器245所進行之由APC閥244所致之壓力調整動作,真空幫浦246之起動以及停止,基於溫度感測器263所進行之加熱器207的溫度調整動作,由旋轉機構267所進行之舟217的旋轉以及旋轉速度調節動作,由舟升降器115所致之舟217的升降動作,高頻電源273之電力供給,由整合器272所進行之阻抗調整動作等作控制。
另外,控制器121,係並不被限定於作為專用之電腦而構成的情況,亦可作為汎用之電腦而構成之。例如,係可藉由準備儲存有上述之程式的外部記憶裝置(例如,磁帶、軟碟或硬碟等之磁碟、CD或DVD等之光碟、MO等之光磁碟、USB記憶體或記憶卡等之半導體記憶體)123,並使用該外部記憶裝置123來將程式安裝至汎用之電腦中等,來構成本實施形態之控制器121。另外,用以對於電腦供給程式之手段,係並不被限定於經由外部記憶裝置123來作供給的情況。例如,係亦可構成為使用網際網路或者是專用線路等之通訊手段來並不經由外部記憶裝置123地而供給程式。另外,記憶裝置121c或外部記憶裝置123,係作為電腦可讀取之記錄媒體而構成之。以下,亦將此些單純統稱為記錄媒體。另外,在本說明書中,當使用了「記錄媒體」此一用語時,係會有僅包含記憶裝置121c之單體的情況,或是僅包含外部記憶裝置 123之單體的情況,或者是包含有此雙方的情況。
(2)基板處理工程
接著,使用上述之基板處理裝置的處理爐,作為半導體裝置(元件)之製造工程的其中一個工程,針對在基板上成膜薄膜之程序例作說明。另外,在以下之說明中,構成基板處理裝置之各部分的動作,係藉由控制器121而被控制。
另外,在本實施形態中,所形成之膜的組成比,係以會成為化學量論組成或者是與化學量論組成相異之特定之組成比的方式,來對於包含有構成所形成之膜的複數之元素的複數種類之氣體的供給條件作控制。例如,係以使構成所形成之膜的複數之元素中之至少1個的元素會在化學計量組成上相對於其他之元素而成為過剩一事作為目的,來對於供給條件作控制。以下,針對一面對於構成所形成之膜的複數之元素的比例、亦即是對於膜的組成比作控制,一面進行成膜的程序例來作說明。
(第1程序)
首先,針對本發明之第1程序作說明。
圖4(a),係為對於本實施形態之第1程序中的氣體供給之時序作展示之圖。
在本實施形態之第1程序中,係藉由將包含有對於晶圓200而供給含特定元素之氣體的工程和對於晶 圓200而供給含碳氣體之工程和對於晶圓200而供給氧化氣體之工程以及對於晶圓200而供給氮氣之工程的循環,作特定次數之進行,來在晶圓200上形成包含有特定元素、氧、碳以及氮之薄膜。
另外,在形成薄膜之工程中,係構成為在進行供給含特定元素氣體的工程之前,先進行供給氮化氣體之工程,並在進行了此供給氮化氣體的工程之後,於直到進行供給含特定元素氣體的工程為止之期間中,並不進行供給含碳氣體之工程以及供給氧化氣體之工程。具體而言,係在進行了供給氮化氣體的工程之後,將包含有供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程以及供給氮化氣體的工程之循環,進行特定之次數。
更具體而言,係在進行了供給氮化氣體的工程之後,將包含有供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程以及供給氮化氣體的工程,依此順序而進行,並將此4個工程作為1個循環,而將此循環進行特定之次數,較理想為進行複數次。
以下,針對本實施形態之第1程序作具體性說明。於此,係針對下述一般的例子作說明:亦即是,作為含特定元素氣體而使用HCDS氣體,作為含碳氣體而使用C3 H6 氣體,作為氧化氣體而使用O2 氣體,作為氮化氣體而使用NH3 氣體,並在進行了圖4(a)之成膜程序,亦即是進行了供給NH3 氣體的工程後,將藉由依序供給 HCDS氣體之工程和供給C3 H6 氣體之工程和供給O2 氣體之工程以及供給NH3 氣體之工程所成的循環,進行特定之次數,藉由此成膜程序,而在晶圓200上形成包含矽、氧、碳以及氮之矽氧碳氮化膜(SiOCN膜)。
另外,當在本說明書中而使用所謂「晶圓」之用語的情況時,係有著代表「晶圓本身」的情況,和代表「晶圓與被形成於其之表面上的特定之層或膜等之間的層積體(集合體)」的情況(亦即是,亦包含有被形成在表面上之特定之層或膜等而統稱為晶圓的情況)。又,當在本說明書中而使用所謂「晶圓之表面」之用語的情況時,係有著代表「晶圓本身之表面(露出面)」的情況,和代表「被形成於晶圓上的特定之層或膜等之表面、亦即是作為層積體之晶圓的最表面」的情況。
又,當在本說明書中而記載為「對於晶圓而供給特定之氣體」的情況時,係有著代表「對於晶圓本身之表面(露出面)而直接供給特定之氣體」的情況,和代表「對於被形成於晶圓上的層或膜等、亦即是對於作為層積體之晶圓的最表面,而供給特定之氣體」的情況。又,當在本說明書中而記載為「在晶圓上形成特定之層(或者是膜)」的情況時,係有著代表「在晶圓本身之表面(露出面)上直接形成特定之層(或者是膜)」的情況,和代表「在被形成於晶圓上的層或膜等之上、亦即是在作為層積體之晶圓的最表面上,而形成特定之層(或者是膜)」的惰況。
另外,當在本說明書中而使用「基板」之用語的情況時,係與使用「晶圓」之用語的情況相同,於此情況,只要將上述說明中之「晶圓」代換為「基板」即可。
(晶圓填充器以及舟裝載器)
若是將複數枚之晶圓200裝填於舟217(晶圓填充)中,則如圖1中所示一般,將複數枚之晶圓200作了支持的舟217,係藉由舟升降器115而被作舉升並被搬入(舟裝載)至處理室201內。在此狀態下,密封帽219係成為隔著O型環220而將反應管203之下端作了密封的狀態。
(壓力調整及溫度調整)
以使處理室201內成為所期望之壓力(真空度)的方式,來藉由真空幫浦246而進行真空排氣。此時,係藉由壓力感測器245來測定處理室201內之壓力,並基於此測定出之壓力資訊而對於APC閥244進行反饋控制(壓力調整)。另外,真空幫浦246,係至少在直到對於晶圓200所進行之處理結束為止的期間中而恆常被維持於動作狀態。又,以使處理室201內成為所期望之溫度的方式,來藉由加熱器207而進行加熱。此時,係以使處理室201內成為所期望之溫度分布的方式,來基於溫度感測器263所檢測出之溫度資訊而對於加熱器207之通電程度進行反饋控制(溫度調整)。另外,由加熱器207所進行之處理 室201內的加熱,係至少在直到對於晶圓200所進行之處理結束為止的期間中而被持續進行。接著,開始由旋轉機構267所致之舟217以及晶圓200的旋轉(晶圓旋轉)另外,由旋轉機構267所進行之舟217以及晶圓200的旋轉,係至少在直到對於晶圓200所進行之處理結束為止的期間中而被持續進行。
[矽氧碳氮化膜形成工程]
接著,進行後述之表面改質步驟,之後,進行後述之4個步驟,亦即是依序實行步驟1~4。
[表面改質步驟]
(供給NH3 氣體)
開啟第4氣體供給管232d之閥243d,並在第4氣體供給管232d內流動NH3 氣體。在第4氣體供給管232d內流動之NH3 氣體,係藉由質量流控制器241d而被作流量調整。被作了流量調整之NH3 氣體,係從第4噴嘴249d之氣體供給孔250d而被供給至緩衝室237內。此時,係並不對於第1棒狀電極269以及第2棒狀電極270之間施加高頻電力。藉由此,被供給至緩衝室237內之NH3 氣體係藉由熱而被活性化,並從氣體供給孔250e而被供給至處理室201內,再從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的NH3 氣體。另外,此時係亦可對於第1棒狀電極269以及第2棒狀電極 270之間施加高頻電力,並藉由電漿來將被供給至緩衝室237內之NH3 氣體活性化並作供給。於此情況,從高頻電源273所施加至第1棒狀電極269以及第2棒狀電極270之間的高頻電力,例如係被設定為會成為50~1000W之範圍內的電力。其他之處理條件,係設為與藉由熱來使NH3 氣體活性化並作供給的情況時之處理條件(於後再述)相同。
此時,同時性地開啟閥243h,並在第4惰性氣體供給管232h內流動N2 氣體。在第4惰性氣體供給管232h內流動之N2 氣體,係與NH3 氣體一同地經由緩衝室237而被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止NH3 氣體侵入至第1噴嘴249a、第2噴嘴249b、第3噴嘴249c中,係開啟閥243e、243f、243g,並在第1惰性氣體供給管232e、第2惰性氣體供給管232f、第3惰性氣體供給管232g內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c、第1噴嘴249a、第2噴嘴249b、第3噴嘴249c,而被供給至處理室201內,並從排氣管231而被排氣。
當藉由熱而使NH3 氣體活性化並流動時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241d所控制之NH3 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由質量流控制器241h、 241e、241f、241g所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之NH3 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給NH3 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~600秒之範圍內的時間。另外,在表面改質步驟中之NH3 氣體的氣體供給時間,較理想,係設為較在後述之步驟4中的NH3 氣體之氣體供給時間而更長。藉由此,係能夠對於成膜前之晶圓200的最表面而充分地進行表面改質處理(於後再述)。又,此時之加熱器207的溫度,係設定為會使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。NH3 氣體,由於反應溫度係為高,而在上述一般之晶圓溫度下係難以產生反應,因此,係藉由將處理室201內之壓力設為上述一般之較高的壓力,來使熱性地進行活性化一事成為可能。另外,係以藉由熱來將NH3 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之表面改質。
藉由對於晶圓200之最表面(形成SiOCN膜時之基底面)供給被作了活性化之NH3 氣體,晶圓200之最表面係被改質(表面改質處理)。此時,例如,藉由晶圓200之最表面與被作了活性化之NH3 氣體起反應並被氮化,在晶圓200之最表面上,係會有被形成具有Si-N鍵結之層、亦即是被形成包含矽(Si)以及氮(N)之氮化 層(矽氮化層)的情況。又,例如,藉由使NH3 氣體吸附在晶圓200之最表面上,亦會有在晶圓200之最表面處被形成有NH3 氣體之吸附層的情況。又,也會有同時產生此些之反應並在晶圓200之最表面上形成氮化層和NH3 氣體之吸附層之雙方的情況。
氮化層,係除了包含Si以及N之連續性之層以外,亦包含有非連續性之層。亦即是,氮化層,係包含有包含Si-N鍵結之從未滿1原子層起直到數原子層程度的厚度之層。又,NH3 氣體之吸附層,係除了NH3 氣體之氣體分子的連續性之化學吸附層以外,亦包含非連續之化學吸附層。亦即是,NH3 氣體之吸附層,係包含有藉由NH3 氣體分子所構成之1分子層或者是未滿1分子層之厚度的化學吸附層。另外,構成NH3 氣體之吸附層的NH3 氣體分子,係亦包含有N和H間之鍵結被部分性地作了切離者(Nx Hy )。亦即是,NH3 氣體之吸附層,係包含有NH3 氣體分子以及/或者是Nx Hy 分子的連續性之化學吸附層或者是非連續性之化學吸附層。另外,所謂未滿1原子層之厚度之層,係指被非連續性地形成之原子層,所謂1原子層之厚度之層,係指被連續性地形成之原子層。又,所謂未滿1分子層之厚度之層,係指被非連續性地形成之分子層,所謂1分子層之厚度之層,係指被連續性地形成之分子層。
表面改質處理後之晶圓200的最表面,係成為易於吸附在後述之步驟1中所被供給之HCDS氣體並容 易堆積Si之表面狀態。亦即是,在表面改質步驟中所使用之NH3 氣體,係成為作為促進HCDS氣體或Si之對於晶圓200的最表面之吸附或堆積的吸附以及堆積促進氣體而起作用。
(除去殘留氣體)
之後,將第4氣體供給管232d之閥243d關閉,而停止NH3 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於晶圓200之表面改質有所幫助後的NH3 氣體或反應副生成物排除。另外,此時,閥243h、243e、243f、243g係維持於開啟,而維持N2 氣體之對於處理室201內的供給。藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於表面改質有所幫助後的NH3 氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟1中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟1中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室 201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氮化氣體,除了氨(NH3 )氣以外,亦可使用二氮烯(N2 H2 )氣體、聯氨(N2 H4 )氣體、N3 H8 氣體等。作為惰性氣體,除了N2 氣體以外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等之稀有氣體。
[步驟1]
(供給HCDS氣體)
在表面改質步驟結束而除去了處理室201內之殘留氣體之後,係將第1氣體供給管232a之閥243a開啟,並在第1氣體供給管232a內流動HCDS氣體。在第1氣體供給管232a內流動之HCDS氣體,係藉由質量流控制器241a而被作流量調整。被作了流量調整之HCDS氣體,係從第1噴嘴249a之氣體供給孔250a而被供給至處理室201內,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給HCDS氣體。
此時,同時性地開啟閥243e,並在第1惰性氣體供給管232e內流動N2 氣體等之惰性氣體。在第1惰性氣體供給管232e內流動之N2 氣體,係藉由質量流控制器241e而被作流量調整。被作了流量調整之N2 氣體,係與HCDS氣體一同地而被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止HCDS氣體侵入 至第2噴嘴249b、第3噴嘴249c、第4噴嘴249d、緩衝室237內,係開啟閥243f、243g、243h,並在第2惰性氣體供給管232f、第3惰性氣體供給管232g、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d、緩衝室237,而被供給至處理室201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~13300Pa、較理想為20~1330Pa之範圍內的壓力。藉由質量流控制器241a所控制之HCDS氣體的供給流量,例如係設為1~1000sccm之範圍內的流量。藉由質量流控制器241e、241f、241g、241h所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。對於晶圓200供給HCDS氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係設定為會在處理室201內而產生CVD反應之程度的溫度,亦即是設定為使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。另外,若是晶圓200之溫度成為未滿250℃,則係成為難以在晶圓200上吸附HCDS,而會有變得無法得到實用性之成膜速度的情形。藉由將晶圓200之溫度設為250℃以上,係能 夠解決此問題。另外,藉由將晶圓200之溫度設為300℃以上,係成為能夠在晶圓200上更充分地吸附HCDS,而成為能夠得到更加充分之成膜速度。又,若是晶圓200之溫度超過700℃,則CVD反應係變強(氣相反應係成為具支配性),起因於此,膜厚均一性係變得容易惡化,其控制係變得困難。藉由將晶圓200之溫度設為700℃以下,係能夠抑制膜厚均一性之惡化,並使其之控制成為可能。特別是,藉由將晶圓200之溫度設為650℃以下,表面反應係成為具支配性,而變得易於確保膜厚均一性,其之控制係變得容易。故而,較理想,晶圓200之溫度係設為250~700℃,更理想係設為300~650℃之範圍內的溫度。
藉由HCDS氣體之供給,於在表面改質步驟中而藉由NH3 氣體來作了改質的晶圓200之最表面上,係作為第1層而被形成有例如從未滿1原子層起乃至數原子層程度之厚度的含矽層。含矽層,係可為HCDS氣體之吸附層,亦可為矽層(Si層),亦可為包含有雙方。但是,含矽層,較理想係為含有矽(Si)以及氯(Cl)之層。
於此,所謂矽層,係為除了藉由矽(Si)所構成之連續性之層以外,亦包含有非連續性之層或者是能夠將此些作重疊之矽薄膜者的總稱。另外,亦有將藉由Si所構成之連續性之層稱作矽薄膜的情況。另外,構成矽層之Si,係亦包含有其與Cl間之鍵結並未完全被切斷者。
又,HCDS氣體之吸附層,係除了HCDS氣體 之氣體分子的連續性之化學吸附層以外,亦包含非連續之化學吸附層。亦即是,HCDS氣體之吸附層,係包含有藉由HCDS分子所構成之1分子層或者是未滿1分子層之厚度的化學吸附層。另外,構成HCDS氣體之吸附層的HCDS(Si2 Cl6 )分子,係亦包含有Si和Cl間之鍵結被部分性地作了切離者(Six Cly )。亦即是,HCDS氣體之吸附層,係包含有Si2 Cl6 分子以及/或者是Six Cly 分子的連續性之化學吸附層或者是非連續性之化學吸附層。另外,所謂未滿1原子層之厚度之層,係指被非連續性地形成之原子層,所謂1原子層之厚度之層,係指被連續性地形成之原子層。又,所謂未滿1分子層之厚度之層,係指被非連續性地形成之分子層,所謂1分子層之厚度之層,係指被連續性地形成之分子層。
在HCDS氣體會進行自我分解(熱分解)的條件下,亦即是在會產生HCDS氣體之熱分解反應的條件下,係會藉由在晶圓200上堆積Si而形成矽層。在HCDS氣體並不會進行自我分解(熱分解)的條件下,亦即是在不會產生HCDS氣體之熱分解反應的條件下,係會藉由在晶圓200上吸附有HCDS氣體而形成HCDS氣體之吸附層。另外,相較於在晶圓200上形成HCDS氣體之吸附層,係以在晶圓200上形成矽層的情況時,能夠更將成膜速率提高,而為理想。
若是被形成在晶圓200上之含矽層的厚度超過數個原子層,則在後述之步驟3、4中的改質之作用係 會變得無法到達含矽層之全體處。又,能夠形成在晶圓200上之含矽層的厚度之最小值,係為未滿1原子層。故而,含矽層厚度,較理想係以設為未滿1原子層~數原子層程度為理想。另外,藉由將含矽層之厚度設為1原子層以下,亦即是設為1原子層或者是未滿1原子層,係能夠將後述之步驟3、4中的改質反應之作用相對性地提高,而能夠將在步驟3、4之改質反應中所需要的時間縮短。並且,係亦能夠將在步驟1之含矽層之形成中所需要的時間縮短。其結果,係能夠將每一循環所需要的處理時間縮短,且亦成為能夠將總處理時間縮短。亦即是,係亦成為能夠將成膜速率提高。又,藉由將含矽層之厚度設為1原子層以下,係亦成為能夠將膜厚均一性之控制性提高。
(除去殘留氣體)
在形成了含矽層之後,將第1氣體供給管232a之閥243a關閉,而停止HCDS氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於含矽層之形成有所幫助後的HCDS氣體或反應副生成物排除。另外,此時,閥243e、243f、243g、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於含矽層之形成有所幫助 後的HCDS氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟2中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟2中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為含矽氣體,除了六氯二矽烷(Si2 Cl6 ,略稱:HCDS)氣體以外,亦可使用四氯矽烷、亦即是矽四氯化物(SiCl4 ,略稱:STC)氣體、三氯矽烷(SiHCl3 ,略稱:TCS)氣體、二氯矽烷(SiH2 Cl2 ,略稱:DCS)氣體、單氯矽烷(SiH3 Cl,略稱:MCS)氣體、單矽烷(SiH4 )氣體等之無機原料、乃至於使用胺基矽烷系之肆二甲基胺基矽烷(Si[N(CH3 )2 ]4 ,略稱:4DMAS)氣體、參二甲基胺基矽烷(Si[N(CH3 )2 ]3 H,略稱:3DMAS)氣體、雙二乙基胺基矽烷(Si[N(C2 H5 )2 ]2 H2 ,略稱:2DEAS)氣體、雙第3丁基胺基矽烷(SiH2 [NH(C4 H9 )]2 ,略稱:BTBAS)氣體等的有機原料亦可。作為惰性氣體, 除了N2 氣體以外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等之稀有氣體。
[步驟2]
(供給C3 H6 氣體)
在步驟1結束而除去了處理室201內之殘留氣體之後,係將第2氣體供給管232b之閥243b開啟,並在第2氣體供給管232b內流動C3 H6 氣體。在第2氣體供給管232b內流動之C3 H6 氣體,係藉由質量流控制器241b而被作流量調整。被作了流量調整之C3 H6 氣體,係從第2噴嘴249b之氣體供給孔250b而被供給至處理室201內。被供給至處理室201內之C3 H6 氣體,係藉由熱而被活性化,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的C3 H6 氣體。
此時,同時性地開啟閥243f,並在第2惰性氣體供給管232f內流動N2 氣體。在第2惰性氣體供給管232f內流動之N2 氣體,係與C3 H6 氣體一同地被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止C3 H6 氣體侵入至第1噴嘴249a、第3噴嘴249c、第4噴嘴249d、緩衝室237內,係開啟閥243e、243g、243h,並在第1惰性氣體供給管232e、第3惰性氣體供給管232g、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第3氣體供給管232c、第4氣體供給管232d、第1噴嘴249a、第3噴嘴 249c、第4噴嘴249d、緩衝室237,而被供給至處理室201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241b所控制之C3 H6 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由質量流控制器241f、241e、241g、241h所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之C3 H6 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給C3 H6 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係與步驟1相同的,設定為會使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。另外,係以藉由熱來將C3 H6 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,後述之含碳層的形成係變得容易。
此時,在處理室201內所流動之氣體,係為被熱性地活性化之C3 H6 氣體,在處理室201內係並未流動HCDS氣體。故而,C3 H6 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,此時,在於步驟1中所形成在晶圓200上之作為第1層的含矽層上,係被形成有未滿1原子層之厚度的含碳層,亦即是非 連續性之含碳層。藉由此,係形成包含矽及碳之第2層,亦即是係形成在在含矽層上被形成有含碳層之層。另外,依存於條件,亦會有含矽層之一部分與C3 H6 氣體產生反應並使含矽層被改質(碳化)而形成含矽以及碳之第2層的情況。
在含矽層上所形成之含碳層,係可為碳層(C層),亦可為含碳氣體(C3 H6 氣體)之化學吸附層、亦即是C3 H6 作了分解後之物質(Cx Hy )的化學吸附層。於此,碳層係有必要設為藉由碳所構成之非連續性之層。又,Cx Hy 之化學吸附層係有必要設為Cx Hy 分子之非連續性之化學吸附層。另外,當將形成於含矽層上之含碳層設為連續性之層的情況時,例如使Cx Hy 之對於含矽層上的吸附狀態成為飽和狀態,而在含矽層上形成Cx Hy 之連續性之化學吸附層的情況時,含矽層之表面係成為全體性地藉由Cx Hy 之化學吸附層而被作覆蓋。於此情況,在第2層之表面上係成為不會存在有矽,其結果,會有使在後述之步驟3中的第2層之氧化反應或者是在後述之步驟4中的第3層之氮化反應變得困難之情形。此係因為,在上述一般之處理條件下,氮或氧係會與矽結合,但是係難以與碳結合之故。為了在後述之步驟3或步驟4中而產生所期望之氧化反應或氮化反應,係有必要設為使Cx Hy 之對於含矽層上的吸附狀態成為不飽和狀態並在第2層之表面上而使矽露出之狀態。
為了將Cx Hy 之對於含矽層上的吸附狀態設為 不飽和狀態,係只要將在步驟2中之處理條件設為上述之處理條件即可但是,藉由進而將步驟2中之處理條件設為下述之處理條件,係成為易於將Cx Hy 之對於含矽層上的吸附狀態設為不飽和狀態。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
C3 H6 氣體分壓:33~5177Pa
C3 H6 氣體供給流量:1000~10000sccm
N2 氣體供給流量:300~3000sccm
C3 H6 氣體供給時間:6~200秒
(除去殘留氣體)
在形成了第2層之後,將第2氣體供給管232b之閥243b關閉,而停止C3 H6 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第2層之形成有所幫助後的C3 H6 氣體或反應副生成物排除。另外,此時,閥243f、243e、243g、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於第2層之形成有所幫助後的C3 H6 氣體或反應副生成物從處理室201內而排除的 效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟3中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟3中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氮化氣體,除了丙烯(C3 H6 )氣體以外,亦可使用乙炔(C2 H2 )氣體或乙烯(C2 H4 )氣體等之烴系氣體。
[步驟3]
(供給O2 氣體)
在步驟2結束而除去了處理室201內之殘留氣體之後,係將第3氣體供給管232c之閥243c開啟,並在第3氣體供給管232c內流動O2 氣體。在第3氣體供給管232c內流動之O2 氣體,係藉由質量流控制器241c而被作流量調整。被作了流量調整之O2 氣體,係從第3噴嘴249c之氣體供給孔250c而被供給至處理室201內。被供給至處 理室201內之O2 氣體,係藉由熱而被活性化,並從排氣管231而被排氣。此時,係成為對於晶圓200而供給藉由熱來作了活性化的O2 氣體。
此時,同時性地開啟閥243g,並在第3惰性氣體供給管232g內流動N2 氣體。在第3惰性氣體供給管232g內流動之N2 氣體,係與O2 氣體一同地被供給至處理室201內,並從排氣管231而被排氣。另外,此時,為了防止O2 氣體侵入至第1噴嘴249a、第2噴嘴249b、第4噴嘴249d、緩衝室237內,係開啟閥243e、243f、243h,並在第1惰性氣體供給管232e、第2惰性氣體供給管232f、第4惰性氣體供給管232h內流動N2 氣體。N2 氣體,係經由第1氣體供給管232a、第2氣體供給管232b、第4氣體供給管232d、第1噴嘴249a、第2噴嘴249b、第4噴嘴249d、緩衝室237,而被供給至處理室201內,並從排氣管231而被排氣。
此時,係對於APC閥244作適當的調整,並將處理室201內之壓力設為例如1~6000Pa之範圍內的壓力。藉由質量流控制器241c所控制之O2 氣體的供給流量,例如係設為100~10000sccm之範圍內的流量。藉由質量流控制器241g、241e、241f、241h所控制之N2 氣體的供給流量,例如係分別設為100~10000sccm之範圍內的流量。此時,處理室201內之O2 氣體的分壓,例如係設為0.01~5941Pa之範圍內的壓力。對於晶圓200供給O2 氣體之時間、亦即是氣體供給時間(照射時間),例 如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。此時之加熱器207的溫度,係與步驟1、2相同的,設定為會使晶圓200之溫度成為例如250~700℃、較理想為300~650℃之範圍內的溫度一般之溫度。O2 氣體,係會在上述一般之條件下而被熱性地活性化。另外,係以藉由熱來將O2 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之氧化。
此時,在處理室201內所流動之氣體,係為被熱性地活性化之O2 氣體,在處理室201內係並未流動HCDS氣體和C3 H6 氣體。故而,O2 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,並與在步驟2中所形成在晶圓200上之包含矽以及碳之第2層(在含矽層上形成有含碳層之層)之至少一部份產生反應。藉由此,第2層係以無電漿而熱性地被氧化,並被改變成含有矽、氧以及碳之第3層,亦即是被改變(改質)成矽氧碳化層(SiOC層)。
此時,第2層之氧化反應係設為並不會使其飽和。例如,當在步驟1中而形成數原子層之厚度的含矽層,並在步驟2中而形成未滿1原子層之厚度的含碳層的情況時,係使其之表面層(表面之1原子層)的至少一部份氧化。於此情況,係以不會使第2層之全體被氧化的方式,來在會使第2層之氧化反應成為不飽和的條件下而進行氧化。另外,依存於條件,亦能夠將第2層之從表面層 起的下方之數層氧化,但是,係以僅使其之表面層氧化的情況時為更能夠使SiOCN膜之組成比的控制性提升,而為理想。又,例如,當在步驟1中而形成1原子層或者是未滿1原子層之厚度的含矽層,並在步驟2中而形成未滿1原子層之厚度的含碳層的情況時,亦係同樣地係使其之表面層的一部份氧化。於此情況,係以不會使第2層之全體被氧化的方式,來在會使第2層之氧化反應成為不飽和的條件下而進行氧化。
另外,為了將第2層之氧化反應設為不飽和,係只要將在步驟3中之處理條件設為上述之處理條件即可,但是,藉由進而將步驟3中之處理條件設為下述之處理條件,係成為易於將第2層之氧化反應設為不飽和。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
O2 氣體分壓:12~5030Pa
O2 氣體供給流量:1000~5000sccm
N2 氣體供給流量:300~10000sccm
O2 氣體供給時間:6~200秒
另外,此時,特別是藉由以將O2 氣體之稀釋率提高(將濃度降低)或是將O2 氣體之供給時間縮短或者是將O2 氣體之分壓降低的方式,來對於上述之處理條件作調整的情況時,係能夠將在步驟3中之氧化力適度地降低,而成為更容易將第2層之氧化反應設為不飽和,而 為理想。圖4(a)之成膜程序,係對於藉由將在步驟3中所供給之N2 氣體的供給流量設為較在其他之步驟中所供給的N2 氣體之供給流量更大,而將O2 氣體之分壓降低並使氧化力作了降低的模樣作例示。
藉由將在步驟3中之氧化力降低,在氧化之過程中,係成為易於對碳(C)之從第2層中脫離的情況作抑制。相較於Si-C鍵結,由於係以Si-O鍵結之鍵結能量為更大,因此,若是形成Si-O鍵結,則Si-C鍵結係會有被切斷的傾向,但是,藉由將在步驟3中之氧化力適度地降低,當在第2層中形成Si-O鍵結時,係能夠抑制Si-C鍵結被切斷的情況,而成為容易對於與Si間之鍵結被作了切斷的C之從第2層脫離的情形作抑制。
又,藉由將在步驟3中之氧化力降低,係能夠維持在氧化處理後之第2層(亦即是第3層)之最表面處而露出有Si的狀態。藉由維持在第3層之最表面處而露出有Si的狀態,在後述之步驟4中,將第3層之最表面作氮化一事係成為容易。假設若是成為在第3層之最表面的全體而被形成有Si-O鍵結或者是Si-C鍵結而在其之最表面上並未露出有Si之狀態,則在後述之步驟4的條件下,係會有難以形成Si-N鍵結的傾向。然而,藉由維持在第3層之最表面處而露出有Si的狀態,亦即是藉由在第3層之最表面處而使能夠在後述之步驟4中與N作結合之Si存在,形成Si-N鍵結一事係成為容易。
(除去殘留氣體)
在形成了第3層之後,將第3氣體供給管232c之閥243c關閉,而停止O2 氣體之供給。此時,排氣管231之APC閥244係維持開啟之狀態,並藉由真空幫浦246來將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第3層之形成有所幫助後的O2 氣體或反應副生成物排除。另外,此時,閥243g、243e、243f、243h係維持於開啟,而維持作為惰性氣體之N2 氣體之對於處理室201內的供給。N2 氣體係作為洗淨氣體而起作用,藉由此,係能夠提高將殘留於處理室201內之未反應或者是對於第3層之形成有所幫助後的O2 氣體或反應副生成物從處理室201內而排除的效果。
另外,此時,亦可並不將殘留於處理室201內之氣體完全地排除,而亦可並不將處理室201內完全地洗淨。若是殘留於處理室201內之氣體係為微量,則在之後所進行之步驟4中係不會有產生不良影響的情形。此時,供給至處理室201內之N2 氣體的流量亦並不需要設為大流量,例如,藉由供給與反應管203(處理室201)之容積同等程度之量,係能夠進行在步驟4中而不會產生不良影響的程度之洗淨。如此這般,藉由並不將處理室201完全作洗淨,係能夠縮短洗淨時間並將產率提升。又,亦成為能夠將N2 氣體之消耗抑制在必要之最小限度。
作為氧化氣體,除了氧(O2 )氣以外,亦可 使用水蒸氣(H2 O)氣體、一氧化氮(NO)氣體、一氧化二氮(N2 O)氣體、二氧化氮(NO2 )氣體、一氧化碳(CO)氣體、二氧化碳(CO2 )氣體、臭氧(O3 )氣體、氫(H2 )氣+O2 氣體、H2 氣體+O3 氣體等。
[步驟4]
(供給NH3 氣體)
在結束步驟3並將處理室201內之殘留氣體除去之後,係對於晶圓200而供給藉由熱而作了活性化的NH3 氣體。此時之處理條件以及處理程序,係與在上述之表面改質步驟中的NH3 氣體供給時之處理條件以及處理程序略相同。但是,對於晶圓200供給NH3 氣體之時間、亦即是氣體供給時間(照射時間),例如係設為1~200秒、較理想為1~120秒、更理想為1~60秒之範圍內的時間。另外,在步驟4中,亦同樣的,NH3 氣體係藉由熱來活性化並作供給。另外,係以藉由熱來將NH3 氣體活性化並作供給的情況,而能夠產生更為和緩之反應,並能夠和緩地進行後述之氮化。但是,與上述之表面改質步驟相同的,NH3 氣體係亦可藉由電漿來活性化並作供給。
此時,在處理室201內所流動之氣體,係為被熱性地活性化之NH3 氣體,在處理室201內係並未流動HCDS氣體和C3 H6 氣體以及O2 氣體。故而,NH3 氣體係並不會產生氣相反應地而以活性化了的狀態來對於晶圓200作供給,並與在步驟3中所形成在晶圓200上之作為 第3層之包含矽、氧以及碳的層之至少一部份產生反應。藉由此,第3層係以無電漿而熱性地被氮化,並被改變成含有矽、氧、碳以及氮之第4層,亦即是被改變(改質)成矽氧碳氮化層(SiOCN層)。
又,藉由對於晶圓200供給被作了活性化之NH3 氣體,第3層之最表面係被改質(表面改質處理)。此時,例如,藉由第3層之最表面與被作了活性化之NH3 氣體起反應並被氮化,在第3層之最表面上、亦即是第4層之最表面上,係會有被形成具有Si-N鍵結之層、亦即是被形成包含矽(Si)以及氮(N)之氮化層(矽氮化層)的情況。又,例如,藉由使NH3 氣體吸附在第3層之最表面上,亦會有在第3層之最表面、亦即是第4層之最表面處被形成有NH3 氣體之吸附層的情況。又,也會有同時產生此些之反應並在第3層之最表面、亦即是第4層之最表面上形成氮化層和NH3 氣體之吸附層之雙方的情況。
表面改質處理後之第3層的最表面、亦即是第4層的最表面,係成為易於吸附在接下來的步驟1中所被供給之HCDS氣體並容易堆積Si之表面狀態。亦即是,在步驟4中所使用之NH3 氣體,係成為作為促進在下一循環中之HCDS氣體或Si之對於晶圓200的最表面(第4層之最表面)之吸附或堆積的吸附以及堆積促進氣體而起作用。
另外,此時,第3層之氮化反應係設為並不會使其飽和。例如,當在步驟1~3中而形成數原子層之 厚度之第3層的情況時,係使其之表面層(表面之1原子層)的至少一部份氮化。於此情況,係以不會使第3層之全體被氮化的方式,來在會使第3層之氮化反應成為不飽和的條件下而進行氮化。另外,依存於條件,亦能夠將第3層之從表面層起的下方之數層氮化,但是,係以僅使其之表面層氮化的情況時為更能夠使SiOCN膜之組成比的控制性提升,而為理想。又,例如當在步驟1~3中而形成1原子層或者是未滿1原子層之厚度之第3層的情況時,係同樣的使其之表面層的一部份氮化。於此情況,係以不會使第3層之全體被氮化的方式,來在會使第3層之氮化反應成為不飽和的條件下而進行氮化。
另外,為了將第3層之氮化反應設為不飽和,係只要將在步驟4中之處理條件設為上述之處理條件即可,但是,藉由進而將步驟4中之處理條件設為下述之處理條件,係成為易於將第3層之氮化反應設為不飽和。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
NH3 氣體分壓:33~5030Pa
NH3 氣體供給流量:1000~5000sccm
N2 氣體供給流量:300~3000sccm
NH3 氣體供給時間:6~200秒
(除去殘留氣體)
在形成了第4層之後,將處理室201內作真空排氣,以從處理室201內而將殘留於處理室201內之未反應或者是對於第4層之形成有所幫助後的NH3 氣體或反應副生成物排除。此時之處理條件以及處理程序,係與在上述之表面改質步驟中的殘留氣體除去時之處理條件以及處理程序相同。
作為氮化氣體,係與表面改質步驟相同地,除了氨(NH3 )氣以外,亦可使用二氮烯(N2 H2 )氣體、聯氨(N2 H4 )氣體、N3 H8 氣體等。
將上述之步驟1~4作為1個循環,藉由將此循環進行一次以上,係能夠在晶圓200上形成特定膜厚之包含矽、氧、碳以及氮之薄膜,亦即是係能夠成膜矽氧碳氮化膜(SiOCN膜)。另外,上述之循環,係以反覆進行複數次為理想。此時,藉由對於在各步驟中之處理室201內的壓力與氣體供給時間等之處理條件作控制,係能夠對於在SiOCN層中之各元素成分、亦即是矽成分、氧成分、碳成分、氮成分之比例、也就是矽濃度、氧濃度、碳濃度、氮濃度作調整,而能夠對於SiOCN膜之組成比作控制。另外,在將循環進行複數次的情況時,至少在第2循環以後之各步驟中,記載為「對於晶圓200而供給特定之氣體」的部分,係代表「對於被形成在晶圓200上之層、亦即是對於作為層積體之晶圓200的最表面,而供給特定之氣體」,記載為「在晶圓200上形成特定之層」的部分,係代表「在被形成於晶圓200上之層上、亦即是作 為層積體之晶圓200之最表面之上,而形成特定之層」。關於此事,係如同上述一般。另外,此事,針對後述之其他的成膜程序和各變形例,亦為相同。
(洗淨以及回復大氣壓)
若是進行了形成具有特定組成之特定膜厚之SiOCN膜的成膜處理,則係藉由對於處理室201內而供給N2 等之惰性氣體並作排氣,而藉由惰性氣體來將處理室201內洗淨(氣體洗淨)。之後,處理室201內之氛圍係被置換為惰性氣體(惰性氣體置換),處理室201內之壓力係恢復為常壓(恢復大氣壓)。
(舟卸載以及晶圓卸載)
之後,藉由舟升降器115來使密封帽219下降,而使反應管203之下端開口,並且,將完成處理之晶圓200以被支持於舟217處的狀態下,來從反應管203之下端起而搬出至反應管203之外部(舟卸載)。之後,完成處理之晶圓200係被從舟217而取出(晶圓卸載)。
(第2程序)
接下來,針對本實施形態之第2程序作說明。
圖5(a),係為對於本實施形態之第2程序中的氣體供給之時序作展示之圖。
在本實施形態之第2程序中,係在將包含有 供給氮化氣體的工程和供給含特定元素氣體的工程和供給含碳氣體的工程以及供給氧化氣體的工程之循環,進行了特定之次數之後,進行供給氮化氣體的工程,在此點上,係與上述之第1程序相異。
更具體而言,係將包含有供給氮化氣體之工程和供給含特定元素氣體的工程和供給含碳氣體的工程和供給氧化氣體的工程,依此順序而進行,並將此4個工程作為1個循環,而將此循環進行特定之次數,較理想為進行複數次,之後,進行供給氮化氣體之工程,在此點上,係與上述之第1程序相異。
另外,係構成為在進行供給含特定元素氣體的工程之前,先進行供給氮化氣體之工程,並在進行了此供給氮化氣體的工程之後,於直到進行供給含特定元素氣體的工程為止之期間中,並不進行供給含碳氣體之工程以及供給氧化氣體之工程,在此點上,係與上述第1程序相同。
以下,針對本實施形態之第2程序作具體性說明。於此,係針對下述一般的例子作說明:亦即是,作為含特定元素氣體而使用HCDS氣體,作為含碳氣體而使用C3 H6 氣體,作為氧化氣體而使用O2 氣體,作為氮化氣體而使用NH3 氣體,並在進行了圖5(a)之成膜程序,亦即是將依序進行供給NH3 氣體的工程、供給HCDS氣體之工程、供給C3 H6 氣體之工程和供給O2 氣體之工程的循環作了特定次數的進行之後再進行供給NH3 氣體之工程, 藉由此成膜程序,而在晶圓200上形成包含矽、氧、碳以及氮之矽氧碳氮化膜(SiOCN膜)。
(晶圓填充~晶圓旋轉)
晶圓填充、舟裝載、壓力調整、溫度調整、晶圓旋轉,係與第1程序相同地來進行。
[矽氧碳氮化膜形成工程]
接著,將後述之4個步驟、亦即是步驟1~4,作為1個循環,並將此循環進行1次以上,之後,進行後述之氮化步驟。
[步驟1]
步驟1,係與第1程序之表面改質步驟或步驟4同樣地來進行。步驟1中之處理條件,係與第1程序中之表面改質步驟或步驟4中之處理條件相同。
另外,在初次(第1次)之循環中而於步驟1中所產生之反應、所形成之層等,係與在第1程序中之表面改質步驟中者相同。亦即是,藉由對於晶圓200之最表面(形成SiOCN膜時之基底面)供給被作了活性化之NH3 氣體,而使晶圓200之最表面改變為容易吸附HCDS氣體並且容易堆積Si的表面狀態(改質)。亦即是,在晶圓200之最表面處,係被形成有包含Si以及N之氮化層、或是NH3 氣體之吸附層、或者是此些之雙方。
又,在進行複數次之循環的情況時,於第2次以後之循環中,在步驟1中所產生之反應、所形成之層等,係與在第1程序中之步驟4中者相同。亦即是,在此步驟中,係藉由對於處理室201內之NH3 氣體的供給,來將在後述之步驟4中所形成的第3層之至少一部份氮化,並藉由此而在晶圓200上形成包含矽、氧、碳以及氮之第4層。進而,在此步驟中,係藉由對於第3層之表面供給被作了活性化之NH3 氣體,而使第3層被氮化所成的第4層之最表面,改變為容易吸附HCDS氣體並且容易堆積Si的表面狀態(改質)。亦即是,在第4層之最表面處,係被形成有包含Si以及N之氮化層、或是NH3 氣體之吸附層、或者是此些之雙方。
[步驟2]
步驟2,係與第1程序之步驟1同樣地來進行。於步驟2中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟1中者相同。亦即是,在此步驟中,係藉由對於處理室201內之HCDS氣體之供給,來在藉由NH3 氣體之供給而被作了改質的晶圓200上,作為第1層而形成例如從未滿1原子層起乃至數原子層程度之厚度的含矽層。
[步驟3]
步驟3,係與第1程序之步驟2同樣地來進行。於步 驟3中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟2中者相同。亦即是,在此步驟中,係藉由對於處理室201內之C3 H6 氣體的供給,來在藉由步驟2所形成的作為第1層之含矽層之上形成含碳層,並藉由此而在晶圓200上形成包含矽以及碳之第2層,亦即是形成在含矽層上被形成有含碳層之層。
[步驟4]
步驟4,係與第1程序之步驟3同樣地來進行。於步驟4中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟3中者相同。亦即是,在此步驟中,係藉由對於處理室201內之O2 氣體的供給,來將第2層之至少一部份氧化,並藉由此而在晶圓200上形成包含矽、氧以及碳之第3層。
將上述之步驟1~4作為1個循環,藉由將此循環進行一次以上,係能夠在晶圓200上形成特定膜厚之SiOCN膜。另外,上述之循環,係以反覆進行複數次為理想。此時,藉由對於在各步驟中之處理室201內的壓力與氣體供給時間等之處理條件作控制,係能夠對於在SiOCN層中之各元素成分、亦即是矽成分、氧成分、碳成分、氮成分之比例、也就是矽濃度、氧濃度、碳濃度、氮濃度作調整,而能夠對於SiOCN膜之組成比作控制。另外,在此階段中所形成之SiOCN膜之最表面處,係成為被形成有第3層、亦即是SiOC層。
[氮化步驟]
將步驟1~4作為1個循環,並將此循環進行特定次數,之後,實施氮化步驟。本步驟,係與第1程序之步驟4同樣地來進行。於本步驟中之處理條件、所產生之反應、所形成之層等,係與在第1程序中之步驟4中者相同。亦即是,在此步驟中,係藉由對於處理室201內之NH3 氣體的供給,來將在最終循環中而於晶圓200之最表面處所形成的第3層(SiOC層)之至少一部份氮化,而使第3層改變為第4層、亦即是改變為SiOCN層(改質)。藉由此氮化步驟,來將SiOCN膜之最表面適當地氮化並作改質,藉由此,SiOCN膜係成為從最下層起直到最上層為止來將SiOCN層作層積所成之膜。亦即是,SiOCN膜,係成為在膜厚方向上而組成為均一之膜。
(氣體洗淨~晶圓卸載)
若是進行了SiOCN膜之形成處理以及SiOCN膜之最表面的改質處理,則係與第1程序相同的而進行氣體洗淨、惰性氣體置換、恢復大氣壓、舟卸載、晶圓卸載。
(3)本實施形態之效果
若依據本實施形態,則係可得到以下所示之1或複數的效果。
(a)若依據本實施形態,則不論是在何者之成膜程序中,均係構成為在進行供給HCDS氣體的工程之 前,先進行供給NH3 氣體之工程,並在進行了此供給NH3 氣體的工程之後,於直到進行供給HCDS氣體的工程為止之期間中,並不進行供給C3 H6 氣體之工程以及供給O2 氣體之工程。藉由此,就算是在低溫區域中,也能夠使SiOCN膜之成膜速度增加,而成為能夠將成膜處理之生產性提升。
亦即是,在第1程序中,係將步驟1~4作為1個循環,並構成為在將此循環進行特定次數之前,先進行對於晶圓200而供給NH3 氣體之表面改質步驟。並且,係構成為在表面改質步驟和步驟1之間,並不進行供給C3 H6 氣體之步驟2以及供給O2 氣體之步驟3。如同上述一般,藉由進行表面改質步驟,晶圓200之最表面,係被改變(改質)為HCDS氣體容易吸附且Si容易堆積之表面狀態。藉由在表面改質步驟和步驟1之間並不進行步驟2和步驟3,晶圓200之最表面,係維持於HCDS氣體容易吸附且Si容易堆積之表面狀態。因此,在緊接於表面改質步驟之後而進行之步驟1中,對於晶圓200之最表面上的HCDS氣體之吸附和Si之堆積係被促進,對於晶圓200之最表面上的含矽層之形成係成為被促進。
又,在第1程序中,當將步驟1~4作為1個循環,並將此循環進行複數次時,係將供給NH3 氣體之步驟4和供給HCDS氣體之步驟1依此順序而連續進行,並在此期間中,設為並不進行供給C3 H6 氣體之步驟2以及供給O2 氣體之步驟3。如同上述一般,藉由進行步驟4 所形成之第4層的最表面,係被改變(改質)為HCDS氣體容易吸附且Si容易堆積之表面狀態。藉由在步驟4和步驟1之間並不進行步驟2和步驟3,第4層之最表面,係維持於HCDS氣體容易吸附且Si容易堆積之表面狀態。因此,在緊接於步驟4之後而進行之步驟1中,對於第4層之最表面上的HCDS氣體之吸附和Si之堆積係被促進,對於第4層之最表面上的含矽層之形成係成為被促進。
又,在第2程序中,係構成為將步驟1~4作為1個循環,並將此循環進行特定次數。亦即是,係將供給NH3 氣體之步驟1和供給HCDS氣體之步驟2依此順序而連續進行,並在此期間中,設為並不進行供給C3 H6 氣體之步驟3以及供給O2 氣體之步驟4。如同上述一般,藉由在第1次之步驟中而進行步驟1,晶圓200之最表面,係被改變(改質)為HCDS氣體容易吸附且Si容易堆積之表面狀態。藉由在步驟1和步驟2之間並不進行步驟3和步驟4,晶圓200之最表面,係維持於HCDS氣體容易吸附且Si容易堆積之表面狀態。因此,在緊接於步驟1之後而進行之步驟2中,對於晶圓200之最表面的HCDS氣體之吸附和Si之堆積係被促進,對於晶圓200之最表面上的含矽層之形成係成為被促進。
又,在第2程序中,在將步驟1~4作為1個循環,並將此循環進行複數次時,藉由進行步驟1所形成之第4層的最表面,係被改變(改質)為HCDS氣體容易 吸附且Si容易堆積之表面狀態。藉由在步驟1和步驟2之間並不進行步驟3和步驟4,第4層之最表面,係維持於HCDS氣體容易吸附且Si容易堆積之表面狀態。因此,在緊接於步驟1之後而進行之步驟2中,對於第4層之最表面上的HCDS氣體之吸附和Si之堆積係被促進,對於第4層之最表面上的含矽層之形成係成為被促進。
如此這般,不論是在何者之成膜程序中,均能夠促進對於晶圓200之最表面上的含矽層之形成。其結果,就算是在低溫區域中,也能夠使SiOCN膜之成膜速度增加,而成為能夠將成膜處理之生產性提升。
(b)若依據本實施形態,則不論是在何者之成膜程序中,均係構成為在使SiOCN膜形成工程結束時,於最後而實施供給NH3 氣體之工程。亦即是,在第1成膜程序中,係構成為在各循環之最後所進行的步驟4中,對於晶圓200而供給被作了活性化的NH3 氣體。又,在第2成膜程序中,係構成為在將包含步驟1~4之循環進行了特定次數後,進行對於晶圓200而供給被作了活性化的NH3 氣體之氮化步驟。藉由設為此種構成,係能夠將SiOCN膜之最表面適當地氮化而改質,而能夠將最終所形成之SiOCN膜設為在膜厚方向上而其組成為均一之膜。
(c)若依據本實施形態,則成為僅需要將氣體之供給順序如同上述之第1程序或第2程序一般地來作組合,便能夠並不對於既存之基板處理裝置的構造、成膜溫度、氣體之種類、流量等作變更地而得到上述之效果。
另外,本案之發明者們,在起初之研究時,係認為若是在將具備有Si-C鍵結之層作了氧化後再進行氮化,則並不會形成SiOCN,而是會形成SiO或SiON。會作此推測的原因在於:由於相較於Si-N鍵結或Si-C鍵結之鍵結能量,係以Si-O鍵結之鍵結能量為更大,因此,若是將具有Si-C鍵結之層氧化,則當在該氧化過程中而形成有Si-O鍵結時,具備Si-C鍵結之層的Si-C鍵結會切斷,與Si間之鍵結被作了切斷的C係會從具備有Si-C鍵結之層而脫離,並且就算是在之後而進行氮化,也難以形成Si-N鍵結之故。因此,係推測若是將氣體之供給順序例如如同上述之第1程序或第2程序一般地作組合,則C會全部脫離,而不可能形成SiOCN膜(會形成SiO膜或是SiON膜)。然而,發明者們,在反覆進行了努力研究後,係發現了:在將具備Si-C鍵結之層作了氧化之後,於進行氮化時,藉由對於其之氧化力(特別是氧化氣體之稀釋率、供給時間、分壓)作控制,係能夠使由於氧化而從具備Si-C鍵結之層所脫離的C殘留,並且係能夠藉由之後的氮化來適當地形成Si-N鍵結,而適當地形成SiOCN。若依據對於上述知識作了活用的本實施形態之成膜程序,則係成為並不需要對於既存之基板處理裝置作大幅度的變更,便能夠以低成本來得到上述之效果。
(d)若依據本實施形態,則在第1程序以及第2程序之雙方中均能夠形成具備有優良的晶圓面內膜厚均一性之SiOCN膜。又,在將藉由本實施形態之第1程 序或第2程序所形成之SiOCN膜作為絕緣膜來使用的情況時,係成為能夠在SiOCN膜之面內而提供均質之性能,並成為能夠對於半導體裝置之性能提升或生產量率之提升有所貢獻。
(e)若依據本實施形態,則藉由對於在各程序之各步驟中之處理室內的壓力與氣體供給時間等之處理條件作控制,係能夠對於在SiOCN膜中之各元素成分、亦即是矽成分、氧成分、碳成分、氮成分之比例、也就是矽濃度、氧濃度、碳濃度、氮濃度作調整,而能夠對於SiOCN膜之組成比作控制。
(f)若依據本實施形態,則由於係能夠成膜特定組成之SiOCN膜,因此,係成為能夠對於蝕刻耐性、介電率、絕緣耐性作控制,並成為能夠形成相較於SiN膜而介電率為更低、蝕刻耐性為優良且絕緣耐性為優良之矽絕緣膜。
(g)在本實施形態之第1程序的表面改質步驟以及步驟2~4、或第2程序之步驟1、3、4以及氮化步驟中,係構成為將供給至處理室201內之C3 H6 氣體、O2 氣體、NH3 氣體分別藉由熱來活性化並對於晶圓200作供給。藉由此,係能夠使上述之反應分別和緩地進行,而成為能夠更容易地以良好控制性來進行含碳層之形成、氧化處理、氮化處理。
(h)藉由將以本實施形態之手法所形成的矽絕緣膜作為側壁間隔物來使用,係成為能夠提供一種漏洩 電流為少且加工性為優良之元件形成技術。
(i)藉由將以本實施形態之手法所形成的矽絕緣膜作為蝕刻擋止層來使用,係成為能夠提供一種加工性為優良之元件形成技術。
(j)若依據本實施形態,則能夠並不使用電漿地來形成理想性之計量比的矽絕緣膜。又,由於係能夠並不使用電漿地來形成矽絕緣膜,因此係成為亦能夠適用在例如DPT之SADP膜等的對於電漿損傷有所擔憂之工程中。
(本發明之其他實施型態)
以上,雖係針對本發明之實施形態作了具體性之說明,但是,本發明係並不被限定於上述之實施形態,在不脫離其要旨的範圍內,係可作各種之變更。
例如,在上述之第1程序中,雖係構成為在進行了供給NH3 氣體之工程(表面改質步驟)之後,將依序進行供給HCDS氣體之工程(步驟1)和供給C3 H6 氣體之工程(步驟2)和供給O2 氣體之工程(步驟3)以及供給NH3 氣體之工程(步驟4)的循環,作複數次之進行,但是,本發明係並不被限定於此形態。例如,亦可如同在圖4(b)中所例示之第1程序的變形例一般,構成為在進行了表面改質步驟之後,將依序進行步驟1、3、2、4之循環,作特定次數之進行。亦即是,供給含碳氣體之工程(步驟2)和供給氧化氣體之工程(步驟3), 係不論是先進行何者均可。但是,相較於在步驟2之前而先進行步驟3之圖4(b)的第1程序之變形例,係以在步驟3之前而先進行步驟2之圖4(a)的第1程序的情況時,能夠將成膜速度更加提高,而為理想。
又,例如,在上述之第2程序中,雖係構成為在將依序進行了供給NH3 氣體之工程(步驟1)和供給HCDS氣體之工程(步驟2)和供給C3 H6 氣體之工程(步驟3)以及供給O2 氣體之工程(步驟4)的循環,作了複數次的進行之後,再進行供給NH3 氣體之工程(氮化步驟),但是,本發明係並不被限定於此形態。例如,亦可如同在圖5(b)中所例示之第2程序的變形例一般,構成為在將依序進行步驟1、2、4、3之循環作了特定次數之進行之後,再進行氮化步驟。亦即是,供給含碳氣體之工程(步驟3)和供給氧化氣體之工程(步驟4),係不論是先進行何者均可。但是,相較於在步驟3之前而先進行步驟4之圖5(b)的第2程序之變形例,係以在步驟4之前而先進行步驟3之圖5(a)的第2程序的情況時,能夠將成膜速度更加提高,而為理想。
又,例如,亦可構成為在處理室201內並不設置緩衝室237,而從第4噴嘴249d來對於處理室201內直接供給NH3 氣體。於此情況,亦可藉由將第4噴嘴249d之氣體供給孔250d朝向反應管203之中心側,來構成為從第4噴嘴249d而將NH3 氣體朝向晶圓200直接作供給。又,亦可構成為並不設置第4噴嘴249d,而僅設 置緩衝室237。
又,例如,供給至處理室201內之C3 H6 氣體、O2 氣體、NH3 氣體,係並不被限定於分別藉由熱來活性化的情況,例如亦可構成為使用電漿來使其活性化。於此情況,例如,係亦可構成為使用作為上述之電漿產生器的電漿源來將各氣體作電漿激勵。當將各氣體作電漿激勵並作供給的情況時,係有著能夠將成膜溫度更進一步低溫化之優點。但是,當並不將各氣體作電漿激勵而如同上述之實施形態一般地來藉由熱而活性化並作供給的情況時,係有著能夠對於在處理室201內之粒子的發生作抑制並且避免對於處理室201內之構件或晶圓200所造成的電漿損傷之優點。
又,例如,在第1程序之步驟3、第2程序之步驟4中,係亦可構成為與氧化氣體一同地而供給含氫氣體等之還原氣體。若是對於未滿大氣壓之壓力(減壓)氛圍中的處理室201內供給氧化氣體和還原氣體,則在處理室201內,氧化氣體和還原氣體係會產生反應,並產生含有原子狀氧(atomic oxygen)等的氧之非含有水分(H2 O)的氧化種,而能夠藉由此氧化種來將各層氧化。於此情況,係能夠藉由較以氧化氣體單體來進行氧化的情況時而更強之氧化力來進行氧化。此氧化處理,係在無電漿之減壓氛圍下而進行。作為還原氣體,例如,係可使用氫(H2 )氣。
又,例如,在上述之實施形態中,雖係針對 作為薄膜而形成包含身為半導體元素之矽的SiOCN膜(半導體絕緣膜)之例來作了說明,但是,本發明,係亦可適用在形成包含鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鋁(Al)、鉬(Mo)、鎵(Ga)、鍺(Ge)等之金屬元素之金屬氧碳氮化膜(金屬絕緣膜)的情況中。
例如,本發明,係亦可適用在形成鈦氧碳氮化膜(TiOCN膜)、鋯氧碳氮化膜(ZrOCN膜)、鉿氧碳氮化膜(HfOCN膜)、鉭氧碳氮化膜(TaOCN膜)、鋁氧碳氮化膜(AlOCN膜)、鉬氧碳氮化膜(MoOCN膜)、鎵氧碳氮化膜(GaOCN膜)、鍺氧碳氮化膜(GeOCN膜)或是將此些作了組合或者是混合之金屬氧碳氮化膜的情況中。
於此情況,代替上述之實施形態中的矽原料氣體,係可使用鈦原料氣體、鋯原料氣體、鉿原料氣體、鉭原料氣體、鋁原料氣體、鉬原料氣體、鎵原料氣體、鍺原料氣體等之包含金屬元素之原料氣體(含金屬元素氣體),來藉由與上述之實施形態相同的程序(第1程序、第2程序以及此些之變形例)而進行成膜。
亦即是,於此情況,係在進行了對於晶圓供給氮化氣體之工程後,藉由將包含有對於晶圓而供給含金屬元素氣體的工程和對於晶圓而供給含碳氣體之工程和對於晶圓而供給氧化氣體之工程以及對於晶圓而供給氮化氣體之工程的循環,作特定次數之進行,來在晶圓上形成包含有金屬元素、氧、碳以及氮之薄膜(金屬氧碳氮化 膜)。又,在形成金屬氧碳氮化膜之工程中,係構成為在進行供給含金屬元素氣體的工程之前,先進行供給氮化氣體之工程,並在進行了此供給氮化氣體的工程之後,於直到進行供給含金屬元素氣體的工程為止之期間中,並不進行供給含碳氣體之工程以及供給氧化氣體之工程。
例如,當作為金屬氧碳氮化膜而形成TiOCN膜的情況時,作為含有Ti之原料,係可使用肆乙基甲基胺基鈦(Ti[N(C2 H5 )(CH3 )]4 、略稱:TEMAT)、肆二甲基胺基鈦(Ti[N(CH3 )2 ]4 、略稱:TDMAT)、肆二乙基胺基鈦(Ti[N(C2 H5 )2 ]4 、略稱:TDEAT)等之有機原料,或者是四氯化鈦(TiCl4 )等之無機原料。作為含碳氣體或和氧化氣體以及氮化氣體,係可使用與上述之實施形態相同的氣體。另外,此時之處理條件,例如係可設為與上述之實施形態相同的處理條件,但是,更理想,晶圓溫度,例如係設定為100~500℃之範圍內的溫度,處理室內壓力,例如係設為1~3000Pa之範圍內的壓力。
例如,當作為金屬氧碳氮化膜而形成ZrOCN膜的情況時,作為含有Zr之原料,係可使用肆乙基甲基胺基鋯(Zr[N(C2 H5 )(CH3 )]4 、略稱:TEMAZ)、肆二甲基胺基鋯(Zr[N(CH3 )2 ]4 、略稱:TDMAZ)、肆二乙基胺基鋯(Zr[N(C2 H5 )2 ]4 、略稱:TDEAZ)等之有機原料,或者是四氯化鋯(ZrCl4 )等之無機原料。作為含碳氣體或和氧化氣體以及氮化氣體,係可使用與上述之實施形態相同的氣體。另外,此時之處理條件,例如係可設為與上述之實 施形態相同的處理條件,但是,更理想,晶圓溫度,例如係設定為100~400℃之範圍內的溫度,處理室內壓力,例如係設為1~3000Pa之範圍內的壓力。
例如,當作為金屬氧碳氮化膜而形成HfOCN膜的情況時,作為含有Hf之原料,係可使用肆乙基甲基胺基鉿(Hf[N(C2 H5 )(CH3 )]4 、略稱:TEMAH)、肆二甲基胺基鉿(Hf[N(CH3 )2 ]4 、略稱:TDMAH)、肆二乙基胺基鉿(Hf[N(C2 H5 )2 ]4 、略稱:TDEAH)等之有機原料,或者是四氯化鉿(HfCl4 )等之無機原料。作為含碳氣體或和氧化氣體以及氮化氣體,係可使用與上述之實施形態相同的氣體。另外,此時之處理條件,例如係可設為與上述之實施形態相同的處理條件,但是,更理想,晶圓溫度,例如係設定為100~400℃之範圍內的溫度,處理室內壓力,例如係設為1~3000Pa之範圍內的壓力。
例如,當作為金屬氧碳氮化膜而形成TaOCN膜的情況時,作為含有Ta的原料,係可使用參二乙基胺基第三丁基亞胺基鉭(Ta[N(C2 H5 )2 ]3 [NC(CH3 )3 ]、簡稱:TBTDET)、參乙基甲基胺基第三丁基亞胺基鉭(Ta[NC(CH3 )3 ][N(C2 H5 )CH3 ]3 )、簡稱:TBTEMT)等之有機原料、或五氯化鉭(TaCl5 )等之無機原料。作為含碳氣體或和氧化氣體以及氮化氣體,係可使用與上述之實施形態相同的氣體。另外,此時之處理條件,例如係可設為與上述之實施形態相同的處理條件,但是,更理想,晶圓溫度,例如係設定為100~500℃之範圍內的溫度,處 理室內壓力,例如係設為1~3000Pa之範圍內的壓力。
又,例如,當作為金屬氧碳氮化膜而形成AlOCN膜的情況時,作為含有Al之原料,係可使用三甲基鋁(Al(CH3 )3 、簡稱:TMA)等之有機原料、或三氯化鋁(AlCl3 )等之無機原料。作為含碳氣體或和氧化氣體以及氮化氣體,係可使用與上述之實施形態相同的氣體。另外,此時之處理條件,例如係可設為與上述之實施形態相同的處理條件,但是,更理想,晶圓溫度,例如係設定為100~400℃之範圍內的溫度,處理室內壓力,例如係設為1~3000Pa之範圍內的壓力。
又,例如,當作為金屬氧碳氮化膜而形成MoOCN膜的情況時,作為含有Mo之原料,係可使用五氯化鉬(MoCl5 )等之無機原料。作為含碳氣體或和氧化氣體以及氮化氣體,係可使用與上述之實施形態相同的氣體。另外,此時之處理條件,例如係可設為與上述之實施形態相同的處理條件,但是,更理想,晶圓溫度,例如係設定為100~500℃之範圍內的溫度,處理室內壓力,例如係設為1~3000Pa之範圍內的壓力。
如此這般,本發明,係亦可適用在金屬氧碳氮化膜之成膜中,就算是於此情況,亦能夠得到與上述之實施形態相同的作用效果。亦即是,本發明,係可適用在形成包含半導體元素或金屬元素等之特定元素的氧碳氮化膜之情況中。
又,在上述之實施形態中,雖係針對使用一 次對於複數枚之基板進行處理的批次式之基板處理裝置來成膜薄膜之例而作了說明,但是,本發明係並不被限定於此,就算是在一次對於1枚或者是數枚之基板進行處理的單片式之基板處理裝置來成膜薄膜的情況中,亦可合適地作適用。
又,上述之實施形態的各成膜程序和各變形例以及各應用例等,係可適宜作組合使用。
又,本發明,例如,係亦可藉由對於既存之基板處理裝置的製程配方作變更,而實現之。在對於製程配方作變更的情況時,係可將本發明之製程配方經由電性通訊線路或記錄有該製程配方之記錄媒體來安裝至既存之基板處理裝置中,或者是對於既存之基板處理裝置的輸入輸出裝置進行操作並將其之製程配方本身變更為本發明之製程配方。
[實施例]
作為本發明之實施例,係使用上述之實施形態中的基板處理裝置,而藉由上述之實施形態的第1程序來在複數枚之晶圓上形成了SiOCN膜。圖6(a),係為對於本實施例中之氣體供給的時序作展示之圖。作為含矽氣體,係使用HCDS氣體,作為含碳氣體,係使用C3 H6 氣體,作為氧化氣體,係使用O2 氣體,作為氮化氣體,係使用NH3 氣體。成膜時之晶圓溫度,係設為600~650℃。另外,在表面改質步驟中之NH3 氣體的氣體供給時 間,係設為在步驟4中的NH3 氣體之氣體供給時間的4倍程度之長度。又,係以使在步驟3中之氧化力減弱的方式,而將供給至處理室內之N2 氣體的流量作30%程度之增加,而使處理室內之O2 氣體的分壓作了降低。其他處理條件,係設定為在上述之實施形態中所記載的處理條件範圍內之特定之值。之後,對於被形成在晶圓上之SiOCN膜的膜厚分別作了測定。
又,作為比較例,使用上述之實施形態中的基板處理裝置,而將依序進行對於晶圓而供給HCDS氣體之步驟和對於晶圓而供給C3 H6 氣體之步驟和對於晶圓而供給NH3 氣體之步驟以及對於晶圓而供給O2 氣體之步驟所成的循環,作複數次之進行,藉由此成膜程序,而在複數枚之晶圓上形成了SiOCN膜。另外,在比較例中,係僅使氣體之供給順序與實施例相異。亦即是,比較例之處理條件,除了氣體之供給順序以外,係設為與在實施例中之處理條件相同。之後,對於被形成在晶圓上之SiOCN膜的膜厚分別作了測定。
圖7,係為對於實施例以及比較例中的SiOCN膜之膜厚的測定結果作展示之圖。圖7之縱軸,係代表被形成在晶圓上之SiOCN膜的晶圓面內之平均膜厚值(Å),橫軸,係分別代表實施例以及比較例。在圖7中之所謂「Top」,係指被配置於舟之上部的晶圓之測定結果,所謂「Cen」,係指被配置於舟之中央部處的晶圓之測定結果,所謂「Btm」,係指被配置在舟之下部處的 晶圓之測定結果。
若依據圖7,則在實施例中之SiOCN膜的膜厚,係為平均(Top~Btm全體之平均)187.8Å,在比較例中之SiOCN膜之膜厚,係為平均163.3Å。可以得知,相較於比較例中之成膜速度,在實施例中之成膜速度係作了15%程度之增加。在供給HCDS氣體、C3 H6 氣體、O2 氣體、NH3 氣體之各步驟中的處理條件係為相同的前提下,SiOCN膜之成膜速度,係依存於在每一個循環中所形成之含矽層的厚度。在實施例中,成為HCDS氣體或Si之被吸附面或者是被堆積面(基底面)的晶圓之最表面或者是第4層之最表面,係藉由被供給有NH3 氣體,而被改質為HCDS氣體或Si容易吸附或者是堆積之表面狀態(在最表面上被形成有氮化層或NH3 氣體之吸附層或者是此些之雙方的表面狀態),相對於此,在比較例中,由於於循環開始之前,係並未進行供給NH3 氣體之步驟,並且,在反覆進行循環時,係連續地進行供給O2 氣體之步驟和供給HCDS氣體之步驟,因此,HCDS氣體或Si之被吸附面或被堆積面(基底面),係成為氧較為豐富之面。可以推測到,此表面狀態之差異,係會對於在每一循環中之HCDS氣體或Si的吸附量或堆積量造成差異,並成為在實施例中之成膜速度增加的重要原因。
(本發明之理想形態)
以下,針對本發明之理想形態作附加說明。
(付記1)
若依據本發明之其中一種形態,則係提供一種半導體裝置之製造方法,其特徵為:係具備有:將包含有對於基板而供給含特定元素氣體的工程、和對於前述基板而供給含碳氣體的工程、和對於前述基板而供給氧化氣體的工程、以及對於前述基板而供給氮化氣體的工程之循環,進行特定之次數,藉由此而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的工程,在形成前述薄膜之工程中,係構成為在進行供給前述含特定元素氣體的工程之前,先進行供給前述氮化氣體的工程,並在進行了此供給氮化氣體的工程之後,於直到進行前述供給特定元素之氣體的工程為止的期間中,並不進行前述供給含碳氣體的工程以及供給前述氧化氣體的工程。
(付記2)
如付記1之半導體裝置之製造方法,其中,較理想,在形成前述薄膜之工程中,係在進行了前述供給氮化氣體的工程之後,將包含有前述供給含特定元素氣體的工程和前述供給含碳氣體的工程和前述供給氧化氣體的工程以及前述供給氮化氣體的工程之循環,進行特定之次數。
(付記3)
如付記1之半導體裝置之製造方法,其中,較理想, 在形成前述薄膜之工程中,係在進行了前述供給氮化氣體的工程之後,將依序進行前述供給含特定元素氣體的工程和前述供給含碳氣體的工程和前述供給氧化氣體的工程以及前述供給氮化氣體的工程之循環,進行特定之次數。
(付記4)
如付記1之半導體裝置之製造方法,其中,較理想,在形成前述薄膜之工程中,係在進行了前述供給氮化氣體的工程之後,將包含有前述供給含特定元素氣體的工程和前述供給含碳氣體的工程以及前述供給氧化氣體的工程之循環,進行特定之次數,之後,進行前述供給氮化氣體之工程。
(付記5)
如付記1之半導體裝置之製造方法,其中,較理想,在形成前述薄膜之工程中,係將依序進行前述供給氮化氣體的工程和前述供給含特定元素氣體的工程和前述供給含碳氣體的工程以及前述供給氧化氣體的工程之循環,進行特定之次數,之後,進行前述供給氮化氣體之工程。
(付記6)
如付記1~5之任一者的半導體裝置之製造方法,其中,較理想,在形成前述薄膜之工程中,係藉由供給前述氮化氣體,而將前述基板之最表面改質,並藉由供給前述 含特定元素氣體,而在藉由前述氮化氣體而作了改質的前述基板之最表面上,形成含有前述特定元素之特定元素含有層。
(付記7)
如付記1~5之任一者之半導體裝置之製造方法,其中,較理想,在形成前述薄膜之工程中,係藉由供給前述氮化氣體,而將前述基板之最表面改質,並藉由供給前述含特定元素氣體,而在藉由前述氮化氣體而作了改質的前述基板之最表面上,形成含有前述特定元素之特定元素含有層,並藉由供給前述含碳氣體,而在前述特定元素含有層上形成含碳層,並藉由供給前述氧化氣體,而將在前述特定元素含有層上被形成有前述含碳層之層作氧化,而形成含有前述特定元素、氧以及碳之層,之後,藉由供給前述氮化氣體,而將含有前述特定元素、氧以及碳之層氮化,而形成包含有前述特定元素、氧、碳以及氮之層,並且,將其之最表面改質。
(付記8)
如付記6或7之半導體裝置之製造方法,其中,較理想,在藉由供給前述氮化氣體而將前述基板之最表面改質時,係將前述基板之最表面氮化,或者是使前述氮化氣體吸附在前述基板之最表面上。
(付記9)
如付記1~7之任一者的半導體裝置之製造方法,其中,較理想,前述特定元素係為半導體元素或金屬元素。
(付記10)
如付記1~7之任一者的半導體裝置之製造方法,其中,較理想,前述特定元素係為矽。
(付記11)
若依據本發明之其他形態,則係提供一種基板處理方法,其特徵為:係具備有:將包含有對於基板而供給含特定元素氣體的工程、和對於前述基板而供給含碳氣體的工程、和對於前述基板而供給氧化氣體的工程、以及對於前述基板而供給氮化氣體的工程之循環,進行特定之次數,藉由此而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的工程,在形成前述薄膜之工程中,係構成為在進行供給前述含特定元素氣體的工程之前,先進行供給前述氮化氣體的工程,並在進行了此供給氮化氣體的工程之後,於直到進行前述供給特定元素之氣體的工程為止的期間中,並不進行前述供給含碳氣體的工程以及供給前述氧化氣體的工程。
(付記12)
若依據本發明之又一其他形態,則係提供一種基板處 理裝置,其特徵為,具備有:收容基板之處理室;和對於前述處理室內之基板而供給含有特定元素氣體之含特定元素氣體供給系;和對於前述處理室內之基板而供給含碳氣體之含碳氣體供給系;和對於前述處理室內之基板而供給氧化氣體之氧化氣體供給系;和對於前述處理室內之基板而供給氮化氣體之氮化氣體供給系;和藉由將包含有對於前述處理室內之基板而供給前述含特定元素氣體的處理、和對於前述處理室內之前述基板而供給含碳氣體的處理、和對於前述處理室內之前述基板而供給氧化氣體的處理、以及對於前述處理室內之前述基板而供給氮化氣體的處理之循環,進行特定之次數,而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的處理,並在形成前述薄膜之處理中,構成為在進行供給前述含特定元素氣體的處理之前,先進行供給前述氮化氣體的處理,並在進行了此供給氮化氣體的處理之後,於直到進行供給前述特定元素之氣體的處理為止的期間中,並不進行供給前述含碳氣體的處理以及供給前述氧化氣體的處理,而如此這般地來對於前述含特定元素氣體供給系、前述含碳氣體供給系、前述氧化氣體供給系以及前述氮化氣體供給系作控制之控制部。
(付記13)
若依據本發明之又一其他形態,則係提供一種程式,其特徵為:係實行將包含有對於基板處理裝置之處理室內 的基板而供給含特定元素氣體的程序、和對於前述處理室內之前述基板而供給含碳氣體的程序、和對於前述處理室內之前述基板而供給氧化氣體的程序、以及對於前述處理室內之前述基板而供給氮化氣體的程序之循環,進行特定之次數,而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的程序,在形成前述薄膜之程序中,係構成為在進行供給前述含特定元素氣體的程序之前,先進行供給前述氮化氣體的程序,並在進行了此供給氮化氣體的程序之後,於直到進行供給前述特定元素之氣體的程序為止的期間中,並不進行供給前述含碳氣體的程序以及供給前述氧化氣體的程序。
(付記14)
若依據本發明之又一其他形態,則係提供一種電腦可讀取之記錄媒體,其特徵為:係記錄有程式,該程式,係使電腦實行:將包含有對於基板處理裝置之處理室內的基板而供給含特定元素氣體的程序、和對於前述處理室內之前述基板而供給含碳氣體的程序、和對於前述處理室內之前述基板而供給氧化氣體的程序、以及對於前述處理室內之前述基板而供給氮化氣體的程序之循環,進行特定之次數,而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的程序,在形成前述薄膜之程序中,係構成為在進行供給前述含特定元素氣體的程序之前,先進行供給前述氮化氣體的程序,並在進行了此供給氮化氣體的程序 之後,於直到進行供給前述特定元素之氣體的程序為止的期間中,並不進行供給前述含碳氣體的程序以及供給前述氧化氣體的程序。

Claims (12)

  1. 一種半導體裝置之製造方法,其特徵為,係具備有:將包含有對於基板而供給含特定元素氣體的工程、和對於前述基板而供給含碳氣體的工程、和對於前述基板而供給氧化氣體的工程、以及對於前述基板而供給氮化氣體的工程之循環,進行一次以上,藉由此而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的工程,在形成前述薄膜之工程中,係構成為在進行供給前述含特定元素氣體的工程之前,先進行供給前述氮化氣體的工程,並在進行了此供給氮化氣體的工程之後,於直到進行前述供給含特定元素氣體的工程為止的期間中,並不進行前述供給含碳氣體的工程以及供給前述氧化氣體的工程。
  2. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,在形成前述薄膜之工程中,係在進行了前述供給氮化氣體的工程之後,將包含有前述供給含特定元素氣體的工程和前述供給含碳氣體的工程和前述供給氧化氣體的工程以及前述供給氮化氣體的工程之循環,進行特定之次數。
  3. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,在形成前述薄膜之工程中,係在進行了前述供給氮化氣體的工程之後,將依序進行前述供給含特定元素氣體的工程和前述供給含碳氣體的工程和前述供給氧化 氣體的工程以及前述供給氮化氣體的工程之循環,進行特定之次數。
  4. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,在形成前述薄膜之工程中,係在將包含有前述供給氮化氣體的工程和前述供給含特定元素氣體的工程和前述供給含碳氣體的工程以及前述供給氧化氣體的工程之循環,進行了特定之次數之後,進行前述供給氮化氣體的工程。
  5. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,在形成前述薄膜之工程中,係在將依序進行前述供給氮化氣體的工程和前述供給含特定元素氣體的工程和前述供給含碳氣體的工程和前述供給氧化氣體的工程之循環,進行了特定之次數之後,進行前述供給氮化氣體的工程。
  6. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,在形成前述薄膜之工程中,係藉由供給前述氮化氣體,而將前述基板之最表面改質,並藉由供給前述含特定元素氣體,而在藉由前述氮化氣體而作了改質的前述基板之最表面上,形成含有前述特定元素之特定元素含有層。
  7. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,在形成前述薄膜之工程中,係藉由供給前述氮化氣體,而將前述基板之最表面改 質,並藉由供給前述含特定元素氣體,而在藉由前述氮化氣體而作了改質的前述基板之最表面上,形成含有前述特定元素之特定元素含有層,並藉由供給前述含碳氣體,而在前述特定元素含有層上形成含碳層,並藉由供給前述氧化氣體,而將在前述特定元素含有層上被形成有前述含碳層之層作氧化,而形成含有前述特定元素、氧以及碳之層,之後,藉由供給前述氮化氣體,而將含有前述特定元素、氧以及碳之層氮化,而形成包含有前述特定元素、氧、碳以及氮之層,並且,將其之最表面改質。
  8. 如申請專利範圍第6項所記載之半導體裝置之製造方法,其中,在藉由供給前述氮化氣體而將前述基板之最表面改質時,係將前述基板之最表面氮化,或者是使前述氮化氣體吸附在前述基板之最表面上。
  9. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,前述特定元素係為半導體元素或者是金屬元素。
  10. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,前述特定元素係為矽。
  11. 一種基板處理裝置,其特徵為,具備有:收容基板之處理室;和對於前述處理室內之基板而供給含有特定元素氣體之 含特定元素氣體供給系;和對於前述處理室內之基板而供給含碳氣體之含碳氣體供給系;和對於前述處理室內之基板而供給氧化氣體之氧化氣體供給系;和對於前述處理室內之基板而供給氮化氣體之氮化氣體供給系;和藉由將包含有對於前述處理室內之基板而供給前述含特定元素氣體的處理、和對於前述處理室內之前述基板而供給含碳氣體的處理、和對於前述處理室內之前述基板而供給氧化氣體的處理、以及對於前述處理室內之前述基板而供給氮化氣體的處理之循環,進行特定之次數,而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的處理,並在形成前述薄膜之處理中,構成為在進行供給前述含特定元素氣體的處理之前,先進行供給前述氮化氣體的處理,並在進行了此供給氮化氣體的處理之後,於直到進行供給前述含特定元素氣體的處理為止的期間中,並不進行供給前述含碳氣體的處理以及供給前述氧化氣體的處理,而如此這般地來對於前述含特定元素氣體供給系、前述含碳氣體供給系、前述氧化氣體供給系以及前述氮化氣體供給系作控制之控制部。
  12. 一種電腦可讀取之記錄媒體,其特徵為:係記錄有程式,該程式,係使電腦實行:將包含有對於基板處理裝置之處理室內的基板而供給 含特定元素氣體的程序、和對於前述處理室內之前述基板而供給含碳氣體的程序、和對於前述處理室內之前述基板而供給氧化氣體的程序、以及對於前述處理室內之前述基板而供給氮化氣體的程序之循環,進行特定之次數,而在前述基板上形成包含有前述特定元素、氧、碳以及氮之薄膜的程序,在形成前述薄膜之程序中,係構成為在進行供給前述含特定元素氣體的程序之前,先進行供給前述氮化氣體的程序,並在進行了此供給氮化氣體的程序之後,於直到進行供給前述含特定元素氣體的程序為止的期間中,並不進行供給前述含碳氣體的程序以及供給前述氧化氣體的程序。
TW102117903A 2012-08-14 2013-05-21 A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium TWI508173B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012179926A JP6030378B2 (ja) 2012-08-14 2012-08-14 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
TW201409570A TW201409570A (zh) 2014-03-01
TWI508173B true TWI508173B (zh) 2015-11-11

Family

ID=50100323

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102117903A TWI508173B (zh) 2012-08-14 2013-05-21 A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium

Country Status (4)

Country Link
US (3) US8728954B2 (zh)
JP (1) JP6030378B2 (zh)
KR (1) KR101469379B1 (zh)
TW (1) TWI508173B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6030378B2 (ja) 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6022274B2 (ja) * 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP2015159212A (ja) * 2014-02-25 2015-09-03 東京エレクトロン株式会社 カーボンを含むシリコン膜の形成方法、及び、形成装置
JP6496510B2 (ja) * 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2016110956A1 (ja) * 2015-01-07 2016-07-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP2016171244A (ja) * 2015-03-13 2016-09-23 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR102528178B1 (ko) * 2016-01-19 2023-05-03 주성엔지니어링(주) 낮은 유전상수를 가지는 유전막의 형성 방법 및 형성 장치
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7199497B2 (ja) * 2018-02-28 2023-01-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP6854260B2 (ja) * 2018-06-20 2021-04-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6909762B2 (ja) * 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
SG11202109666TA (en) 2019-03-05 2021-10-28 Kokusai Electric Corp Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and program
KR20220041167A (ko) * 2019-09-02 2022-03-31 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 플라스마 생성 장치, 반도체 장치의 제조 방법, 플라즈마 생성 방법 및 프로그램
JP7179806B2 (ja) * 2020-09-24 2022-11-29 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置
JP7026200B2 (ja) * 2020-12-25 2022-02-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787397B2 (en) * 2000-06-22 2004-09-07 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials and method of fabrication
US7125812B2 (en) * 2002-01-15 2006-10-24 Tokyo Electron Limited CVD method and device for forming silicon-containing insulation film
US7820118B2 (en) * 2005-08-09 2010-10-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus having covered thermocouple for enhanced temperature control
US20110256733A1 (en) * 2010-04-12 2011-10-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US8076251B2 (en) * 2009-09-30 2011-12-13 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2749630B2 (ja) * 1989-04-24 1998-05-13 住友電気工業株式会社 プラズマ表面処理法
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US8268731B2 (en) * 2005-03-31 2012-09-18 Hitatchi Kokusai Electric Inc. Semiconductor device producing method, substrate producing method and substrate processing apparatus
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5572447B2 (ja) * 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787397B2 (en) * 2000-06-22 2004-09-07 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials and method of fabrication
US7125812B2 (en) * 2002-01-15 2006-10-24 Tokyo Electron Limited CVD method and device for forming silicon-containing insulation film
US7820118B2 (en) * 2005-08-09 2010-10-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus having covered thermocouple for enhanced temperature control
US8076251B2 (en) * 2009-09-30 2011-12-13 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US20110256733A1 (en) * 2010-04-12 2011-10-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus

Also Published As

Publication number Publication date
KR101469379B1 (ko) 2014-12-04
TW201409570A (zh) 2014-03-01
US8951919B2 (en) 2015-02-10
US8728954B2 (en) 2014-05-20
US20140220787A1 (en) 2014-08-07
JP2014038923A (ja) 2014-02-27
KR20140022346A (ko) 2014-02-24
US20150126043A1 (en) 2015-05-07
US9431236B2 (en) 2016-08-30
US20140051260A1 (en) 2014-02-20
JP6030378B2 (ja) 2016-11-24

Similar Documents

Publication Publication Date Title
TWI508173B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
TWI535879B (zh) The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium
JP5775947B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI547996B (zh) A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
TWI508174B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
JP5847566B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6154215B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6022276B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2013054655A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP2013077805A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6151335B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム