JP3529989B2 - 成膜方法及び半導体装置の製造方法 - Google Patents

成膜方法及び半導体装置の製造方法

Info

Publication number
JP3529989B2
JP3529989B2 JP24829297A JP24829297A JP3529989B2 JP 3529989 B2 JP3529989 B2 JP 3529989B2 JP 24829297 A JP24829297 A JP 24829297A JP 24829297 A JP24829297 A JP 24829297A JP 3529989 B2 JP3529989 B2 JP 3529989B2
Authority
JP
Japan
Prior art keywords
silicon
film
silicon nitride
nitride film
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP24829297A
Other languages
English (en)
Other versions
JPH1187341A (ja
Inventor
浩一 村岡
仁 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP24829297A priority Critical patent/JP3529989B2/ja
Priority to US09/150,701 priority patent/US6165916A/en
Publication of JPH1187341A publication Critical patent/JPH1187341A/ja
Application granted granted Critical
Publication of JP3529989B2 publication Critical patent/JP3529989B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は成膜方法及び半導体
装置の製造方法、特に高信頼性のゲート絶縁膜の形成に
有効な成膜方法及び半導体装置の製造方法に関する。
【0002】
【従来の技術】次世代の高信頼性ゲート絶縁膜の候補と
して、近年シリコン窒化膜があげられている。その理由
としては、シリコン酸化膜に比べて誘電率が高く、且つ
ゲート電極の不純物の拡散を抑制できるためである。誘
電率が高いため、キャパシタンスを減らすことなく膜厚
を厚くすることができ、これまでのシリコン酸化膜の薄
膜化に伴う膜厚制御性の問題を回避できる可能性を秘め
ている。また、薄い酸化膜で問題になっているボロンド
ープp+ ポリSiゲート電極からのボロンの突き抜けも
阻止できると考えられている。
【0003】シリコン窒化膜の形成方法としては一般的
に以下の方法が検討されており、以下これらの方法の特
徴を記す。 (A)NH3 によるシリコン基板の熱窒化:1000℃
以上の高温プロセスが必要である。膜厚が約3nmで飽
和してしまう。
【0004】(B)CVDによる窒化膜堆積:SiH4
+NH3 系(酸化拡散マスク用)、SiCl4 +NH3
系(表面保護膜用)、SiH2 Cl2 +NH3 系(MN
OSメモリ用)等が一般的に知られている。成膜温度は
700〜950℃である。
【0005】(C)プラズマによるシリコン基板の窒
化:窒素プラズマによる表面窒化、プラズマ陽極窒化、
不純物ガス添加プラズマ窒化(N2 +SF6 )等の報告
がある。いずれも700〜900℃の範囲で数十nmの
膜厚が得られる。
【0006】(D)プラズマによる窒化膜堆積:SiH
4 +NH3 系、SiH4 +N2 系、Si26 (或いは
SiF4 )+N2 、SiH4 +NF3 系など、数多く検
討されている。また、高周波プラズマだけでなく、電子
サイクロトロン共鳴プラズマ(ECR)等の検討も行わ
れている。
【0007】これらの成膜方法の中で、特に近年ゲート
絶縁膜形成手法として検討されている(B)のCVD法
について、図10を参照して説明する。まず、(10
0)面を主面とするn型シリコン基板41の表面に、熱
酸化により厚さ800nmのフィールド酸化膜42を形
成する(図10(a))。
【0008】次に、シリコン基板41の表面の有機物及
び金属汚染43を除去するため、この基板に対して硫酸
過水処理(H2 SO4 /H22 )、塩酸過水処理(H
Cl/H22 /H2 O)、希弗酸処理(HF/H2
O)の順に前処理を行う(図10(b))。
【0009】次に、これらの溶液処理後、水素によって
終端されたシリコン基板41をホットウォール型減圧C
VD炉に搬送する。続いて、SiH2 Cl2 :NH3
1:1の混合ガスをこのホットウォール型減圧CVD炉
に供給し、炉内温度を800℃に上昇させてシリコン窒
化膜45の堆積を行う。この時の全圧は0.8Torr
であり、シリコン窒化膜45の成膜速度は4nm/mi
nである(図10(c))。
【0010】シリコン窒化膜45の成膜が終了した後、
同一炉内で連続的にSiH4 ガスによるポリSi膜46
の成膜を行い、その後シリコン基板を炉外に搬出する
(図10(d))。これによりゲート絶縁膜として、膜
厚約6nm程度のシリコン窒化膜45の形成が可能とな
っている。
【0011】ここで用いたホットウォール型減圧CVD
炉の概略構成を図11に示す。炉は石英反応管51及び
石英シリコン基板支持円板52で構成され、SiH2
2及びNH3 をそれぞれのガス供給口53より導入
し、これらを炉内で混合した後シリコン基板50表面に
供給している。
【0012】しかしながら、最近の精力的な研究によ
り、この種の方法にあっては以下のような問題が生じる
ことが明らかとなった。すなわち、上記CVD法による
シリコン窒化膜の堆積を行った場合、反応により生成さ
れる副生成物としてのNH4 Cl(塩化アンモニウム)
固形物が真空ポンプ系54に混入するため、ポンプの保
守や修理が面倒となる。また、定期的に石英管51及び
基板支持円板52に形成されたシリコン窒化膜を除去す
る必要がある。
【0013】また、6nmよりも薄いシリコン窒化膜を
形成する場合、CVD法では成膜速度が速いため、膜厚
の制御が困難になる。この成膜速度のため、3SiH2
Cl2 +10NH3 →Si34 +6NH4 Cl+6H
2という反応で生じた反応副生成物であるNH4 Cl及
び水素が成膜中に取り込まれてしまうことも問題となっ
ている。
【0014】また、成膜温度が800℃と高いため、シ
リコン基板を炉内で昇温するときに、雰囲気中に含まれ
る微量な酸素、水及び炭化物によりシリコン基板の表面
が荒れてしまうという問題もある。また、成膜初期に形
成されたシリコン窒化膜は表面拡散を起こし粒成長を起
こすため、平坦な膜が得られない。さらに、厚いシリコ
ン窒化膜を形成した後に基板温度を下げると、シリコン
基板とシリコン窒化膜の熱膨張係数の差から界面に応力
が生じ、欠陥やシリコン窒化膜のネットワークの歪み、
シリコン基板のスリップ等が生じてしまうという問題が
ある。
【0015】また、上記CVD法以外の手法でも以下の
ような問題が残っている。例えば、NH3 によるシリコ
ン基板の熱窒化は、1000℃以上の高温プロセスであ
るため、シリコン基板にスリップが生じるだけでなく、
膜厚が約3nmで飽和してしまうという問題がある。ま
た、プラズマによるシリコン基板の窒化或いは窒化膜の
堆積は、シリコン基板表面がプラズマに晒されるため、
高エネルギーイオン及び電子による基板へのダメージが
絶縁膜の信頼性に大きな影響を与えてしまうという問題
がある。
【0016】
【発明が解決しようとする課題】以上述べたように、従
来の成膜方法においては、以下に示すような種々の問題
がある。第1に、基板や絶縁膜にダメージを与えるとい
う問題がある。すなわち、平行平板型高周波プラズマや
ECRプラズマを用いる場合は、基板や絶縁膜にプラズ
マダメージが生じ、これが電気的特性に悪影響を与え
る。
【0017】第2に、絶縁膜形成前に基板表面の平坦性
が劣化するという問題がある。CVD法及び熱窒化膜形
成法において、極薄窒化膜形成の初期過程はシリコン基
板の表面状態に極めて敏感であり、表面に吸着している
酸素や有機物が窒化膜の均一性に大きな影響を与え、平
坦性が劣化する。また、高温プロセスであり、雰囲気中
の微量な水分、酸素、有機物によって表面荒れの問題が
生じる。
【0018】第3に、膜厚の制御が困難で面内均一性が
悪いという問題がある。すなわち、CVD法では、成膜
速度が速いため、膜厚の制御性に困難が生ずる。逆に熱
窒化では、約3nmで膜厚が飽和してしまうという問題
がある。
【0019】第4に、形成された窒化膜中の酸素、水素
濃度が高いという問題がある。水素に関しては、SiH
4 、NH3 を用いたCVDプロセスでは窒化膜中に分解
されないSiHx 、NHx が多量に取り込まれ、さらに
反応副生成物である水素等がシリコンや窒素と再結合す
ることが報告されている。この取り込まれた水素が、M
OSFETのホットキャリア劣化や下地シリコン基板の
抵抗率変動といった悪影響をデバイス特性に及ぼす。逆
にSiF4 系のガスを用いると、膜中の水素濃度は減少
するが、膜中にフッ素が大量に取り込まれてトラップサ
イトの増加をもたらす。
【0020】第5に、成膜装置の保守や修理に手間がか
かるという問題がある。すなわち、反応副生成物によっ
て真空ポンプが故障するといった問題や、炉壁に形成さ
れた堆積膜の定期的な除去が必要になるといった問題が
ある。本発明は、上記従来の問題に対してなされたもの
であり、特に平坦性や膜厚制御性等に優れた成膜方法及
それを用いた半導体装置の製造方法を提供することを
目的とする。
【0021】
【課題を解決するための手段】本発明に係る成膜方法
は、第1の元素を含むガスを試料表面に供給して少なく
とも第1の元素を含む物質を試料表面に吸着(物理吸着
及び化学吸着ともに含む。)させる工程と、この第1の
元素を含む物質が吸着した試料表面に第2の元素を含む
ガスを供給して第1の元素と第2の元素とを反応させ第
1の元素と第2の元素との反応物層を試料表面に形成す
る工程とをそれぞれ複数回交互に行い、かつ、前記第1
の元素を含む物質を吸着させる工程は前記第1の元素と
第2の元素との反応が飽和状態に達した後に行うことを
特徴とする。
【0022】前記第1の元素と第2の元素とを反応させ
る工程は、前記第1の元素を含む物質が試料表面へ一様
に(或いは均一に)吸着した後に行うことが好ましい。
前記発明によれば、第1の元素と第2の元素との反応物
層を原子層毎に試料表面に形成することが可能である。
従って、原子層毎に均一な膜厚が保持され、原子層レベ
ルでの膜厚制御が可能となり、前記各工程を交互に複数
回繰り返すことにより、平坦性や膜厚制御性等に優れた
成膜を行うことができる。
【0023】なお、好ましくは、第1の元素を含む物質
を一原子層吸着させ、第1の元素と第2の元素との反応
物層をこの一原子層毎に形成する態様であるが、一原子
層以上であっても、第1の元素と第2の元素との反応が
飽和状態に達するものであれば、本発明は適用可能であ
る。
【0024】代表的には、第1の元素をシリコン、第2
の元素を窒素としてシリコン窒化膜を成膜することがで
き、これをゲート絶縁膜に適用することにより、平坦性
や膜厚制御性等に優れた信頼性の高い半導体装置を作製
することができる。この場合、第1の元素を含むガスと
しては、窒素ガスやアンモニアガス等をあげることがで
き、第2の元素を含むガスとしては、シラン(SiH
4 )やジシラン(Si26 )の他、SiF4 やSiC
4 等のハロゲン元素を有するガスをあげることができ
る。
【0025】なお、第1の元素を含むガスと第2の元素
を含むガスのうち、いずれのガスを最初に供給するか
は、成膜する膜の種類等によって適宜選択される。ま
た、第1の元素を含むガスと第2の元素を含むガスとを
交互に試料表面に供給するのが一般的であるが、一方の
ガスを連続的に他方のガスを断続的に(特に、第2の元
素を含むガスを連続的に、第1の元素を含むガスを断続
的に)供給するようにしてもよい。
【0026】前記第1の元素と第2の元素との反応物層
を試料表面に形成する工程は、第1の元素を含む物質が
吸着した試料表面に第2の元素の活性種(ラジカル)を
含むガスを供給する、又は第1の元素を含む物質が吸着
した試料を加熱した状態で第2の元素を含むガスを試料
表面に供給することによって行うことができる。
【0027】このような方法により、第1の元素と第2
の元素との反応物層を原子層毎に効果的に試料表面に形
成することができる。特に、第2の元素の活性種を用い
て第1の元素と第2の元素とを反応させることにより、
試料の加熱や冷却を行わずに第1の元素と第2の元素と
の反応物層を形成することができる。従って、例えばシ
リコン窒化膜の成膜に適用した場合には、昇温に伴うシ
リコン基板の表面荒れや成膜初期のシリコン窒化膜の粒
成長を抑制することが可能となる。また、基板温度の急
激な変化に伴うシリコン窒化膜/シリコン基板界面の応
力を低下させることが可能であり、界面近傍の歪みや欠
陥等を低減することができる。
【0028】なお、第2の元素の活性種は、例えばダウ
ンフロープラズマ、電子線照射或いは白金触媒等によっ
て生成することができる。ダウンフロープラズマ等を用
いることにより、試料表面へのダメージを抑制すること
が可能となる。
【0029】また、前記第1の元素を含む物質を試料表
面に吸着させる工程は、第1の元素の活性種を含むガス
を試料表面に供給する、又は試料を加熱又は冷却した状
態で第1の元素を含むガスを試料表面に供給することに
よって行うことができる。
【0030】このような方法により、第1の元素を含む
物質を原子層毎に試料表面に効果的に吸着させることが
できる。特に、第1の元素の活性種を用いて吸着を行う
ことにより、試料の加熱や冷却を行わずに第1の元素を
含む物質を吸着させることができ、先に述べたのと同様
に、加熱や冷却を行った場合の問題を解決することが可
能となる。
【0031】第1の元素の活性種を含むガスを用いる方
法或いは試料を加熱する方法によって第1の元素を含む
物質を吸着させる場合には、例えば第1の元素そのもの
が試料表面に化学吸着される。一方、試料を冷却する方
法によって第1の元素を含む物質を吸着させる場合に
は、例えば第1の元素を含むガスの構成分子が試料表面
に物理吸着される。
【0032】なお、第1の元素の活性種の生成は、上述
した第2の元素の活性種の生成と同様、例えばダウンフ
ロープラズマ、電子線照射或いは白金触媒等によって行
うことができる。
【0033】また、本発明に係る成膜装置は、第1の元
素を含むガス及び第2の元素を含むガスの少なくとも一
方を試料表面に断続的に供給する手段と、前記第1の元
素を含むガス中の第1の元素及び第2の元素を含むガス
中の第2の元素の少なくとも一方の元素の活性種を生成
する手段とを有することを特徴とする。
【0034】また、本発明に係る成膜装置は、第1の元
素を含むガス及び第2の元素を含むガスの少なくとも一
方を試料表面に断続的に供給する手段と、第1の元素を
含むガス及び第2の元素を含むガスの少なくとも一方の
試料表面への供給に対応して該試料を加熱又は冷却する
手段とを有することを特徴とする。
【0035】前記供給手段では、第1の元素を含むガス
と第2の元素を含むガスとを交互に試料表面に供給する
のが一般的であるが、一方のガスを連続的に他方のガス
を断続的に(特に、第1の元素を含むガスを連続的に、
第2の元素を含むガスを断続的に)供給するようにして
もよい。
【0036】前記成膜装置を用いることにより、先の成
膜方法で述べたのと同様、原子層レベルでの膜厚制御が
可能となり、平坦性や膜厚制御性等に優れた成膜を行う
ことができる。
【0037】なお、前記成膜装置を用いてシリコン窒化
膜を成膜する場合には、活性種を生成する手段(代表的
には放電管)の構成材料として例えばボロンナイトライ
ド等の窒化物を用いることが好ましい。このような構成
にすれば、不純物の混入が少なく(例えば放電管に石英
管を用いた場合には、酸素がシリコン窒化膜中に混入す
るおそれがある)、欠陥密度の少ないシリコン窒化膜が
形成できる。
【0038】
【発明の実施の形態】以下、図面を参照して、本発明の
実施形態について詳細に説明する。まず、本発明の第1
の実施形態について、図1に示した工程断面図を参照し
て説明する。
【0039】まず、(100)面を主面とするn型シリ
コン基板1の表面に、熱酸化により膜厚800nmのフ
ィールド酸化膜2を形成する(図1(a))。次に、シ
リコン基板1表面の有機物及び金属汚染3を除去するた
め、この基板に対して、硫酸過水処理(H2 SO4 /H
22 )、塩酸過水処理(HCl/H22 /H2
O)、希弗酸処理(HF/H2 O)の順に前処理を行
う。このときシリコン基板1の表面は水素4によって終
端される(図1(b))。
【0040】その後、水素終端されたシリコン基板1を
枚葉式クラスターCVD装置内に搬入し、ダウンフロー
プラズマにより窒素ラジカルをシリコン基板1の表面に
供給する。この時のガス供給条件等は、 基板温度:室温 ガス :N2 (170sccm、1Torr) 放電 :2.4GHz、20W 処理時間:30分 というものである。これにより、シリコン基板1の表面
に一原子層分のシリコン窒化膜5aが形成される。一原
子層分のシリコン窒化膜5aが形成された後は窒化反応
は飽和する(図1(c))。
【0041】次に、基板温度を下げ、シランガスをシリ
コン窒化膜5aの表面に物理吸着させる。この時のガス
供給条件等は、 基板温度:−80℃ ガス :SiH4 (20sccm、0.3Torr) 処理時間:30分 というものである。これにより、一原子層分のシラン分
子6を均一に物理吸着させる(図1(d))。
【0042】次に、シラン分子6が吸着した基板表面に
窒素ラジカルを供給することで吸着したシラン分子の窒
化を行い、第1層目のシリコン窒化膜5a上に第2層目
のシリコン窒化膜5bを一原子層分形成する。一原子層
分のシリコン窒化膜5bが形成された後は窒化反応は飽
和する(図1(e))。
【0043】以上のシラン分子の吸着と窒素ラジカルに
よる窒化とを複数回繰り返すことにより、膜厚約6nm
のシリコン窒化膜5を形成する(図1(f))。以上の
ようにしてシリコン窒化膜5を形成した後、連続的にシ
ランガスを用いたポリSi膜7の成膜を行い、その後上
記チャンバーからシリコン基板を搬出する(図1
(g))。
【0044】次に、窒素ラジカルによる水素終端シリコ
ン表面の結合状態変化を、光電子分光法(XPS)によ
り測定した結果について示す。図2及び図3は、Si2p
スペクトルの時間変化を示したものである。X線源とし
てMg−Kαを使用し、シリコン表面に対しX線入射角
度を45°、光電子測定角度θを15°として測定を行
っている。図2は図1に示した方法により窒素ラジカル
を用いてシリコン窒化膜を成膜した場合のスペクトル変
化を示したものであり、図3は窒素ガスフローを行った
場合のスペクトル変化を示したものである。
【0045】窒素ラジカルを用いた場合には、図2から
わかるように、時間と共に101〜102eVのシリコ
ン窒化膜のピーク面積が増加している。この増加は約3
0分で飽和し、さらに時間が経過すると高エネルギー側
にシフトしている。これに対して、図3からわかるよう
に、窒素ガスフローだけでは60分経過しても殆どスペ
クトルの変化が見られない。
【0046】図4は、図1に示した方法により窒素ラジ
カルを用いてシリコン窒化膜を成膜した場合のN1sスペ
クトルの時間変化を示したものであるが、時間とともに
ピーク面積が増加していることがわかる。
【0047】図5は、Si2pにおけるシリコン窒化膜ピ
ークのケミカルシフト量(ESiN −ESi)を示したもの
である。ここで、ESiはシリコン基板のピーク位置であ
り、ESiN はシリコン窒化膜のピーク位置である。これ
より、本発明の方法によって形成したシリコン窒化膜が
成膜時間の経過とともにバルクSi34 の結合状態に
近づいていることがわかり、最終的な結合状態はSi3
4 結合であると考えられる。
【0048】以上のことから、本発明の方法を用いるこ
とにより、低温プロセスにもかかわらず、安定な結合状
態が構築されていることが分かる。なお、シリコン窒化
膜の膜厚d(nm)は、ケミカルシフトしたSi2pスペ
クトル強度ISiN とシリコン基板からのスペクトル強度
Siから、 d=λ sinθ×ln(k(ISiN /ISi)+1) という式を用いて導出した。λはシリコン窒化膜に対す
る光電子の脱出深さで約2.6nmである。kはSiN
の膜密度等で決まる値であり、1.47を用いて計算を
行っている。
【0049】図6は、窒素ラジカルを用いてシリコン窒
化膜を成膜した場合の膜厚の時間変化(黒丸)を示した
ものである。なお、窒素ガスフローの場合(白丸)も併
せて示している。これより、膜厚が約30分で飽和して
いることがわかる。水素終端シリコン基板の表面窒化が
均一に生じていることは、以下の理論式との比較で明確
になっている。
【0050】窒素原子のランダム吸着すなわちLang
muir吸着を仮定すると、被覆率θの時間変化は、 dθ/dt=k(1−θ) と表せる。ここで、kは定数であり、吸着子間の相互作
用が無く、吸着速度が非吸着面積に比例すると仮定して
いる。上式より、飽和被覆率をθ0 とすると、被覆率θ
(t) は、 θ(t) =θ0 (1−e-kt ) となる。
【0051】実際に窒素原子がLangmuir吸着を
すれば、XPSで得られるシリコン窒化膜厚d(t) はθ
(t) と同じLangmuir的変化を示すはずである。
図6には上式で得られるシリコン窒化膜厚の変化とLa
ngmuir吸着を仮定した場合の膜厚変化を併せて示
しているが、両者は非常に良い一致を示し、窒素ラジカ
ルは水素終端シリコン(100)基板表面にランダム吸
着することが明らかとなった。
【0052】以上のように、本発明の方法を用いれば、
低温プロセスにもかかわらず熱窒化膜と同様の結合状態
を有するシリコン窒化膜を、下地シリコン基板の平坦性
を維持しながら形成することができる。さらに利点とし
て、シリコン基板表面の一原子層分の窒化が終了すれ
ば、それ以上活性種を供給しても窒化が進行しないた
め、膜厚の制御性が非常に優れている点があげられる。
【0053】図7にガス供給シーケンスとシリコン窒化
膜厚の変化を示す。窒素ラジカルによる一原子層分の窒
化と一原子層分のシラン吸着とを交互に繰り返すことに
より、シリコン窒化膜厚が一原子層レベルで制御可能で
ある。また、繰り返す回数を増やすことで、熱窒化では
達成できない3nm以上の厚膜までシリコン窒化膜を形
成することが可能である。
【0054】次に、本発明の第2の実施形態について説
明する。なお、図1に示した工程断面図を用いて説明で
きるため、図面については図1を流用する。まず、(1
00)面を主面とするn型シリコン基板1の表面に、熱
酸化により膜厚800nmのフィールド酸化膜2を形成
する(図1(a))。
【0055】次に、シリコン基板1表面の有機物及び金
属汚染3を除去するため、この基板に対して、硫酸過水
処理(H2 SO4 /H22 )、塩酸過水処理(HCl
/H22 /H2 O)、希弗酸処理(HF/H2 O)の
順に前処理を行う。このときシリコン基板1の表面は水
素4によって終端される(図1(b))。
【0056】その後、水素終端されたシリコン基板1を
枚葉式クラスターCVD装置内に搬入し、ダウンフロー
プラズマにより窒素ラジカルをシリコン基板1の表面に
供給する。この時のガス供給条件等は、 基板温度:室温 ガス :N2 (170sccm、1Torr) 放電 :2.4GHz、20W 処理時間:30分 というものである。これにより、シリコン基板1の表面
に一原子層分のシリコン窒化膜5aが形成される。一原
子層分のシリコン窒化膜5aが形成された後は窒化反応
は飽和する(図1(c))。
【0057】次に、シランガスのダウンフロープラズマ
によりシリコン窒化膜5aの表面にシリコン6を化学吸
着させる。この時のガス供給条件等は、 基板温度:室温 ガス :SiH4 (20sccm、0.3Torr) 放電 :2.45GHz、20W 処理時間:30分 というものである。これにより、アモルファス状のシリ
コン6を均一に一原子層分化学吸着させる(図1
(d))。
【0058】次に、シリコン6が吸着した基板表面に窒
素ラジカルを供給することで吸着したシリコン6の窒化
を行い、第1層目のシリコン窒化膜5a上に第2層目の
シリコン窒化膜5bを1原子層分形成する。一原子層分
のシリコン窒化膜5bが形成された後は窒化反応は飽和
する(図1(e))。
【0059】以上のシリコンの吸着と窒素ラジカルによ
る窒化とを複数回繰り返すことにより、膜厚約6nmの
シリコン窒化膜5を形成する(図1(f))。以上のよ
うにしてシリコン窒化膜5を形成した後、連続的にシラ
ンガスを用いたポリSi膜7の成膜を行い、その後上記
チャンバーからシリコン基板を搬出する(図1
(g))。
【0060】本実施形態においても、第1の実施形態と
同様に、平坦且つ均一なシリコン窒化膜の形成が可能で
ある。また、本実施形態は第1の実施形態と異なり、シ
リコン基板を冷却することなく、室温でシリコンを一原
子層分吸着させることが可能である。
【0061】次に、本発明の第3の実施形態について説
明する。なお、図1に示した工程断面図を用いて説明で
きるため、図面については図1を流用する。まず、(1
00)面を主面とするn型シリコン基板1の表面に、熱
酸化により膜厚800nmのフィールド酸化膜2を形成
する(図1(a))。
【0062】次に、シリコン基板1表面の有機物及び金
属汚染3を除去するため、この基板に対して、硫酸過水
処理(H2 SO4 /H22 )、塩酸過水処理(HCl
/H22 /H2 O)、希弗酸処理(HF/H2 O)の
順に前処理を行う。このときシリコン基板1の表面は水
素4によって終端される(図1(b))。
【0063】その後、水素終端されたシリコン基板1を
枚葉式クラスターCVD装置内に搬入し、ダウンフロー
プラズマにより窒素ラジカルをシリコン基板1の表面に
供給する。この時のガス供給条件等は、 基板温度:室温 ガス :N2 (170sccm、1Torr) 放電 :2.4GHz、20W 処理時間:30分 というものである。これにより、シリコン基板1の表面
に一原子層分のシリコン窒化膜5aが形成される。一原
子層分のシリコン窒化膜5aが形成された後は窒化反応
は飽和する(図1(c))。
【0064】次に、基板を加熱し、ジシランガスをシリ
コン窒化膜5の表面に供給することでシリコン6を化学
吸着させる。この時のガス供給条件等は、 基板温度:500℃ ガス :Si26 (20sccm、0.3Tor
r) 処理時間:30分 というものである。これにより、アモルファス状のシリ
コン6を均一に一原子層分化学吸着させる(図1
(d))。
【0065】次に、シリコン6が吸着した基板表面に窒
素ラジカルを供給することで吸着したシリコン6の窒化
を行い、第1層目のシリコン窒化膜5a上に第2層目の
シリコン窒化膜5bを形成する。一原子層分のシリコン
窒化膜5bが形成された後は窒化反応は飽和する(図1
(e))。
【0066】以上のシリコンの吸着と窒素ラジカルによ
る窒化とを複数回繰り返すことにより、膜厚約6nmの
シリコン窒化膜5を形成する(図1(f))。以上のよ
うにしてシリコン窒化膜5を形成した後、連続的にシラ
ンガスを用いたポリSi膜7の成膜を行い、その後上記
チャンバーからシリコン基板を搬出する(図1
(g))。
【0067】本実施形態においても、第1、第2の実施
形態と同様に、平坦且つ均一なシリコン窒化膜の形成が
可能である。また、本実施形態は、第1、第2の実施形
態と異なり、シリコン基板が荒れない温度範囲で、特殊
な放電機構を用いることなくシリコンの吸着を行うこと
ができる。
【0068】上記成膜方法によれば、窒化プロセスとシ
ラン吸着或いシリコン吸着プロセスとを分離すること
で、窒素ラジカルの供給量が少なくても、一原子層分の
窒化を確認してから一原子層分のシラン或いはシリコン
吸着を行うことが可能であり、一原子層毎に面内均一性
を保持することができると共に、原子レベルでの膜厚制
御が可能となる。
【0069】また、ダウンフロープラズマを窒素ラジカ
ルの形成方法として用いているため、基板等へのダメー
ジをなくすことができる。また、減圧下でプロセスを切
り替えているため、吸着シランの窒化の際に生じる水素
を効率的に排気でき、膜中水素濃度を低減できる。ま
た、成膜温度を低くできるため、昇温時のシリコン基板
表面荒れを防ぐことができ、成膜初期のシリコン窒化膜
の粒成長も抑制できる。さらに、基板温度の急激な変化
を抑えることができるため、シリコン窒化膜/シリコン
基板界面に生ずる応力を低下させることが可能であり、
界面近傍の歪みや欠陥等を低減することができる。
【0070】次に、本発明の実施形態に係る成膜装置に
ついて、図8及び図9を参照して説明する。図8は成膜
装置の概略構成を示す模式図であり、図9は図8に示し
た装置のシリコン窒化膜形成チャンバーの詳細な構成を
示したものである。
【0071】図8に示した枚葉式クラスターCVD装置
は、大きく分けて、シリコン窒化膜形成チャンバー1
2、ポリシリコン形成チャンバー13、搬送チャンバー
14、搬入チャンバー15及び搬出チャンバー16から
構成されている。バックグランド真空度は、それぞれ1
-8Torr、10-8Torr、10-9Torr、10
-6Torr及び10-6Torr以下である。また、水素
終端されたシリコン基板10を窒素パージボックス11
に封入し、枚葉式クラスターCVD装置内に搬入するこ
とで、大気に長時間曝されないようにしている。
【0072】シリコン窒化膜形成チャンバー12内で成
膜を行った後真空引きを行い、搬送チャンバー14を通
してポリシリコン形成チャンバー13にシリコン基板1
0を導入している。この様にチャンバーをクラスター化
することで、低温プロセスと高温プロセスを分けること
ができ、基板の昇温、降温に費やす時間を短縮すること
ができる。例えば、シリコン窒化膜形成チャンバー12
は室温以下に、ポリシリコン形成チャンバー13は30
0℃以上に維持した状態で、シリコン基板10の搬入、
搬出が可能である。
【0073】図9に詳細に示したシリコン窒化膜形成チ
ャンバー12内で、水素終端Si(100)面のIn-sit
u 室温窒化及び低温シラン吸着等が行われる。このシリ
コン窒化膜形成チャンバー12には、シリコン基板10
に対する冷却機構17(例えば、液体窒素によるホルダ
ー冷却)及び加熱機構18(例えば、抵抗加熱器)が設
けられている。また、シリコン基板10の上方には、シ
リコン基板10と対向するように、多孔状ノズル19が
設けられている。このノズル19の上流側に窒素ラジカ
ル及びシランガス供給用のボロンナイトライド管(BN
管)20a及び20bがそれぞれ取り付けられている。
これらのガスは、ピエゾバルブ21a及び21bにより
パルス的に供給できるようになっている。なお、放電管
に石英ではなくBN材料を用いた理由は、石英では放電
時に管壁から酸素が抜けてシリコン窒化膜中に混入して
しまうおそれがあるためである。したがって、不純物の
混入が少なく欠陥密度の少ないシリコン窒化膜が形成で
きる。
【0074】窒素ガスは、まずピュリファイヤー22を
通ることでガス中に含まれる微量な酸素及び水分が除去
される。純化した窒素ガス及びシランガスは、それぞれ
BN管20a及び20b、多孔性ノズル19を通してシ
リコン窒化膜形成チャンバー12内に導入される。BN
管の外部にはマイクロ波キャビティー23a及び23b
が取り付けられており、窒素等の放電が可能となってい
る。シリコン窒化膜形成チャンバー12内の窒素圧力
は、ターボ分子ポンプ24のヘッドに取り付けたコンダ
クタンスバルブ25により1Torrまで上げることが
できる。
【0075】以上説明した図8及び図9に示した装置を
用いることにより、第1〜第3の実施形態で示したシリ
コン窒化膜等の成膜を効率よく行うことができる。な
お、放電方法としては、マイクロ波放電だけでなく、電
子サイクロトロン共鳴プラズマ(ECR)或いはイオン
サイクロトロンプラズマ(ICP)等によるダウンフロ
ープラズマでもよい。また、マイクロ波キャビティーに
よる窒素原子の活性化以外にも、タングステンフィラメ
ントからの熱電子線による窒素原子の活性化も可能であ
る。また、白金に窒素分子流を当てることで窒素ラジカ
ルを形成することも可能である。
【0076】また、加熱機構として、抵抗加熱器の他
に、赤外線ランプやレーザー等を用いても、同様の効果
を得ることができる。また、上記実施形態ではクラスタ
ーチャンバーを用いた複数の処理工程をあげたが、搬送
チャンバーを無くして同一チャンバー内で連続処理を行
うことも可能であり、同様の効果を得ることができる。
【0077】なお、上記各実施形態では供給ガスとして
シランやジシランを用いたが、SiF4 或いはSiCl
4 などを用いることも可能である。また、窒素ラジカル
によるシリコンの窒化の代わりにアンモニアガスによる
シリコンの熱窒化でもよく、シランガス等によるシリコ
ンの吸着と熱窒化とを交互に繰り返しても、同様に平坦
性や膜厚制御性の良好なシリコン窒化膜の形成が可能で
ある。
【0078】また、シランや窒素等の供給ガスをHeや
Ar等の希ガスで希釈しても同様の効果が得られる。ま
た、窒素ラジカル供給時に同時にシランガス等を供給し
ても、同様に平坦性や膜厚制御性の良好なシリコン窒化
膜の形成が可能である。すなわち、窒素ラジカルを連続
的にシランガス等を断続的に供給し、窒素ラジカルのみ
を供給することによって吸着シラン分子等の窒化反応を
行い、窒化反応が飽和した後に窒素ラジカルを供給する
とともにシランガスを供給するようにしても、同様にシ
リコン窒化膜を形成することが可能である。
【0079】さらに、本発明はシリコン窒化膜の形成以
外にも、窒素を酸素に代えることで、同様にシリコン酸
化膜の形成に適用することが可能である。また、窒素と
酸素とを交互に切り替えることで、シリコン窒化物/シ
リコン酸化物の積層構造からなるオキシナイトライド膜
の形成も可能である。さらにまた、シランガス等の代わ
りにTiCl4 等のTi系ガスを用い、窒素の代わりに
酸素を用いれば、一原子層レベルでTiO2 膜等の高誘
電体膜の形成も可能となる。
【0080】また、窒素や酸素等のガスによる反応を高
温長時間化することで、膜表面の窒化反応等を飽和させ
ると同時に、膜中及び界面の欠陥を修復することも可能
である。その他、本発明はその趣旨を逸脱しない範囲内
において種々変形して実施することが可能である。
【0081】
【発明の効果】本発明によれば、原子層毎に均一な膜厚
が保持され、原子層レベルでの膜厚制御が可能となり、
平坦性や膜厚制御性等に優れた成膜を行うことが可能と
なる。特に、形成される膜の構成元素の活性種を用いる
ことにより低温での成膜を行うことが可能となる。
【図面の簡単な説明】
【図1】本発明の第1〜第3の実施形態に係るシリコン
窒化膜の成膜工程について示した工程断面図。
【図2】窒素ラジカルを用いてシリコン窒化膜を成膜し
た場合のSi2pスペクトルの時間変化を示した図。
【図3】窒素ガスフローを行った場合のSi2pスペクト
ルの時間変化を示した図。
【図4】窒素ラジカルを用いてシリコン窒化膜を成膜し
た場合のN1sスペクトルの時間変化を示した図。
【図5】Si2pにおけるシリコン窒化膜ピークのケミカ
ルシフト量(ESiN −ESi)を示した図。
【図6】シリコン窒化膜を成膜したときの膜厚の時間変
化を示した図。
【図7】ガス供給シーケンスとシリコン窒化膜厚の変化
を示した図。
【図8】本発明に係る成膜装置の概略構成を示した模式
図。
【図9】図8の装置のシリコン窒化膜形成チャンバーの
詳細な構成を示した図。
【図10】従来技術に係るシリコン窒化膜の成膜工程に
ついて示した工程断面図。
【図11】従来技術に係る成膜装置の概略構成を示した
模式図。
【符号の説明】
1…シリコン基板 2…フィールド酸化膜 3…有機物及び金属汚染 4…水素 5、5a、5b…シリコン窒化膜 6…シラン分子、シリコン 7…ポリシリコン膜
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) C23C 16/00 - 16/56 H01L 21/314 - 21/318 H01L 29/78

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】 シリコン基板表面を水素で終端する工程
    と、 水素で終端した前記シリコン基板表面に窒素ラジカルを
    供給して、前記シリコン基板表面に一原子層分の第1シ
    リコン窒化物層を形成する工程と、 前記第1シリコン窒化物層を形成した後に、 シリコンを
    含んだ化学物質を含有したガスを前記シリコン基板表面
    に供給することによって前記シリコン基板表面に前記化
    学物質を一原子層分だけ吸着させる工程と、 前記化学物質を吸着した前記シリコン基板表面に窒素ラ
    ジカルを供給して前記化学物質と前記窒素ラジカルとの
    間の反応を生じさせることにより前記シリコン基板表面
    上に第2シリコン窒化物層を形成する工程とを含み、前記第1シリコン窒化物層を形成する工程は前記シリコ
    ン基板表面における窒化反応が飽和するまで続け、 前記第2シリコン化合物層を形成する工程は前記化学物
    質の窒化反応が飽和するまで続け、 前記吸着させる工程と前記第2シリコン化合物層を形成
    する工程とを交互に及び複数回実行することを特徴とす
    る成膜方法。
  2. 【請求項2】 前記吸着させる工程は、前記シリコン基
    表面に前記化学物質を一原子層分だけ物理吸着させる
    ことを含んだことを特徴とする請求項1に記載の成膜方
    法。
  3. 【請求項3】 前記吸着させる工程は、前記シリコン基
    表面に前記化学物質を一原子層分だけ化学吸着させる
    ことを含んだことを特徴とする請求項1に記載の成膜方
    法。
  4. 【請求項4】 請求項1乃至請求項3の何れか1項に記
    載の成膜方法によりゲート絶縁膜の少なくとも一部を形
    成する工程を含んだことを特徴とする半導体装置の製造
    方法。
JP24829297A 1997-09-12 1997-09-12 成膜方法及び半導体装置の製造方法 Expired - Fee Related JP3529989B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP24829297A JP3529989B2 (ja) 1997-09-12 1997-09-12 成膜方法及び半導体装置の製造方法
US09/150,701 US6165916A (en) 1997-09-12 1998-09-10 Film-forming method and film-forming apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP24829297A JP3529989B2 (ja) 1997-09-12 1997-09-12 成膜方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH1187341A JPH1187341A (ja) 1999-03-30
JP3529989B2 true JP3529989B2 (ja) 2004-05-24

Family

ID=17175928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24829297A Expired - Fee Related JP3529989B2 (ja) 1997-09-12 1997-09-12 成膜方法及び半導体装置の製造方法

Country Status (2)

Country Link
US (1) US6165916A (ja)
JP (1) JP3529989B2 (ja)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6323094B1 (en) * 1998-02-06 2001-11-27 Tsmc Acer Semiconductor Manufacturing Inc. Method to fabricate deep sub-μm CMOSFETs
US6885466B1 (en) * 1999-07-16 2005-04-26 Denso Corporation Method for measuring thickness of oxide film
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US6465373B1 (en) * 2000-08-31 2002-10-15 Micron Technology, Inc. Ultra thin TCS (SiCl4) cell nitride for DRAM capacitor with DCS (SiH2Cl2) interface seeding layer
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
JP4617574B2 (ja) * 2001-01-16 2011-01-26 ソニー株式会社 不揮発性半導体記憶装置およびその製造方法
JP2002222876A (ja) * 2001-01-25 2002-08-09 Sony Corp 不揮発性半導体記憶素子及びその製造方法
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
TW548860B (en) * 2001-06-20 2003-08-21 Semiconductor Energy Lab Light emitting device and method of manufacturing the same
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP4204840B2 (ja) 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
EP1570525B1 (en) * 2002-12-09 2015-12-02 Imec Method for forming a dielectric stack
US6835648B2 (en) * 2003-05-29 2004-12-28 Texas Instruments Incorporated Semiconductor PMD layer dielectric
US7547646B2 (en) * 2003-10-31 2009-06-16 Infineon Technologies Ag Trench capacitor structure and process for applying a covering layer and a mask for trench etching processes in semiconductor substrates
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4836111B2 (ja) * 2004-12-15 2011-12-14 日本電信電話株式会社 半導体装置
JP4554378B2 (ja) * 2005-01-21 2010-09-29 富士通セミコンダクター株式会社 窒化膜の形成方法、半導体装置の製造方法及びキャパシタの製造方法
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070240644A1 (en) 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP4245012B2 (ja) 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5228437B2 (ja) * 2007-10-19 2013-07-03 東京エレクトロン株式会社 処理装置及びその使用方法
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5300540B2 (ja) 2009-03-16 2013-09-25 Ckd株式会社 回転型切換弁及び、回転型切換弁のパージガス量の決定方法
JP5545061B2 (ja) 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
JP5920242B2 (ja) 2012-06-02 2016-05-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6071524B2 (ja) * 2012-12-19 2017-02-01 株式会社東芝 不揮発性半導体記憶装置
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
JP2020191326A (ja) * 2019-05-20 2020-11-26 東京エレクトロン株式会社 処理装置および処理方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1159012A (en) * 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
US4444812A (en) * 1980-07-28 1984-04-24 Monsanto Company Combination gas curtains for continuous chemical vapor deposition production of silicon bodies
US4777103A (en) * 1985-10-30 1988-10-11 Fujitsu Limited Electrophotographic multi-layered photosensitive member having a top protective layer of hydrogenated amorphous silicon carbide and method for fabricating the same
JPS62228471A (ja) * 1986-03-31 1987-10-07 Canon Inc 堆積膜形成法
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
US5240505A (en) * 1989-08-03 1993-08-31 Mitsubishi Denki Kabushiki Kaisha Method of an apparatus for forming thin film for semiconductor device
DE69123175T2 (de) * 1990-05-31 1997-04-03 Canon Kk Verfahren zur Verdrahtung einer Halbleiterschaltung
EP0498580A1 (en) * 1991-02-04 1992-08-12 Canon Kabushiki Kaisha Method for depositing a metal film containing aluminium by use of alkylaluminium halide
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
EP0560617A3 (en) * 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JPH09260373A (ja) * 1996-03-26 1997-10-03 Nippon Steel Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
US6165916A (en) 2000-12-26
JPH1187341A (ja) 1999-03-30

Similar Documents

Publication Publication Date Title
JP3529989B2 (ja) 成膜方法及び半導体装置の製造方法
KR20210028093A (ko) 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
KR100505668B1 (ko) 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7077904B2 (en) Method for atomic layer deposition (ALD) of silicon oxide film
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
KR100660890B1 (ko) Ald를 이용한 이산화실리콘막 형성 방법
US7211506B2 (en) Methods of forming cobalt layers for semiconductor devices
US7897215B1 (en) Sequential UV induced chemical vapor deposition
US6124158A (en) Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US9230796B2 (en) A-Si seasoning effect to improve SiN run-to-run uniformity
KR100469126B1 (ko) 수소 함유량이 적은 박막 형성방법
US8039404B2 (en) Production method for semiconductor device
JP4820864B2 (ja) プラズマ原子層成長方法及び装置
US20030215570A1 (en) Deposition of silicon nitride
US5045346A (en) Method of depositing fluorinated silicon nitride
US20220301887A1 (en) Ruthenium etching process
JP2000114257A (ja) 半導体装置の製造方法
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
KR20030064083A (ko) 원자층 적층을 이용하여 실리콘 나이트라이드 박막을형성하는 방법
JP3422960B2 (ja) 半導体装置の製造方法
KR20080004178A (ko) 플라즈마 강화 화학기상증착설비를 이용한화학기상증착방법
JPH07297279A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040109

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20040123

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040226

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080305

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090305

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100305

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100305

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110305

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120305

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140305

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees