TWI508164B - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
TWI508164B
TWI508164B TW100103440A TW100103440A TWI508164B TW I508164 B TWI508164 B TW I508164B TW 100103440 A TW100103440 A TW 100103440A TW 100103440 A TW100103440 A TW 100103440A TW I508164 B TWI508164 B TW I508164B
Authority
TW
Taiwan
Prior art keywords
gas
project
flow rate
film
processing
Prior art date
Application number
TW100103440A
Other languages
English (en)
Other versions
TW201145384A (en
Inventor
Masato Kushibiki
Eiichi Nishimura
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201145384A publication Critical patent/TW201145384A/zh
Application granted granted Critical
Publication of TWI508164B publication Critical patent/TWI508164B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Description

半導體裝置之製造方法
本發明,係有關於半導體裝置之製造方法。
在半導體裝置之製造工程中,係將半導體晶圓等之基板配置在電漿蝕刻裝置之處理腔內,並進行有對於被形成在基板上之各種膜而進行電漿蝕刻之處理。
在電漿蝕刻裝置中,係將收容有半導體晶圓等之基板的處理腔內設為特定壓力之減壓氛圍,並且,將特定之處理氣體供給至處理腔內,而將此處理氣體經由高頻電場等來電漿化。之後,經由使此處理氣體之電漿作用在基板上,而對於被形成在基板上之各種膜作電漿蝕刻。
又,在由此種電漿蝕刻裝置所進行的電漿處理中,係週知有:於供給至處理腔內之混合氣體中,將使蝕刻進行之SF6 的供給暫時性的短時間斷續性地停止,並在此期間中之蝕刻之進行停止了的狀態下來在表面上形成氮化膜,而經由此來並不產生底切(under cut)地將矽蝕刻的方法(例如,參考專利文獻1)。
[先前技術文獻]
[專利文獻]
[專利文獻1]日本特公平4-73287號公報
在半導體裝置中,其電路圖案之細微化,係如同從56nm起而成為43nm乃至32nm等一般地而日益進行。因此,經由電漿蝕刻所形成之圖案,係成為細微,並且高度為高或者是深度為深的圖案亦變多,故而,係進行有關於能夠將此種圖案以良好精確度來均一地且以高選擇比而形成的技術之開發。然而,由於係存在有例如在選擇比和圖案形狀之間係成為取捨(trade off)關係等的問題,因此,要以高選擇比來形成細微且深的孔或者是細微且高的線與空間等之圖案一事,係為困難。
本發明,係為有鑑於上述先前技術之問題而進行對應者,其目的,係在於提供一種:能夠以良好精確度而均一地且以高選擇比來形成細微之圖案的半導體裝置之製造方法以及電漿蝕刻裝置。
本發明之半導體裝置之製造方法,係為具備有將基板收容在處理腔內並對於形成在前述基板上之被蝕刻膜進行蝕刻的電漿蝕刻工程之半導體裝置之製造方法,其特徵為:在前述電漿蝕刻工程中,係對於前述處理腔內供給由特定之複數種的氣體之混合氣體所成的處理氣體,並且,將由將前述複數種之氣體中的至少1種之氣體的流量在第1時間中而設為第1流量之第1工程和在第2時間中而設為與前述第1流量相異的流量的第2流量之第2工程所成之一個循環之工程,在途中並不使電漿消失地來連續性反覆進行至少3次以上,前述第1時間以及前述第2時間,係為1秒以上15秒以下,在前述第1工程中之前述處理氣體的總流量、和在前述第2工程中之前述處理氣體的總流量,係為相同,或者是,當兩者為相異之情況時,總流量之差係為較多一方之總流量的10%以下,在前述第1工程和前述第2工程的兩者之中,均係在前述處理氣體中包含有使前述被蝕刻膜之蝕刻進行的氣體。
若依據本發明,則能夠提供一種:能夠以良好精確度而均一地且以高選擇比來形成細微之圖案的半導體裝置之製造方法以及電漿蝕刻裝置。
以下,參考圖面,針對本發明之實施形態作詳細說明。
圖1,係為對於本發明之其中一種實施形態的電漿蝕刻裝置200之構成作模式性展示者。電漿蝕刻裝置200,係被構成為氣密,並具備有被電性地設為了接地電位之處理腔1。此處理腔1,係被設為圓筒狀,並例如由表面被作了陽極氧化處理之鋁等所構成。
在處理腔1內,係被設置有將身為被處理基板之半導體晶圓W水平地作支持的載置台2。載置台2,例如係由將表面作了陽極氧化處理之鋁等所構成,並具備有作為下部電極之功能。此載置台2,係隔著絕緣板3而被支持在導體之支持台4上。又,在載置台2之上方的外周,係被設置有例如藉由單結晶矽所形成的聚焦環5。進而,係以將載置台2以及支持台4之周圍作包圍的方式,而被設置有例如由石英等所成之圓筒狀的內壁構件3a。
在載置台2處,係透過第1整合器11a而被連接有第1高頻電源10a,又,係透過第2整合器11b而被連接有第2高頻電源10b。第1高頻電源10a,係為用以產生電漿者,從此第1高頻電源10a,係成為對於載置台2而供給有特定頻率(27MHz以上,例如40MHz)之高頻電力。又,第2高頻電源10b,係為離子拉入用(偏壓用)者,從此第2高頻電源10b,係成為對於載置台2而供給有較第1高頻電源10a更低的特定頻率(13.56MHz以下,例如2MHz)之高頻電力。另一方面,在載置台2之上方,係以與載置台2平行地相對向的方式,而被設置有具備作為上部電極之功能的噴淋頭16,噴淋頭16和載置台2,係成為作為一對之電極(上部電極和下部電極)而起作用。
在載置台2之上面,係被設置有將半導體晶圓W作靜電吸附之靜電吸盤6。此靜電吸盤6,係為在絕緣體6b之間中介存在有電極6a地而被構成,在電極6a處,係被連接有直流電源12。而後,係構成為藉由從直流電源12來對於電極6a施加直流電壓,而經由庫倫力等來將半導體晶圓W作吸附。
在支持台4之內部,係被形成有冷媒流路4a,於冷媒流路4a處,係被連接有冷媒入口配管4b、冷媒出口配管4c。而後,經由在冷媒流路4a中而使適當之冷媒(例如冷卻水等)作循環,係成為能夠將支持台4以及載置台2控制為特定之溫度。又,係以將載置台2等貫通的方式,而設置有用以對於半導體晶圓W之背面側來供給氦氣等之冷熱傳導用氣體(背面氣體)的背面氣體供給配管30,此背面氣體供給配管30,係被與未圖示之背面氣體供給源作連接。經由此些之構成,而成為能夠將在載置台2之上面經由靜電吸盤6而作了吸附保持的半導體晶圓W控制在特定之溫度。
上述之噴淋頭16,係被設置在處理腔1之頂板部分處。噴淋頭16,係具備有本體部16a和成為電極板之上部頂板16b,並隔著絕緣性構件45而被支持於處理腔1之上部。本體部16a,係由導電性材料、例如係由表面被作了陽極氧化處理之鋁等所成,並被構成為能夠在其之下部處而將上部頂板16b可自由裝卸地作支持。
在本體部16a之內部,係被設置有氣體擴散室16c,在本體部16a之底部處,係以位置在此氣體擴散室16c之下部的方式,而被形成有多數之氣體通流孔16d。又,在上部頂板16b處,係以與前述之氣體通流孔16d相重合的方式,而被設置有將該上部頂板16b於厚度方向上作貫通之氣體導入孔16e。藉由此種構成,被供給至氣體擴散室16c處之處理氣體,係成為透過氣體通流孔16d以及氣體導入孔16e而被以噴淋狀來分散供給至處理腔1內。另外,在本體部16a等處,係被設置有用以使冷媒循環之未圖示的配管,並成為能夠在電漿蝕刻處理中而將噴淋頭16冷卻為所期望之溫度。
在上述之本體部16a處,係被形成有用以將處理氣體導入至氣體擴散室16c中的氣體導入口16g。在此氣體導入口16g處,係被連接有氣體供給配管15a,在此氣體供給配管15a之另外一端處,係被連接有供給電漿蝕刻用之處理氣體的處理氣體供給源15。
在氣體供給配管15a處,係從上流側起而依序被設置有質量流控制器(MFC)15b以及開閉閥V1。而後,從處理氣體供給源15,作為用以進行電漿蝕刻之處理氣體,而例如將Ar、O2 、C4 F8 、HBr、NF3 、C4 F6 、CF4 等之氣體,透過氣體供給配管15a來供給至氣體擴散室16c中,並從此氣體擴散室16c來透過氣體通流孔16d以及氣體導入孔16e而以噴淋狀來分散供給至處理腔1內。
在上述之作為上部電極的噴淋頭16處,係透過低通濾波器(LPF)51而被與可變直流電源52作電性連接。此可變直流電源52,係藉由ON、OFF開關53而使得供電之ON、OFF成為可能。可變直流電源52之電流、電壓以及ON、OFF開關53之ON、OFF,係成為經由後述之控制部60而被作控制。另外,如同後述一般,在從第1高頻電源10a、第2高頻電源10b而將高頻對於載置台2作施加並在處理空間中使電漿產生時,係因應於必要而藉由控制部60來將ON、OFF開關53設為ON,並對於作為上部電極之噴淋頭16施加特定之直流電壓。
從處理腔1之側壁起,以延伸至較噴淋頭16之高度位置而更上方的方式,而被設置有圓筒狀之接地導體1a。此圓筒狀之接地導體1a,係於其之上部具備有頂板。
在處理腔1之底部,係被形成有排氣口71,於此排氣口71處,係透過排氣管72而被連接有排氣裝置73。排氣裝置73,係具備有真空幫浦,並成為能夠藉由使此真空幫浦動作來將處理腔1內減壓至特定之真空度。另一方面,在處理腔1之側壁,係被設置有晶圓W之搬入搬出口74,在此搬入搬出口74處,係被設置有將該搬入搬出口74作開閉之閘閥75。
圖中之76、77,係為被設為可自由裝卸之堆積物遮罩。堆積物遮罩76,係被沿著處理腔1之內壁面作設置,堆積遮罩77,係以包圍支持台4以及載置台2之周圍的方式而被作設置。此些之堆積物遮罩76、77,係具備有防止蝕刻副生成物(堆積物)附著在處理腔1之內壁等處的作用。
上述構成之電漿蝕刻裝置,係經由控制部60而對於其之動作作統籌性控制。在此控制部60處,係被設置有:具備有CPU並且對於電漿蝕刻裝置之各部作控制的製程控制器61、和使用者介面62、以及記憶部63。
使用者介面62,係由工程管理者為了對電漿蝕刻裝置作管理而進行指令之輸入操作等的鍵盤、或是將電漿蝕刻裝置之動作狀態可視化而顯示的顯示器等,而構成之。
在記憶部63處,係儲存有配方,該配方,係記錄有用以將由電漿蝕刻裝置所實行之各種處理在製程控制器61之控制下而實現之控制程式(軟體)或者是處理條件資料等。而後,因應於需要,藉由以從使用者介面62而來之指示等而將任意之配方從記憶部63呼叫出,並在製程控制器61中實行,而能在製程控制器61之控制下,進行在電漿蝕刻裝置中之所期望的處理。又,控制程式或者是處理條件資料等之配方,係可利用身為被儲存於可藉由電腦來讀取的電腦記憶媒體(例如硬碟、CD、軟碟、半導體記憶體等)中之狀態者,或者是,亦可從其他之裝置例如經由專用之線路而隨時進行傳輸並作線上利用。
針對藉由如此這般所構成之電漿蝕刻裝置來對於被形成在半導體晶圓W上之絕緣膜等進行電漿蝕刻的處理程序作說明。首先,閘閥75係被開啟,半導體晶圓W係藉由未圖示之搬送機器人等而透過未圖示之裝載鎖定室來從搬入搬出口74而被搬入至處理腔1內,並被載置在載置台2上。之後,使搬送機器人退避至處理腔1外,並將閘閥75關閉。之後,藉由排氣裝置73之真空幫浦來透過排氣口71而將處理腔1內排氣。
在處理腔1內成為了特定之真空度後,在處理腔1內,係從處理氣體供給源15而被導入有特定之處理氣體(蝕刻氣體),處理腔1內係被保持於特定之壓力、例如保持於4.7Pa(35mTorr),在此狀態下,從第1高頻電源10a而對於載置台2施加頻率例如為40MHz之高頻電力。又,從第2高頻電源10b,係為了將離子拉入,而對於載置台2供給有頻率例如為2.0MHz之高頻電力(偏壓用)。此時,係從直流電源12而對於靜電吸盤6之電極6a施加特定之直流電壓,半導體晶圓W係藉由庫倫力而被作吸附。
於此情況,藉由如同上述一般地而對於身為下部電極之載置台2施加高頻電力,在身為上部電極之噴淋頭16和身為下部電極之載置台2之間係形成有電場。在半導體晶圓W所存在之處理空間中,係產生放電,並藉由此而形成處理氣體之電漿,經由此,被形成在半導體晶圓W上之絕緣膜等係被作蝕刻處理。此時,因應於需要,ON、OFF開關53係被設為ON,並從可變直流電源52來對於作為上部電極之噴淋頭16施加特定之直流電壓。又,在此蝕刻處理時,係使由將處理氣體中之至少一種的氣體之流量設為第1流量之第1工程和設為與第1流量相異的第2流量之第2工程所成之1個循環的工程,在途中而並不使電漿消失地來連續性反覆進行至少3次以上。關於此些之第1工程以及第2工程的詳細內容,係於後再述。
而後,若是上述之蝕刻處理結束,則停止高頻電力之供給、直流電壓之供給以及處理氣體之供給,並藉由與上述之處理程序相反的程序,來將半導體晶圓W從處理腔1內搬出。
接著,針對使用上述構成之電漿蝕刻裝置200而實行之半導體裝置之製造方法的實施形態作說明。圖2,係為對於在本實施形態中而進行以電漿蝕刻所致之圖案化的半導體晶圓W之構造的例子作模式性展示者。
如圖2(a)中所示一般,在矽基板101之表面,係從下側起而依序被形成有氮化矽膜102(厚度為例如20nm)、氧化矽膜103(厚度為例如500nm)、碳膜104(厚度為例如670nm)、氧化矽膜105(厚度為例如40nm)、反射防止膜106。而,在反射防止膜106之上,係被形成有被圖案化為特定形狀(在本實施形態中,係為被以特定之間隔而形成具有特定之內徑的孔之形狀)之2層的光阻膜107、光阻膜108。
在本實施形態中,係從圖2(a)所示之狀態起,而首先對於反射防止膜106和氧化矽膜105進行電漿蝕刻,並設為圖2(b)所示之狀態,接著,對於碳膜104進行電漿蝕刻,而設為圖2(c)所示之狀態。
之後,對於氧化矽膜103進行電漿蝕刻,並設為圖2(d)所示之狀態。接著,藉由灰化來將氧化矽膜103上所殘留之碳膜104除去,並設為圖2(e)所示之狀態,最後,對於氮化矽膜102進行蝕刻,而設為圖2(f)所示之狀態。在此狀態下,係成為將貫通厚度約500nm之氧化矽膜103和厚度為20nm之氮化矽膜102的孔狀之圖案,以特定之間隔而作複數形成。
在本實施形態中,係針對將上述之氧化矽膜103作電漿蝕刻的情況作說明。此時,作為處理氣體,例如,係使用氟素化合物氣體和O2 氣體以及Ar氣體之混合氣體等。作為氟素化合物氣體,例如係可合適地使用C4 F6 氣體。而,在本實施形態中,係使由將讓蝕刻進行之C4 F6 氣體之流量設為第1流量之第1工程和設為與第1流量相異的第2流量之第2工程所成之1個循環的工程,在途中而並不使電漿消失地來連續性反覆進行至少3次以上。
此時,實施第1工程之第1時間、和實施第2工程之第2時間,係設為1秒以上15秒以下,更理想,係設為2.5秒以上10秒以下。針對其理由,係於後再述。
又,在第1工程中之處理氣體的總流量、和在第2工程中之處理氣體的總流量,較理想,係設為略相等,在相異之情況時,其總流量之差,係以設為較多一方之總流量的10%以下的範圍為理想。亦即是,例如,當在第1工程中之處理氣體的總流量係較在第2工程中之處理氣體的總流量更多,而為1000sccm的情況時,在第2工程中之處理氣體的總流量,係設為900sccm以上未滿1000sccm之範圍。藉由此,而將在第1工程中之處理腔內的壓力和在第2工程中之處理腔內的壓力設為略相同,並設為不會使被設置在處理腔內之APC(自動壓力控制裝置)的控制閥動作之程度的壓力變動範圍,而能夠對於電漿蝕刻處理之狀態大幅度變動的情形作抑制。
進而,在第1工程和第2工程之兩者中,均係設為在處理氣體中包含有使被蝕刻膜(在本實施形態的情況時,係為氧化矽膜)之蝕刻進行的氣體。故而,在本實施形態中,係在第1工程和第2工程之雙方,均設為於處理氣體中包含有氟素化合物氣體。藉由此,係能夠對於蝕刻速率降低的情形作抑制。
作為實施例1,使用圖1中所示之電漿蝕刻裝置,並藉由下述之條件而實施了氧化矽膜103之電漿蝕刻。
壓力:4.7Pa(35mTorr)
高頻電力(H/L):2000/4000W
直流電壓:150V
處理氣體(第1工程):C4 F6 /O2 /Ar=60/65/900sccm
處理氣體(第2工程):C4 F6 /O2 /Ar=80/65/900sccm
處理時間:(第1工程10秒+第2工程10秒)×4(總共80秒)過蝕刻41%
溫度(上部/側壁/載置台):150/150/60℃
晶圓背面側氦氣壓力(中央部/週邊部):2000/5300Pa(15/40Torr)
在上述之實施例1中,係可形成所期望之形狀的孔之圖案(孔徑45nm),而能夠將其與基底層之氮化矽膜102間的選擇比設為約40。於圖3(a)中,展示此時之圖案的電子顯微鏡照片。
作為比較例1,並不如同上述一般地而對於C4 F6 之氣體流量週期性地作變更,而設為一定之60sccm,並將處理時間設為90秒(過蝕刻50%),其他條件,係設為與實施例1相同,而進行了相同之電漿蝕刻。又,作為比較例2,並不如同上述一般地而對於C4 F6 之氣體流量週期性地作變更,而設為一定之80sccm,並將處理時間設為90秒(過蝕刻62%),其他條件,係設為與實施例1相同,而進行了相同之電漿蝕刻。於圖3(b)中,展示此比較例1之圖案的電子顯微鏡照片,於圖3(c)中,展示比較例2之圖案的電子顯微鏡照片。在比較例1中,雖然圖案形狀係為良好,但是,其與基底層之氮化矽膜102間的選擇比係為19.1而為低。又,在比較例2中,雖然其與基底層之氮化矽膜102間的選擇比係為34.9而為高,但是,貫穿性係為差,而存在有多數之被作了蝕刻阻擋的圖案。
如同上述一般,在實施例1中,相較於將C4 F6 之氣體流量設為一定而進行了電漿蝕刻的情況,係能夠以高選擇比而形成良好形狀之圖案。
接著,針對將對於形成在覆面(Blanket)晶圓上之熱氧化膜所進行的電漿蝕刻,藉由與上述實施例1相同條件(但是,處理時間為80秒)來進行的情況(實施例1-2)、藉由與比較例1相同條件(但是,處理時間為80秒)來進行的情況(比較例1-2)、藉由與比較例2相同條件(但是,處理時間為80秒)來進行的情況(比較例2-2)下,其蝕刻速率之面內均一性作了調查。進而,針對並不對於C4 F6 之氣體流量週期性地作變更,而設為一定之70sccm(處理時間為80秒)的情況(比較例3-2),亦進行了調查。
將此些之結果,展示在圖4~7中之圖表中,又,縱軸係為蝕刻速率,橫軸係為在晶圓面內之位置。另外,在此些之圖表中,黑色的圓,係為沿著X方向所測定之值,留白的圓,係為沿著與X方向相正交之Y方向所測定的值。如圖4中所示一般,在實施例1-2中,平均之蝕刻速率係為430.1nm/min,均一性(uniformity)係成為8.1%。
相對於此,在圖5所示之比較例1-2中,平均之蝕刻速率係為413.5nm/min,均一性係成為17.5%,晶圓之中央部的蝕刻速率降低而在週邊部處變高的傾向,係為顯著。又,在圖6所示之比較例2-2中,平均之蝕刻速率雖係成為141.nm/min左右,但是,在晶圓之週邊部和中央部之間的中間部處,係存在有堆積物為多而難以進行膜厚測定的部分(圖中之並未描繪點的部分)。又,在圖7所示之比較例3-2中,平均之蝕刻速率係為463.3nm/min而變高,但是,均一性係成為11.6%而變低,晶圓之中央部的蝕刻速率降低而在週邊部處變高的傾向,係成為顯著。
如同上述一般,可以確認到:在將C4 F6 之氣體流量週期性地作了變更之實施例1-2中,相較於將C4 F6 之氣體流量設為一定的各比較例,其蝕刻速率係為高,且均一性亦為良好。
在上述之實施例1、實施例1-2中,雖係將第1工程以及第2工程之時間設為10秒,並進行4循環之週期性變更,但是,此第1工程以及第2工程之時間,係以設為1秒以上15秒以下為理想,又以設為2.5秒以上10秒以下為更理想。以下,針對其理由作說明。
圖8,係為對於在上述之實施例1-2中而將第1工程以及第2工程之時間設為40秒並進行了1個循環的情況時之蝕刻速率以及其之面內均一性作了調查的結果作展示,圖9,係為對於將第1工程以及第2工程之時間設為20秒並進行了2個循環的情況時之蝕刻速率以及其之面內均一性作了調查的結果作展示,圖10,係為對於將第1工程以及第2工程之時間設為5秒並進行了8個循環的情況時之蝕刻速率以及其之面內均一性作了調查的結果作展示,圖11,係為對於將第1工程以及第2工程之時間設為2.5秒並進行了16個循環的情況時之蝕刻速率以及其之面內均一性作了調查的結果作展示,圖12,係為對於將第1工程以及第2工程之時間設為1秒並進行了40個循環的情況時之蝕刻速率以及其之面內均一性作了調查的結果作展示,圖13,係為對於將第1工程以及第2工程之時間設為0.5秒並進行了80個循環的情況時之蝕刻速率以及其之面內均一性作了調查的結果作展示。
如圖13中所示一般,在將第1工程以及第2工程之時間設為了0.5秒的情況時,係成為與連續性地將C4 F6 以一定之氣體流量70sccm來作了流動的情況(比較例3-2(展示於圖7中))略相同之結果,而幾乎不會產生效果。另外,此情況下之平均的蝕刻速率係為461.7nm/min,均一性係為10.6%。
又,如圖12中所示一般,在將第1工程以及第2工程之時間設為了1秒的情況時,相較於連續性地將C4 F6 以一定之氣體流量70sccm來作了流動的情況(比較例3-2(展示於圖7中)),係出現有蝕刻速率之均一化的效果。另外,此情況下之平均的蝕刻速率係為454.5nm/min,均一性係為9.1%。
而,當在圖11中所示一般之將第1工程以及第2工程之時間設為了2.5秒的情況時(平均之蝕刻速率為446.8nm/min,均一性為8.6%)、以及在圖10中所示一般之將第1工程以及第2工程之時間設為了5秒的情況時(平均之蝕刻速率為447.3nm/min,均一性為7.2%),蝕刻速率之均一化的效果係逐漸變大。
然而,若是相較於將第1工程以及第2工程之時間設為了10秒的前述實施例1-2而更加使第1工程以及第2工程之時間增長,而設為20秒,則如圖9中所示一般,相較於連續性地將C4 F6 以一定之氣體流量70sccm來作了流動的情況(比較例3-2(展示於圖7中)),蝕刻速率係降低,且均一性亦變差。此情況下之平均的蝕刻速率係為364.7nm/min,均一性係為27.2%左右。另外,在圖9中,一部份之並未描繪點的部位,係為堆積物多而難以進行膜厚測定的部分。
而,在如圖8中所示一般地將第1工程以及第2工程之時間設為了40秒的情況時,相較於連續性地將C4 F6 以一定之氣體流量70sccm來作了流動的情況(比較例3-2(展示於圖7中)),蝕刻速率亦係降低,且均一性亦變差。
由上述之結果,可以得知,第1工程以及第2工程之時間,係以設為1秒以上15秒以下為理想,又以設為2.5秒以上10秒以下為更理想。將第1工程以及第2工程之時間如此這般地作設定而能夠得到良好結果的理由,可以想見係由於在電漿蝕刻中而產生有電漿之狀態作微妙的改變之過渡狀態之故。
圖14,係將縱軸設為發光強度,並將橫軸設為經過時間,而對於在使氣體流量作了改變的情況時之電漿狀態的時間性變化的模樣作了調查的結果作展示。另外,此時之電漿產生條件,係為:
壓力:4.0Pa(30mTorr)
高頻電力(H/L):500/150W
處理氣體(第1工程):HBr/Cl2 /NF3 =160/20/20sccm
處理氣體(第2工程):HBr/Cl2 /NF3 =140/20/40sccm
在同圖中,各曲線,係從上側起而依序代表波長226nm:CO,SiCl、波長337nm:N2 ,NH、波長440nm:SiF,Cl2+ ,SiN之發光強度。如同該圖中所示一般,若是使位在處理腔之外部的閥動作並對於第1工程和第2工程作切換(使NF3 氣體流量增大並使HBr減少),則如同該圖(A)中所示一般,在3秒左右之後,電漿之狀態係開始改變,並在10秒左右而成為安定之狀態。亦即是,於此情況,係產生有7秒左右之過渡狀態。另外,在從第2工程而切換為第1工程(使NF3 氣體流量減少並使HBr增大)的情況時,如同該圖(B)中所示一般,在3秒左右之後,電漿之狀態係開始改變,並在7秒左右而成為安定之狀態。亦即是,係較該圖(A)所示之情況而在更短時間內成為安定之狀態。另外,處理腔之容量,係為約68公升。
當如此這般地對於第1工程和第2工程作切換時而過渡狀態發生了7秒左右的情況時,若是將第1工程以及第2工程之時間設為5秒以下的短時間,則在處理時間中之絕大部分的時間係會成為過渡狀態,但是,電漿之狀態的改變會有無法到達定常狀態的可能性。於此,假設若是將第1工程以及第2工程之時間設定為8秒左右,則在處理時間中之絕大部分的時間係成為過渡狀態,並且,係能夠使電漿之狀態一直變化至成為略定常狀態。由於此種理由,因此,可以想見,經由將第1工程以及第2工程之時間以8秒為中心地來設為1秒~15秒左右,係能夠得到前述之效果。
圖15,係同樣地對於將電漿之產生條件設為:
壓力:4.7Pa(35mTorr)
高頻電力(H/L):2000/4000W
處理氣體(第1工程(10秒)):C4 F6 /O2 /Ar=60/65/200sccm
處理氣體(第2工程(10秒)):C4 F6 /O2 /Ar=80/65/200sccm
的情況時之電漿的發光強度作了測定的結果作展示。另外,於此情況,係對於波長250~270:CF之發光強度作展示。又,圖16,係對於在藉由與上述相同之條件而將第1工程以及第2工程之時間設為了5秒的情況時之發光強度作展示,進而,圖17,係對於將Ar氣體之流量增大為900sccm的情況時之發光強度作展示,進而,圖18,係對於將壓力設為了9.4Pa(70mTorr)的情況時之發光強度作展示。另外,處理腔之容量,係為約68公升。
如同此些之圖15~圖18中所示一般,若是使Ar氣體之流量增大,則發光強度之變動幅度係減少,若是使壓力增大,則發光強度之變動幅度係增大,但是,對於過渡狀態之時間性長度,係並不會賦予大的影響。
接著,針對實施例2作說明。在實施例2中,係對於厚度約600nm的碳膜進行電漿蝕刻並形成線與空間之圖案。在實施例2中所使用之半導體晶圓,係如圖19中所示一般,在厚度約1微米之熱氧化膜120之上,形成厚度約600nm之碳膜121,並於其上形成厚度約60nm之氧化矽膜(SiO2 膜)122、厚度約30nm之反射防止膜123。而後,在反射防止膜123之上,形成被圖案化為特定之圖案的厚度約100nm之光阻膜124。在實施例2中,係將此光阻膜124作為遮罩,來對於反射防止膜123以及氧化矽膜122進行蝕刻,之後,將此氧化矽膜122作為遮罩,來對於碳膜121進行了電漿蝕刻。
碳膜121之電漿蝕刻的條件,係如同下述一般:
壓力:0.67Pa(5mTorr)
高頻電力(H/L):500/500W
處理氣體(第1工程):HBr/O2 =40/40sccm
處理氣體(第2工程):HBr/O2 =0/80sccm
處理時間:(第1工程11秒+第2工程11秒)×4(總共88秒)
溫度(上部/側壁/載置台):100/80/40℃
晶圓背面側氦氣壓力(中央部/週邊部):1330/1330Pa(10/10Torr)
在實施例2中,係能夠一面獲取其與身為遮罩層之氧化矽膜122間的選擇比,一面對於厚度約600nm之碳膜121進行電漿蝕刻而形成線與空間之特定形狀的圖案。於圖20(a)中,展示此實施例2之圖案的電子顯微鏡照片。
作為比較例4,除了將處理氣體設為:
處理氣體:HBr/O2 =40/40sccm
而為一定之外,藉由與實施例2相同之條件,而進行了同一樣本之電漿蝕刻。其結果,係在蝕刻途中而發生蝕刻阻擋,而無法一直蝕刻至最後。於圖20(b)中,展示此比較例4之圖案的電子顯微鏡照片。
作為比較例5,除了將處理氣體設為:
處理氣體:O2 =80sccm
而為一定之外,藉由與實施例2相同之條件,而進行了同一樣本之電漿蝕刻。其結果,其與身為遮罩層之氧化矽膜122間的選擇比係並不充分,而無法維持遮罩之狀態,又,碳膜121之CD係變細。於圖20(c)中,展示此比較例5之圖案的電子顯微鏡照片。
接著,針對實施例3作說明。在實施例3中,係於位置在厚度約300nm的碳膜之下側處的厚度約400nm之非晶質矽膜上而形成了孔的圖案。在實施例3中所使用之半導體晶圓,係如圖21中所示一般,在厚度約400微米之非晶質矽膜131之上,形成厚度約300nm之碳膜132,並於其上形成厚度約60nm之氧化矽膜(SiO2 膜)133、反射防止膜134。而後,在反射防止膜134之上,形成被圖案化為特定之圖案的厚度約100nm之光阻膜135。在實施例3中,係將光阻膜135作為遮罩,來對於反射防止膜134以及氧化矽膜133進行蝕刻,之後,將此氧化矽膜133作為遮罩,來對於碳膜132進行蝕刻,再進而對於非晶質矽膜131進行了電漿蝕刻。
非晶質矽膜131之電漿蝕刻的條件,係如同下述一般:
壓力:16.0Pa(120mTorr)
高頻電力(H/L):2500/1300W
處理氣體(第1工程):NF3 /HBr/O2 =0/300/20sccm
處理氣體(第2工程):NF3 /HBr/O2 =5/300/20sccm
處理時間:(第1工程10秒+第2工程10秒)×3(總共60秒)
溫度(上部/側壁/載置台):100/80/80℃
晶圓背面側氦氣壓力(中央部/週邊部):1330/1330Pa(10/10Torr)
在實施例3中,係能夠對於厚度約400nm的非晶質矽膜131進行電漿蝕刻並形成特定形狀之孔的圖案。於圖22中,展示此實施例3之圖案的電子顯微鏡照片。
以上,雖係針對實施形態以及實施例而對於本發明作了說明,但是,當然的,本發明係並不被限定於該些之實施形態以及實施例,而可作各種之變形。
1...處理腔
2...載置台
15...處理氣體供給源
16...噴淋頭
10a...第1高頻電源
10b...第2高頻電源
60...控制部
200...電漿蝕刻裝置
W...半導體晶圓
[圖1]對於本發明之其中一種實施形態的電漿蝕刻裝置之構成作模式性展示的圖。
[圖2]用以對於本發明之其中一種實施形態的半導體裝置之製造方法作說明之圖。
[圖3]實施例1、比較例1、比較例2之圖案的電子顯微鏡照片。
[圖4]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖5]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖6]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖7]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖8]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖9]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖10]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖11]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖12]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖13]展示對於蝕刻速率之面內均一性作了調查後的結果之圖表。
[圖14]對於將工程作了切換時之電漿的發光強度的時間性變化作展示之圖表。
[圖15]對於將工程作了切換時之電漿的發光強度的時間性變化作展示之圖表。
[圖16]對於將工程作了切換時之電漿的發光強度的時間性變化作展示之圖表。
[圖17]對於將工程作了切換時之電漿的發光強度的時間性變化作展示之圖表。
[圖18]對於將工程作了切換時之電漿的發光強度的時間性變化作展示之圖表。
[圖19]對於實施例2的半導體晶圓之構造作展示之圖。
[圖20]實施例2、比較例3、比較例4之圖案的電子顯微鏡照片。
[圖21]對於實施例3的半導體晶圓之構造作展示之圖。
[圖22]實施例3之圖案的電子顯微鏡照片。
1...處理腔
1a...接地導體
2...載置台
3...絕緣板
3a...內壁構件
4...支持台
4a...冷媒流路
4b...冷媒入口配管
4c...冷媒出口配管
5...聚焦環
6...靜電吸盤
6a...電極
6b...絕緣體
10a...第1高頻電源
10b...第2高頻電源
11a...整合器
11b...整合器
12...直流電源
15...處理氣體供給源
15a...氣體供給配管
15b...質量流控制器
16...噴淋頭
16a...本體部
16b...上部頂板
16c...氣體擴散室
16d...氣體通流孔
16e...氣體導入口
16g...氣體導入口
30...背景氣體供給配管
45...絕緣性構件
51...低通濾波器
52...可變直流電源
53...ON、OFF開關
60...控制部
61...製程控制器
62...使用者介面
63...記憶部
71...排氣口
72...排氣管
73...排氣裝置
74...搬入搬出口
75...閘閥
76、77...堆積物遮罩
200...電漿蝕刻裝置
V1...開閉閥
W...半導體晶圓

Claims (3)

  1. 一種半導體裝置之製造方法,其係使用電漿蝕刻裝置,且該電漿蝕刻裝置具備:處理腔室,其係能夠真空排氣;下部電極,其係在前述處理腔室內載置形成有遮罩及被蝕刻膜的基板;上部電極,其係在前述處理腔室內與前述下部電極平行地相對向,且被接地;處理氣體供給部,其係對前述上部電極和前述下部電極之間的處理空間供給包含使進行前述被蝕刻膜之蝕刻的特定之複數種之氣體的處理氣體;第1高頻供電部,其係對前述下部電極,施加具有27MHz以上之頻率的第1高頻;及第2高頻供電部,其係對前述下部電極,施加具有適合離子拉入之頻率的第2高頻,在前述處理空間生成前述處理氣體之電漿而對前述基板施予蝕刻處理,該半導體裝置之製造方法之特徵為:對前述處理腔內供給由特定之複數種的氣體之混合氣體所形成的處理氣體,並且,使由將前述複數種之氣體中的至少1種之氣體的流量在第1時間中而設為第1流量之第1工程和在第2時間中而設為與前述第1流量相異的流量的第2流量之第2工程所形成之一個循環之工程,在途中並不使電漿消失地來連續性反覆進行至少3次以上,在前述第1工程中之前述處理氣體的總流量、和在前 述第2工程中之前述處理氣體的總流量,係為相同,或者是,當兩者為相異之情況時,總流量之差係為較多一方之總流量的10%以下,在前述第1工程和前述第2工程的兩者之中,均係在前述處理氣體中包含有隔著前述遮罩而使前述被蝕刻膜之蝕刻進行的氣體,並且,前述第1工程和前述第2工程係與上述被蝕刻膜之蝕刻同時進行,前述遮罩包含矽氧化膜,前述被蝕刻膜為非晶質矽膜,前述處理氣體僅由NF3 氣體、HBr氣體和O2 氣體所形成,在前述第1工程和前述第2工程中,將NF3 氣體之流量變更成前述第1流量和前述第2流量。
  2. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,前述第1時間以及前述第2時間,係為2.5秒以上10秒以下。
  3. 如申請專利範圍第1項或第2項所記載之半導體裝置之製造方法,其中,前述第1時間以及前述第2時間,係為相同。
TW100103440A 2010-02-05 2011-01-28 Manufacturing method of semiconductor device TWI508164B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010024552A JP5608384B2 (ja) 2010-02-05 2010-02-05 半導体装置の製造方法及びプラズマエッチング装置

Publications (2)

Publication Number Publication Date
TW201145384A TW201145384A (en) 2011-12-16
TWI508164B true TWI508164B (zh) 2015-11-11

Family

ID=44354057

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100103440A TWI508164B (zh) 2010-02-05 2011-01-28 Manufacturing method of semiconductor device

Country Status (5)

Country Link
US (2) US8491805B2 (zh)
JP (1) JP5608384B2 (zh)
KR (1) KR101742324B1 (zh)
CN (1) CN102169823B (zh)
TW (1) TWI508164B (zh)

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US8890271B2 (en) * 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR20130011569A (ko) * 2011-07-22 2013-01-30 삼성전자주식회사 콘택홀 형성 방법 및 이를 형성하기에 적합한 식각 장치
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082228A (ja) * 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6140575B2 (ja) * 2013-08-26 2017-05-31 東京エレクトロン株式会社 半導体装置の製造方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6158111B2 (ja) 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160012302A (ko) 2014-07-23 2016-02-03 삼성전자주식회사 기판 제조 방법 및 그에 사용되는 기판 제조 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9865471B2 (en) * 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
JP6498152B2 (ja) * 2015-12-18 2019-04-10 東京エレクトロン株式会社 エッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11037798B2 (en) * 2016-11-09 2021-06-15 Tokyo Electron Limited Self-limiting cyclic etch method for carbon-based films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
TWI793218B (zh) 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108346572B (zh) * 2018-02-09 2020-06-30 信利(惠州)智能显示有限公司 氧化硅膜和氮化硅膜的表面处理方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595627A (en) * 1995-02-07 1997-01-21 Tokyo Electron Limited Plasma etching method
US20060180571A1 (en) * 2005-02-16 2006-08-17 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0473287A (ja) 1990-07-11 1992-03-09 Mitsubishi Electric Corp 抄紙機の制御装置
JPH04125924A (ja) 1990-09-17 1992-04-27 Mitsubishi Electric Corp プラズマエッチング方法
JPH0883694A (ja) * 1994-09-09 1996-03-26 Oki Electric Ind Co Ltd プラズマ制御方法およびプラズマ発生装置
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP4073287B2 (ja) 2002-10-22 2008-04-09 株式会社ケンウッド 可倒式操作パネルの装着構造
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20080194107A1 (en) * 2007-02-08 2008-08-14 Nec Electronics Corporation Method of manufacturing semiconductor device
JP5277628B2 (ja) * 2007-12-21 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2008166844A (ja) * 2008-03-17 2008-07-17 Hitachi High-Technologies Corp プラズマ処理装置
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595627A (en) * 1995-02-07 1997-01-21 Tokyo Electron Limited Plasma etching method
US20060180571A1 (en) * 2005-02-16 2006-08-17 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program

Also Published As

Publication number Publication date
US20110195577A1 (en) 2011-08-11
CN102169823B (zh) 2015-11-25
US8772172B2 (en) 2014-07-08
CN102169823A (zh) 2011-08-31
JP2011165769A (ja) 2011-08-25
KR101742324B1 (ko) 2017-05-31
TW201145384A (en) 2011-12-16
KR20110091462A (ko) 2011-08-11
JP5608384B2 (ja) 2014-10-15
US8491805B2 (en) 2013-07-23
US20130302993A1 (en) 2013-11-14

Similar Documents

Publication Publication Date Title
TWI508164B (zh) Manufacturing method of semiconductor device
JP6580215B2 (ja) プラズマ処理方法
JP4912907B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP4652140B2 (ja) プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
TWI401741B (zh) Plasma etching method
TWI503881B (zh) A plasma etch method, a plasma etch apparatus, and a computer memory medium
TW201826386A (zh) 用於高深寬比結構之移除方法
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP6723659B2 (ja) プラズマ処理方法及びプラズマ処理装置
TWI525700B (zh) Plasma etching method, a plasma etching apparatus and a computer storage medium
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
US8129282B2 (en) Plasma etching method and computer-readable storage medium
TW201403705A (zh) 半導體裝置之製造方法及電腦記錄媒體
JP5064319B2 (ja) プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
JP2011049360A (ja) プラズマエッチング方法
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
TWI544543B (zh) A manufacturing method of a semiconductor device, and a computer recording medium
US20220093406A1 (en) Method and apparatus for processing a substrate
JP6226668B2 (ja) プラズマ処理方法
US8975190B2 (en) Plasma processing method
JP5058478B2 (ja) 半導体装置の製造方法、プラズマ処理方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体