TWI487004B - 圖案化的方法及記憶體元件的形成方法 - Google Patents
圖案化的方法及記憶體元件的形成方法 Download PDFInfo
- Publication number
- TWI487004B TWI487004B TW102107353A TW102107353A TWI487004B TW I487004 B TWI487004 B TW I487004B TW 102107353 A TW102107353 A TW 102107353A TW 102107353 A TW102107353 A TW 102107353A TW I487004 B TWI487004 B TW I487004B
- Authority
- TW
- Taiwan
- Prior art keywords
- region
- mask
- mask layer
- layer
- forming
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 136
- 238000000059 patterning Methods 0.000 title claims description 39
- 125000006850 spacer group Chemical group 0.000 claims description 93
- 239000011295 pitch Substances 0.000 claims description 40
- 239000000758 substrate Substances 0.000 claims description 39
- 230000002093 peripheral effect Effects 0.000 claims description 33
- 239000000463 material Substances 0.000 claims description 14
- 238000005530 etching Methods 0.000 claims description 6
- 239000013078 crystal Substances 0.000 claims 1
- 239000010410 layer Substances 0.000 description 148
- 230000015654 memory Effects 0.000 description 25
- 238000001039 wet etching Methods 0.000 description 11
- 238000001312 dry etching Methods 0.000 description 9
- 238000001459 lithography Methods 0.000 description 6
- 238000007796 conventional method Methods 0.000 description 5
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/40—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
- H10B41/41—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/40—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
- H10B41/42—Simultaneous manufacture of periphery and memory cells
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/40—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
- H10B41/42—Simultaneous manufacture of periphery and memory cells
- H10B41/43—Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
- H10B41/46—Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/40—EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
Description
本發明是有關於一種半導體製程及半導體元件的形成方法,且特別是有關於一種圖案化的方法及記憶體元件的形成方法。
非揮發性記憶體具有可多次進行資料之存入、讀取、抹除等特性,且當斷電時仍可保留已儲存的資訊,因而被廣泛應用於個人電腦及消費性電子產品中。隨著非揮發性記憶體的積集度越來越高,非揮發性記憶體的關鍵尺寸(critical dimension,CD)也越來越小。為了克服微影製程中光源解析度的限制,已發展了一種間隙壁自對準雙重圖案化(spacer self-aligned double patterning,SADP)的方法,以增加元件的積集度。然而,現行技術在定義記憶體的多個字元線時,鄰近周邊區之字元線的線寬會受到周邊區的影響而不易精確控制至目標值,使得字元線之關鍵尺寸均勻度(critical dimension uniformity,CDU)不佳,進而降低元件效能。
本發明提供一種圖案化的方法及記憶體元件的形成方
法,使得記憶體的字元線具有較佳的關鍵尺寸均勻度。
本發明提出一種圖案化的方法。提供基底,基底具有第
一區域及第二區域。於基底上依序形成目標層、犧牲層及第一罩幕層,其中第一罩幕層具有位於第一區域中的多個第一罩幕圖案以及位於第二區域中的多個第二罩幕圖案。以第一罩幕層為罩幕,移除部分犧牲層,以形成多個犧牲圖案。移除第一罩幕層。於各犧牲圖案之各側壁上形成間隙壁。移除犧牲圖案。至少移除第二區域中的間隙壁。於基底上形成第二罩幕層,覆蓋鄰近第二區域的部分第一區域。以第二罩幕層與剩餘的間隙壁為罩幕,移除部分目標層,以於第一區域上形成多個第一目標圖案,且於鄰近於第二區域的部分第一區域與部分第三區域上形成第二目標圖案移除第二罩幕層與剩餘的間隙壁。
在本發明的一實施例中,上述第二目標圖案之一側邊由
剩餘的上述間隙壁中之一者定義,另一側邊由上述第二罩幕層定義。
在本發明的一實施例中,上述第一區為晶胞區,而上述
第二區為周邊區。
在本發明的一實施例中,上述基底更具有一第三區域,
其中上述第二區域位於上述第一區域與上述第三區域之間。上述
第一罩幕層更具有位於上述第三區域中的多個第三罩幕圖案。上述第二罩幕層更覆蓋鄰近上述第二區域的部分上述第三區域。此外,以上述第二罩幕層與剩餘的上述間隙壁為罩幕,移除部分上述目標層,更包括於上述第三區域上形成多個第三目標圖案,且於鄰近於上述第二區域的部分上述第三區域上形成上述第二目標圖案。
在本發明的一實施例中,上述第一區與上述第三區為晶胞區,上述第二區為周邊區
在本發明的一實施例中,上述第二目標圖案之一側邊分別由剩餘的上述間隙壁中之一者定義,另一側邊分別是由上述第二罩幕層定義。
在本發明的一實施例中,上述的第一罩幕圖案及第三罩幕圖案具有相同線寬與相同間距。
在本發明的一實施例中,上述的第一罩幕圖案及第三罩幕圖案具有不同線寬或不同間距。
在本發明的一實施例中,上述的鄰近第一區域的部分第二罩幕圖案與第一區域的第一罩幕圖案具有相同線寬與相同間距。
在本發明的一實施例中,上述的鄰近第三區域的部分第二罩幕圖案與第三區域的第三罩幕圖案具有相同線寬與相同間距。
在本發明的一實施例中,上述的至少移除第二區域中的
間隙壁的方法包括以下步驟。於基底上形成第三罩幕層,覆蓋部分第一區域與部分第三區域,以至少裸露出第二區域。以第三罩幕層為罩幕,移除第二區域中的間隙壁,並同時移除第一區域與第三區域中的部分間隙壁,以切斷對應於犧牲圖案末端的間隙壁的迴路(loop)。移除第三罩幕層。
在本發明的一實施例中,上述基底更具有第四區域,上
述第一區域位於上述第二區域與上述第四區域之間。上述第一罩幕層更具有位於上述第四區域中的多個第三罩幕圖案。上述第二罩幕層更覆蓋鄰近上述第四區域的部分上述第一區域。以上述第二罩幕層與剩餘的上述間隙壁為罩幕,移除部分上述目標層更包括於鄰近於上述第四區域的部分上述第一區域上形成上述第二目標圖案。
在本發明的一實施例中,上述第一區為晶胞區,上述第
二區與上述第四區為周邊區。
在本發明的一實施例中,上述第二目標圖案之一側邊由
剩餘的上述間隙壁中之一者定義,另一側邊由上述第二罩幕層定義。
在本發明的一實施例中,鄰近上述第一區域的部分上述
第二罩幕圖案以及鄰近上述第四區域的部分上述第三罩幕圖案,與上述第一區域的上述第一罩幕圖案具有相同線寬與相同間距。
在本發明的一實施例中,至少移除上述第二區域中的上
述間隙壁的方法包括:於上述基底上形成第三罩幕層,覆蓋部分
上述第一區域,以至少裸露出上述第二區域與上述第四區域。以上述第三罩幕層為罩幕,移除上述第二區域與上述第四區域中的上述間隙壁,並同時移除上述第一區域中的部分上述間隙壁,以切斷對應於上述犧牲圖案末端的上述間隙壁的迴路。移除上述第三罩幕層。
在本發明的一實施例中,鄰近上述第一區域的部分上述
第二罩幕圖案,與上述第一區域的上述第一罩幕圖案具有相同線寬與相同間距。
在本發明的一實施例中,在形成上述第一罩幕層之後及
在形成上述犧牲圖案之前,更包括削減上述第一罩幕層,其中削減上述第一罩幕層的方法包括進行蝕刻製程。
在本發明的一實施例中,至少移除上述第二區域中的上
述間隙壁的方法包括:於上述基底上形成第三罩幕層,覆蓋部分上述第一區域,以至少裸露出上述第二區域。以上述第三罩幕層為罩幕,移除上述第二區域中的上述間隙壁,並同時移除上述第一區域中的部分上述間隙壁,以切斷對應於上述犧牲圖案末端的上述間隙壁的迴路。移除上述第三罩幕層在本發明的一實施例中,上述形成第一罩幕層的方法包括藉由光罩於犧牲層上形成第一罩幕層。
在本發明的一實施例中,上述的光罩的形成方法包括將
原始光罩資料中的用於定義第二目標圖案的資料移除,並於被移除區域及相鄰的空曠區域中加入多個虛設圖案(dummy patterns)
資料。
本發明另提出一種記憶體元件的形成方法。提供基底,
基底具有至少一晶胞區(cell area)與至少一周邊區(periphery area)。於基底上依序形成目標層、犧牲層及第一罩幕層,其中第一罩幕層具有位於晶胞區中的多個第一罩幕圖案及位於周邊區中的多個第二罩幕圖案。以第一罩幕層為罩幕,移除部分犧牲層,以形成多個犧牲圖案。移除第一罩幕層。於各犧牲圖案之各側壁上形成間隙壁。移除犧牲圖案。至少移除周邊區中的間隙壁。於基底上形成第二罩幕層,覆蓋鄰近周邊區的部分晶胞區。以第二罩幕層與剩餘的間隙壁為罩幕,移除部分目標層,以於晶胞區上形成多個字元線,且於鄰近周邊區的部分晶胞區上形成多個選擇閘極(select gate)。移除第二罩幕層與剩餘的間隙壁。
在本發明的另一實施例中,上述的第一罩幕圖案及第二罩幕圖案具有相同線寬與相同間距。
在本發明的另一實施例中,上述的鄰近晶胞區的部分第二罩幕圖案與晶胞區的第一罩幕圖案具有相同線寬與相同間距。
在本發明的另一實施例中,其中上述的周邊區中的上述的第二罩幕圖案呈鏡像對稱。
在本發明的另一實施例中,在形成上述的第一罩幕層之後及在形成犧牲圖案之前,更包括削減第一罩幕層。
在本發明的另一實施例中,上述的削減第一罩幕層的方法包括進行蝕刻製程。
在本發明的另一實施例中,上述的至少移除周邊區中的間隙壁的方法包括以下步驟。於基底上形成第三罩幕層,覆蓋部分晶胞區,以至少裸露出周邊區。以第三罩幕層為罩幕,移除周邊區中的間隙壁,並同時移除晶胞區中的部分間隙壁,以切斷對應於犧牲圖案末端的間隙壁的迴路。移除第三罩幕層。
在本發明的另一實施例中,上述的各選擇閘極之一側邊由剩餘的間隙壁中之一者定義,另一側邊由第二罩幕層定義。
在本發明的另一實施例中,上述的形成第一罩幕層的方法包括藉由光罩於犧牲層上形成第一罩幕層。
在本發明的另一實施例中,上述的光罩的形成方法包括將原始光罩資料中的用於定義選擇閘極的資料移除,並於被移除區域及相鄰的空曠區域中加入多個虛設圖案資料。
基於上述,在本發明的圖案化的方法及記憶體元件的形成方法中,是先將原始光罩資料中之密集區域的選擇閘極移除,並在被移除區域及鄰近密集區域的空曠區域中加入相同線寬與相同間距的多個虛設圖案,以形成經修改的光罩資料。由於經修改的所述光罩於空曠區中加入虛設圖案,因此藉由經修改的所述光罩來定義字元線時可避免習知的鄰近周邊區之字元線的線寬會受到周邊區的影響而造成關鍵尺寸均勻度不佳的問題。如此一來,可在不改變光罩數目的情況下,使得記憶體的字元線具有較佳的關鍵尺寸均勻度。
為讓本發明的上述特徵和優點能更明顯易懂,下文特舉
實施例,並配合所附圖式作詳細說明如下。
100‧‧‧基底
102‧‧‧目標層
104‧‧‧犧牲層
106、142、150、242、250‧‧‧罩幕層
106a‧‧‧第一罩幕圖案
106b、106b-1、106b-2‧‧‧第二罩幕圖案
106c‧‧‧第三罩幕圖案
108‧‧‧間隙壁層
110‧‧‧第一區域
111a、111b‧‧‧側邊
112‧‧‧圖案化目標層
112a‧‧‧第一目標圖案
112b‧‧‧第二目標圖案
112c‧‧‧第三目標圖案
114‧‧‧犧牲圖案
114a‧‧‧側壁
116‧‧‧經削減的罩幕層
118‧‧‧間隙壁
120‧‧‧第二區域
130‧‧‧第三區域
140‧‧‧第四區域
202‧‧‧原始光罩資料
202a‧‧‧第一目標圖案資料
202b‧‧‧第二目標圖案資料
202c‧‧‧第三目標圖案資料
204、206、206-1、206-2‧‧‧光罩資料
202d、202e、202f‧‧‧虛設圖案資料
210‧‧‧密集區域
211‧‧‧被移除區域
220‧‧‧空曠區域
410、420‧‧‧曲線
Pa
、Pb
、Pc
‧‧‧間距
Wa
、Wb
、Wc
‧‧‧線寬
圖1A至圖1M為依照本發明的一實施例的一種圖案化的方法的剖面示意圖。
圖2A至圖2C為依照本發明的一實施例的第一光罩設計流程圖。
圖2C-1及圖2C-2為依照本發明的另一實施例的第一光罩設計。
圖3A至圖3G為依照本發明的又一實施例的一種圖案化的方法的剖面示意圖。
圖4為根據本發明的圖案化的方法與用習知方法所得之關鍵尺寸均勻度的結果比較圖。
圖1A至圖1M為依照本發明的一實施例的一種圖案化的
方法的剖面示意圖。
請參照圖1A,首先,提供基底100,基底100具有第一
區域110、第二區域120及第三區域130,第二區域120位於第一區域110與第三區域130之間。基底100例如是矽基底或其他半導體基底。在一實施例中,第一區域110與第三區域130例如是
記憶體的晶胞區,且第二區域120例如是記憶體的周邊區或是空曠區。此外,於基底100上依序形成目標層102與犧牲層104。目標層102例如是單一層或堆疊層。在一實施例中,當目標層102例如是單一層時,其材料例如是摻雜多晶矽。在另一實施例中,當目標層102例如是包括介電層與導體層的堆疊層時,其材料例如是分別包括氧化矽和摻雜多晶矽。目標層102的形成方法例如是化學氣相沉積法(chemical vapor deposition,CVD)。犧牲層104的材料例如是碳層或是其他合適的材料,且其形成方法例如是化學氣相沉積法。
接著,在犧牲層104上形成罩幕層106。罩幕層106例如
是圖案化光阻層,其形成方法例如是進行微影製程。罩幕層106具有位於第一區域110中的多個第一罩幕圖案106a、位於第二區域120中的多個第二罩幕圖案106b以及位於第三區域130中的多個第三罩幕圖案106c。第二罩幕圖案106b包括第二罩幕圖案106b-1及第二罩幕圖案106b-2。此外,鄰近第一區域110的部分第二罩幕圖案106b-1與第一區域110的第一罩幕圖案106a具有相同線寬與相同間距,而鄰近第三區域130的部分第二罩幕圖案106b-2與第三區域130的第三罩幕圖案106c具有相同線寬與相同間距。
在一實施例中,第一罩幕圖案106a及第三罩幕圖案106c
用於形成記憶體的字元線,因此第一罩幕圖案106a及第三罩幕圖案106c可具有相同線寬與相同間距。亦即,第一罩幕圖案106a
的線寬Wa
和間距Pa
分別與第三罩幕圖案106c的線寬Wc
和間距Pc
相同。此外,鄰近第一區域110的部分第二罩幕圖案106b-1與第一區域110的第一罩幕圖案106a具有相同線寬與相同間距,而鄰近第三區域130的部分第二罩幕圖案106b-2與第三區域130的第三罩幕圖案106c具有相同線寬與相同間距,因此線寬Wa
、Wb
及Wc
均相同且間距Pa
、Pb
及Pc
亦均相同。舉例來說,線寬Wa
、Wb
及Wc
為約10奈米至150奈米,且間距Pa
、Pb
及Pc
為約20奈米至300奈米。然而,本發明不限於此。在另一實施例中,第一罩幕圖案106a及第三罩幕圖案106c亦可具有不同線寬或不同間距。此時,鄰近第一區域110的部分第二罩幕圖案106b-1的線寬和間距與鄰近第三區域130的部分第二罩幕圖案106b-2的線寬和間距不同。
在本實施例中,形成罩幕層106的方法包括藉由第一光
罩(未繪示)於犧牲層104上形成罩幕層106。
用於形成罩幕層106的第一光罩的設計概念是使罩幕層
106中鄰近第一區域110的部分第二罩幕圖案106b-1與第一區域110的第一罩幕圖案106a具有相同線寬與相同間距,而鄰近第三區域130的部分第二罩幕圖案106b-2與第三區域130的第三罩幕圖案106c具有相同線寬與相同間距。當第一罩幕圖案106a及第三罩幕圖案106c具有相同線寬與相同間距時,部分第二罩幕圖案106b-1及部分第二罩幕圖案106b-2亦具有相同線寬與相同間距。此時,位於第二區域120的中心部分的至少一第二罩幕圖案106b
可與部分第二罩幕圖案106b-1、106b-2具有相同線寬與相同間距,或者位於第二區域120的中心部分的至少一第二罩幕圖案106b可與部分第二罩幕圖案106b-1、106b-2具有不同線寬或不同間距。另外,當第一罩幕圖案106a及第三罩幕圖案106c具有不同線寬或不同間距時,部分第二罩幕圖案106b-1及部分第二罩幕圖案106b-2亦具有不同線寬或不同間距。此時,位於第二區域120的中心部分的至少一第二罩幕圖案106b可僅與部分第二罩幕圖案106b-1具有相同線寬與相同間距,或者可僅與部分第二罩幕圖案106b-2具有相同線寬與相同間距,又或者位於第二區域120的中心部分的至少一第二罩幕圖案106b可與部分第二罩幕圖案106b-1、106b-2皆具有不同線寬或不同間距。下文將以第一罩幕圖案106a及第三罩幕圖案106c具有相同線寬與相同間距之實施例來進行第一光罩設計流程的例示性說明。
圖2A至圖2C為依照本發明的一實施例的第一光罩設計
流程圖。請參照圖2A至圖2C,所述第一光罩的形成方法包括以下步驟。原始光罩資料202具有兩個密集區域210和一個空曠區域220。空曠區域220配置於密集區域210之間。第一目標圖案資料202a、第二目標圖案資料202b及第三目標圖案資料202c位於密集區域210中。第一目標圖案資料202a及第三目標圖案資料202c例如是字元線資料,而第二目標圖案資料202b例如是選擇閘極資料。
將原始光罩資料202中的第二目標圖案資料202b移除,
形成如圖2B所示的光罩資料204。接著,於被移除區域211及相鄰的空曠區域220中加入多個虛設圖案資料202d,形成如圖2C所示的光罩資料206。
在一實施例中,被移除區域211及相鄰的空曠區域220
中的多個虛設圖案資料呈鏡像對稱。然而,本發明不限於此,被移除區域211及相鄰的空曠區域220中的多個虛設圖案資料亦可不呈鏡像對稱。在一實施例中,當被移除區域211及相鄰的空曠區域220的距離可剛好加入相同線寬與相同間距的虛設圖案資料時,會形成如圖2C的配置。在另一實施例中,當被移除區域211及相鄰的空曠區域220的距離無法剛好加入相同線寬與相同間距的虛設圖案資料時,可在空曠區域220的中心部分加入二個虛設圖案資料202e或一個虛設圖案資料202f。具體言之,當在空曠區域220的中心部分加入二個虛設圖案資料202e時,多個虛設圖案資料202d、202e呈鏡像對稱,如圖2C-1所示的光罩資料206-1。當在空曠區域220的中心部分加入一個虛設圖案資料202f時,多個虛設圖案資料202d、202f呈鏡像對稱,如圖2C-2所示的光罩資料206-2。
請參照圖1B,在本實施例中,在形成罩幕層106之後削
減罩幕層106,以形成經削減的罩幕層116。削減罩幕層106的方法包括進行蝕刻製程,例如是乾式蝕刻製程。經削減的罩幕層116的線寬例如約5奈米至80奈米。然而,本發明不限於此,亦可依需求省略削減罩幕層106的步驟。
請參照圖1C與圖1D,然後,以經削減的罩幕層116為
罩幕,移除部分犧牲層104,以形成多個犧牲圖案114。移除部分犧牲層104的方法例如是進行乾式蝕刻製程或濕式蝕刻製程。接著,移除經削減的罩幕層116。移除經削減的罩幕層116的方法例如是進行濕式蝕刻製程。
請參照圖1E與圖1F,之後,於各犧牲圖案114與目標
層102上順應性地(conformally)形成間隙壁層108,並對間隙壁層108進行非等向性蝕刻(anisotropic etching)製程,以於各犧牲圖案114之各側壁114a上形成間隙壁118。間隙壁層108的材料例如是氧化矽或是其他絕緣材料,其形成方法例如是化學氣相沉積法。間隙壁層108的厚度例如約5奈米至80奈米,且間隙壁118的線寬例如約5奈米至80奈米。所需間隙壁118的線寬可由間隙壁層108的厚度控制。
請參照圖1G,接著,移除犧牲圖案114。移除犧牲圖案
114的方法例如是進行乾式蝕刻製程或濕式蝕刻製程。
請參照圖1H、圖1I及圖1J,接著,至少移除第二區域
120中的間隙壁118。移除間隙壁118的方法例如是進行乾式蝕刻製程或濕式蝕刻製程。在本實施例中,至少移除第二區域120中的間隙壁118的方法包括以下步驟。於基底100上形成罩幕層142,罩幕層142覆蓋部分第一區域110與部分第三區域130,以裸露出第二區域120以及第一區域110與第三區域130中對應於犧牲圖案114末端的間隙壁118(未繪示)。罩幕層142例如是圖
案化光阻層,其形成方法例如是利用第二光罩(未繪示)進行微影製程。以罩幕層142為罩幕,移除第二區域120中的間隙壁118,並同時移除第一區域110與第三區域130中的部分間隙壁118,以切斷對應於犧牲圖案114末端的間隙壁118的迴路。接著,移除罩幕層142。移除罩幕層142的方法例如是進行濕式蝕刻製程。
請參照圖1K、圖1L及圖1M,然後,於基底100上形成
罩幕層150,罩幕層150覆蓋鄰近第二區域120的部分第一區域110與部分第三區域130。罩幕層150例如是圖案化光阻層,其形成方法例如是利用第三光罩(未繪示)進行微影製程。以罩幕層150與剩餘的間隙壁118為罩幕,移除部分目標層102,以形成圖案化目標層112。移除部分目標層102的方法例如是進行乾式蝕刻製程,詳言之,以罩幕層150與剩餘的間隙壁118為罩幕,移除部分目標層102,以於第一區域110上形成多個第一目標圖案112a、於第三區域130上形成多個第三目標圖案112c,且於鄰近於第二區域120的部分第一區域110與鄰近於第二區域120的部分第三區域130上分別形成第二目標圖案112b。在一實施例中,各第二目標圖案112b之一側邊111a由剩餘的間隙壁118中之一者定義,另一側邊111b由罩幕層150(或第三光罩)定義。第一目標圖案112a的線寬例如約5奈米至80奈米,第二目標圖案112b的線寬例如大於約80奈米,而第三目標圖案112c的線寬例如約5奈米至80奈米。在一實施例中,第一目標圖案112a與第三目標圖案112c作為記憶體的字元線,且第二目標圖案112b作為記憶
體的選擇閘極。接著,移除罩幕層150與剩餘的間隙壁118。移除罩幕層150的方法例如是進行濕式蝕刻製程。移除剩餘的間隙壁118的方法例如是進行乾式蝕刻製程或濕式蝕刻製程。
以上的實施例是以第一區域110與第三區域130為記憶
體的晶胞區,而夾在第一區域110與第三區域130之間的第二區120為記憶體的周邊區(或是空曠區)來說明。然而,本發明並不以此為限,本發明之周邊區(或是空曠區)不一定要夾在兩個晶胞區之間。本發明可以應用於記憶胞區與周邊區(或是空曠區)相鄰之圖案化製程,以可避免習知的鄰近周邊區之圖案的線寬會受到周邊區的影響而造成關鍵尺寸均勻度不佳的問題。以下舉其他兩種不同的實施例來說明,但本發明並不以此為限。
在另一實施例中,請參照圖1M,基底100可以是包括第
一區域110與第二區域120,但不包括第三區域130。其中,第一區域110為記憶體的晶胞區,而第二區120為記憶體的周邊區或是空曠區。此實施例的圖案化的方法,可以採用如上述圖1A至1M所揭露的方法,於此不再贅述。
圖3A至圖3G為依照本發明的另一實施例的一種圖案化
的方法的剖面示意圖。
在又一實施例中,請參照圖3A,基底100可以是包括第
一區域110與第二區域120,且另外包括第四區域140,但不包括圖1A中的第三區域130。其中,第一區域110位於第四區域140與第二區域120之間。在一實施例中,第一區域110例如是記憶
體的晶胞區,且第二區域120與第四區域140例如是記憶體的周邊區。依照上述圖1A至圖1G所揭露的方法,在第一區域110、第二區域120與第四區域140上形成目標層102與間隙壁層118。
接著,請參照圖3B、圖3C與圖3D,接著,至少移除第
二區域120與第四區域140中的間隙壁118。移除間隙壁118的方法例如是進行乾式蝕刻製程或濕式蝕刻製程。在本實施例中,至少移除第二區域120與第四區域140中的間隙壁118的方法包括以下步驟。於基底100上形成罩幕層242,罩幕層242覆蓋部分第一區域110,以裸露出第二區域120與第四區域140以及第一區域110中對應於犧牲圖案114末端的間隙壁118(未繪示)。罩幕層242例如是圖案化光阻層,其形成方法例如是利用第二光罩(未繪示)進行微影製程。以罩幕層242為罩幕,移除第二區域120與第四區域140中的間隙壁118,並同時移除第一區域110中的部分間隙壁118,以切斷對應於犧牲圖案114末端的間隙壁118的迴路。接著,移除罩幕層242。移除罩幕層242的方法例如是進行濕式蝕刻製程。
請參照圖3E、圖3F及圖3G,然後,於基底100上形成
罩幕層250,罩幕層250覆蓋鄰近第二區域120與第四區域140的部分第一區域110。罩幕層250例如是圖案化光阻層,其形成方法例如是利用第三光罩(未繪示)進行微影製程。以罩幕層250與剩餘的間隙壁118為罩幕,移除部分目標層102,以形成圖案化目標層112。移除部分目標層102的方法例如是進行乾式蝕刻製
程,詳言之,以罩幕層250與剩餘的間隙壁118為罩幕,移除部分目標層102,以於第一區域110上形成多個第一目標圖案112a,且於鄰近於第二區域120與第四區域140的部分第一區域110上分別形成第二目標圖案112b。在一實施例中,各第二目標圖案112b之一側邊111a由剩餘的間隙壁118中之一者定義,另一側邊111b由罩幕層250(或第三光罩)定義。第一目標圖案112a的線寬例如約5奈米至80奈米,第二目標圖案112b的線寬例如大於約80奈米。在一實施例中,第一目標圖案112a作為記憶體的字元線,且第二目標圖案112b作為記憶體的選擇閘極。接著,移除罩幕層250與剩餘的間隙壁118。移除罩幕層250的方法例如是進行濕式蝕刻製程。移除剩餘的間隙壁118的方法例如是進行乾式蝕刻製程或濕式蝕刻製程。
圖4為根據本發明的圖案化的方法與用習知方法所得之
關鍵尺寸均勻度的結果比較圖。曲線410為使用習知方法所得的關鍵尺寸(即,字元線線寬)的分布,而曲線420為使用根據本發明的圖案化的方法所得的關鍵尺寸(即,字元線線寬)的分布。圖中的字元線位置為晶胞區中字元線位置的依序編碼,曲線410、420的兩端點分別為晶胞區中鄰近周邊區的兩字元線。在圖4的曲線410中,習知方法(諸如使用圖2A所示的原始光罩資料202來形成罩幕層)之關鍵尺寸的分布範圍大於10奈米,而在圖4的曲線420中,根據本發明的圖案化的方法(諸如使用圖2C(或圖2C-1或圖2C-2)所示的光罩資料206(或光罩資料206-1或光罩資料
206-2)來形成罩幕層)之關鍵尺寸的分布範圍小於3奈米。因此,根據本發明的圖案化的方法可改善習知方法在字元線的最邊緣位置處的關鍵尺寸不易精確控制的問題,而具有較佳的關鍵尺寸均勻度。
綜上所述,在本發明的圖案化的方法及記憶體元件的形成方法中,是先將原始光罩資料中之密集區域的選擇閘極移除,並在被移除區域及鄰近密集區域的空曠區域中加入相同線寬與相同間距的多個虛設圖案,以形成經修改的光罩資料。藉由經修改的所述光罩來定義字元線。因最邊緣位置的字元線鄰近於具有相同線寬與相同間距的虛設圖案,因此本發明的圖案化的方法及記憶體元件的形成方法可避免現有技術在字元線的最邊緣位置處的關鍵尺寸不易精確控制(關鍵尺寸均勻度不佳)的問題。接著,移除不需要的虛設圖案。然後,形成選擇閘極。如此一來,可在不改變光罩數目的情況下,使得記憶體的字元線具有較佳的關鍵尺寸均勻度。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。
100‧‧‧基底
110‧‧‧第一區域
111a、111b‧‧‧側邊
112‧‧‧圖案化目標層
112a‧‧‧第一目標圖案
112b‧‧‧第二目標圖案
112c‧‧‧第三目標圖案
120‧‧‧第二區域
130‧‧‧第三區域
Claims (30)
- 一種圖案化的方法,包括:提供一基底,該基底具有一第一區域與一第二區域;於該基底上依序形成一目標層、一犧牲層及一第一罩幕層,其中該第一罩幕層具有位於該第一區域中的多個第一罩幕圖案以及位於該第二區域中的多個第二罩幕圖案;以該第一罩幕層為罩幕,移除部分該犧牲層,以形成多個犧牲圖案;移除該第一罩幕層;於各犧牲圖案之各側壁上形成一間隙壁;移除該些犧牲圖案;至少移除該第二區域中的該些間隙壁;於該基底上形成一第二罩幕層,覆蓋鄰近該第二區域的部分該第一區域;以該第二罩幕層與剩餘的該些間隙壁為罩幕,移除部分該目標層,以於該第一區域上形成多個第一目標圖案,且於鄰近於該第二區域的部分該第一區域上形成一第二目標圖案;以及移除該第二罩幕層與剩餘的該些間隙壁。
- 如申請專利範圍第1項所述的圖案化的方法,其中該第二目標圖案之一側邊由剩餘的該些間隙壁中之一者定義,另一側邊由該第二罩幕層定義。
- 如申請專利範圍第1項所述的圖案化的方法,其中該第一 區為晶胞區,而該第二區為周邊區。
- 如申請專利範圍第1項所述的圖案化的方法,其中:該基底更具有一第三區域,其中該第二區域位於該第一區域與該第三區域之間;該第一罩幕層更具有位於該第三區域中的多個第三罩幕圖案;該第二罩幕層更覆蓋鄰近該第二區域的部分該第三區域;以及以該第二罩幕層與剩餘的該些間隙壁為罩幕,移除部分該目標層,更包括於該第三區域上形成多個第三目標圖案,且於鄰近於該第二區域的部分該第三區域上形成該第二目標圖案。
- 如申請專利範圍第4項所述的圖案化的方法,其中該第一區與該第三區為晶胞區,該第二區為周邊區。
- 如申請專利範圍第4項所述的圖案化的方法,其中該些第二目標圖案之一側邊分別由剩餘的該些間隙壁中之一者定義,另一側邊分別是由該第二罩幕層定義。
- 如申請專利範圍第4項所述的圖案化的方法,其中該些第一罩幕圖案及該些第三罩幕圖案具有相同線寬與相同間距。
- 如申請專利範圍第4項所述的圖案化的方法,其中該些第一罩幕圖案及該些第三罩幕圖案具有不同線寬或不同間距。
- 如申請專利範圍第4項所述的圖案化的方法,其中鄰近該第一區域的部分該些第二罩幕圖案與該第一區域的該些第一罩幕 圖案具有相同線寬與相同間距。
- 如申請專利範圍第4項所述的圖案化的方法,其中鄰近該第三區域的部分該些第二罩幕圖案與該第三區域的該些第三罩幕圖案具有相同線寬與相同間距。
- 如申請專利範圍第4項所述的圖案化的方法,其中至少移除該第二區域中的該些間隙壁的方法包括:於該基底上形成一第三罩幕層,覆蓋部分該第一區域與部分該第三區域,以至少裸露出該第二區域;以該第三罩幕層為罩幕,移除該第二區域中的該些間隙壁,並同時移除該第一區域與該第三區域中的部分該些間隙壁,以切斷對應於該些犧牲圖案末端的該些間隙壁的迴路;以及移除該第三罩幕層。
- 如申請專利範圍第1項所述的圖案化的方法,其中:該基底更具有一第四區域,該第一區域位於該第二區域與該第四區域之間;該第一罩幕層更具有位於該第四區域中的多個第三罩幕圖案;該第二罩幕層更覆蓋鄰近該第四區域的部分該第一區域;以及以該第二罩幕層與剩餘的該些間隙壁為罩幕,移除部分該目標層更包括於鄰近於該第四區域的部分該第一區域上形成該第二目標圖案。
- 如申請專利範圍第12項所述的圖案化的方法,其中該第一區為晶胞區,該第二區與該第四區為周邊區。
- 如申請專利範圍第12項所述的圖案化的方法,其中該第二目標圖案之一側邊由剩餘的該些間隙壁中之一者定義,另一側邊由該第二罩幕層定義。
- 如申請專利範圍第12項所述的圖案化的方法,其中鄰近該第一區域的部分該些第二罩幕圖案以及鄰近該第四區域的部分該些第三罩幕圖案,與該第一區域的該些第一罩幕圖案具有相同線寬與相同間距。
- 如申請專利範圍第12項所述的圖案化的方法,其中至少移除該第二區域中的該些間隙壁的方法包括:於該基底上形成一第三罩幕層,覆蓋部分該第一區域,以至少裸露出該第二區域與該第四區域;以該第三罩幕層為罩幕,移除該第二區域與該第四區域中的該些間隙壁,並同時移除該第一區域中的部分該些間隙壁,以切斷對應於該些犧牲圖案末端的該些間隙壁的迴路;以及移除該第三罩幕層。
- 如申請專利範圍第1項所述的圖案化的方法,其中鄰近該第一區域的部分該些第二罩幕圖案,與該第一區域的該些第一罩幕圖案具有相同線寬與相同間距。
- 如申請專利範圍第1項所述的圖案化的方法,在形成該第一罩幕層之後及在形成該些犧牲圖案之前,更包括削減該第一 罩幕層,其中削減該第一罩幕層的方法包括進行蝕刻製程。
- 如申請專利範圍第1項所述的圖案化的方法,其中至少移除該第二區域中的該些間隙壁的方法包括:於該基底上形成一第三罩幕層,覆蓋部分該第一區域,以至少裸露出該第二區域;以該第三罩幕層為罩幕,移除該第二區域中的該些間隙壁,並同時移除該第一區域中的部分該些間隙壁,以切斷對應於該些犧牲圖案末端的該些間隙壁的迴路;以及移除該第三罩幕層。
- 如申請專利範圍第1項所述的圖案化的方法,其中形成該第一罩幕層的方法包括藉由一光罩於該犧牲層上形成該第一罩幕層。
- 如申請專利範圍第20項所述的圖案化的方法,其中該光罩的形成方法包括:將一原始光罩資料中的用於定義該些第二目標圖案的資料移除;以及於被移除區域及相鄰的空曠區域中加入多個虛設圖案資料。
- 一種記憶體元件的形成方法,包括:提供一基底,該基底具有至少一晶胞區與至少一周邊區;於該基底上依序形成一目標層、一犧牲層及一第一罩幕層,其中該第一罩幕層具有位於該晶胞區中的多個第一罩幕圖案及位於該周邊區中的多個第二罩幕圖案; 以該第一罩幕層為罩幕,移除部分該犧牲層,以形成多個犧牲圖案;移除該第一罩幕層;於各犧牲圖案之各側壁上形成一間隙壁;移除該些犧牲圖案;至少移除該周邊區中的該些間隙壁;於該基底上形成一第二罩幕層,覆蓋鄰近該周邊區的部分該晶胞區;以該第二罩幕層與剩餘的該些間隙壁為罩幕,移除部分該目標層,以於該晶胞區上形成多個字元線,且於鄰近該周邊區的部分該晶胞區上形成多個選擇閘極;以及移除該第二罩幕層與剩餘的該些間隙壁。
- 如申請專利範圍第22項所述的記憶體元件的形成方法,其中該些第一罩幕圖案及該些第二罩幕圖案具有相同線寬與相同間距。
- 如申請專利範圍第22項所述的記憶體元件的形成方法,其中鄰近該晶胞區的部分該些第二罩幕圖案與該晶胞區的該些第一罩幕圖案具有相同線寬與相同間距。
- 如申請專利範圍第24項所述的記憶體元件的形成方法,其中該周邊區中的該些第二罩幕圖案呈鏡像對稱。
- 如申請專利範圍第22項所述的記憶體元件的形成方法,在形成該第一罩幕層之後及在形成該些犧牲圖案之前,更包括削 減該第一罩幕層,且削減該第一罩幕層的方法包括進行蝕刻製程。
- 如申請專利範圍第22項所述的記憶體元件的形成方法,其中至少移除該周邊區中的該些間隙壁的方法包括:於該基底上形成一第三罩幕層,覆蓋部分該晶胞區,以至少裸露出該周邊區;以該第三罩幕層為罩幕,移除該周邊區中的該些間隙壁,並同時移除該晶胞區中的部分該些間隙壁,以切斷對應於該些犧牲圖案末端的該些間隙壁的迴路;以及移除該第三罩幕層。
- 如申請專利範圍第22項所述的記憶體元件的形成方法,其中各選擇閘極之一側邊由剩餘的該些間隙壁中之一者定義,另一側邊由該第二罩幕層定義。
- 如申請專利範圍第22項所述的記憶體元件的形成方法,其中形成該第一罩幕層的方法包括藉由一光罩於該犧牲層上形成該第一罩幕層。
- 如申請專利範圍第29項所述的記憶體元件的形成方法,其中該光罩的形成方法包括:將一原始光罩資料中的用於定義該些選擇閘極的資料移除;以及於被移除區域及相鄰的空曠區域中加入多個虛設圖案資料。
Priority Applications (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
TW102107353A TWI487004B (zh) | 2013-03-01 | 2013-03-01 | 圖案化的方法及記憶體元件的形成方法 |
US13/858,094 US8877647B2 (en) | 2013-03-01 | 2013-04-08 | Patterning method and method of forming memory device |
KR20130052367A KR101508368B1 (ko) | 2013-03-01 | 2013-05-09 | 패터닝 방법 및 메모리 장치를 형성하는 방법 |
CN201310176237.0A CN104022021B (zh) | 2013-03-01 | 2013-05-14 | 图案化的方法及存储器元件的形成方法 |
JP2013121851A JP5703339B2 (ja) | 2013-03-01 | 2013-06-10 | パターニング方法およびメモリ素子の形成方法 |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
TW102107353A TWI487004B (zh) | 2013-03-01 | 2013-03-01 | 圖案化的方法及記憶體元件的形成方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201435977A TW201435977A (zh) | 2014-09-16 |
TWI487004B true TWI487004B (zh) | 2015-06-01 |
Family
ID=51421133
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW102107353A TWI487004B (zh) | 2013-03-01 | 2013-03-01 | 圖案化的方法及記憶體元件的形成方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US8877647B2 (zh) |
JP (1) | JP5703339B2 (zh) |
KR (1) | KR101508368B1 (zh) |
CN (1) | CN104022021B (zh) |
TW (1) | TWI487004B (zh) |
Families Citing this family (131)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9177910B2 (en) * | 2012-04-18 | 2015-11-03 | Micron Technology, Inc. | Interconnect structures for integrated circuits and their formation |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US20150243511A1 (en) * | 2014-02-21 | 2015-08-27 | Kabushiki Kaisha Toshiba | Method of forming pattern and photo mask used therein |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9425058B2 (en) * | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
TWI621210B (zh) * | 2014-08-27 | 2018-04-11 | 聯華電子股份有限公司 | 一種製作半導體元件的方法 |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
KR102323456B1 (ko) | 2014-12-26 | 2021-11-10 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 제조 방법 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
CN105845574A (zh) * | 2015-01-14 | 2016-08-10 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法、电子装置 |
KR102323251B1 (ko) | 2015-01-21 | 2021-11-09 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 제조방법 |
KR102343859B1 (ko) * | 2015-01-29 | 2021-12-28 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9946827B2 (en) * | 2015-07-16 | 2018-04-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for mandrel and spacer patterning |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
KR102491661B1 (ko) | 2016-01-12 | 2023-01-26 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
KR20170091833A (ko) | 2016-02-01 | 2017-08-10 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
US9847339B2 (en) * | 2016-04-12 | 2017-12-19 | Macronix International Co., Ltd. | Self-aligned multiple patterning semiconductor device fabrication |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10354873B2 (en) * | 2016-06-08 | 2019-07-16 | Tokyo Electron Limited | Organic mandrel protection process |
TWI592759B (zh) * | 2016-06-08 | 2017-07-21 | 力晶科技股份有限公司 | 結構上的光阻圖案製程 |
CN107706095B (zh) * | 2016-06-20 | 2020-10-16 | 中芯国际集成电路制造(北京)有限公司 | 自对准双重构图方法、半导体器件及其制作方法、电子装置 |
KR102436634B1 (ko) * | 2016-06-27 | 2022-08-25 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
CN107634062B (zh) * | 2016-07-18 | 2020-11-17 | 中芯国际集成电路制造(北京)有限公司 | 半导体器件及其制作方法、电子装置 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
CN108933140B (zh) * | 2017-05-26 | 2020-07-28 | 中芯国际集成电路制造(天津)有限公司 | 半导体器件的制造方法 |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10727056B2 (en) | 2017-11-23 | 2020-07-28 | Yangtze Memory Technologies Co., Ltd. | Method and structure for cutting dense line patterns using self-aligned double patterning |
CN107968047A (zh) | 2017-11-23 | 2018-04-27 | 长江存储科技有限责任公司 | 一种sadp页缓冲器切断方法及结构 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
WO2019132889A1 (en) | 2017-12-27 | 2019-07-04 | Intel Corporation | Integrated circuits with line breaks and line bridges within a single interconnect level |
EP3732705A4 (en) | 2017-12-27 | 2021-08-04 | INTEL Corporation | METAL ISOLATOR METAL (MIM) STRUCTURE FOR HIGH VOLTAGE APPLICATIONS AND LOW VOLTAGE APPLICATIONS |
DE112017008330T5 (de) | 2017-12-27 | 2020-09-03 | Intel Corporation | Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene |
CN111133599A (zh) | 2017-12-27 | 2020-05-08 | 英特尔公司 | 多层金属-绝缘体-金属(mim)结构 |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US20200043722A1 (en) * | 2018-07-31 | 2020-02-06 | Applied Materials, Inc. | Cvd based spacer deposition with zero loading |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
CN111063611B (zh) * | 2018-10-17 | 2024-05-10 | 长鑫存储技术有限公司 | 微图案刻蚀方法 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN109904062A (zh) * | 2019-02-03 | 2019-06-18 | 中国科学院微电子研究所 | 纳米结构的制备方法 |
CN109950140B (zh) * | 2019-04-18 | 2021-11-05 | 上海华力微电子有限公司 | 一种自对准双层图形的形成方法 |
CN110828466B (zh) * | 2019-11-11 | 2022-03-29 | 上海华力微电子有限公司 | 字线制作方法 |
US11024511B1 (en) * | 2020-04-21 | 2021-06-01 | Winbond Electronics Corp. | Patterning method |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7368362B2 (en) * | 2004-08-31 | 2008-05-06 | Micron Technology, Inc. | Methods for increasing photo alignment margins |
US7488685B2 (en) * | 2006-04-25 | 2009-02-10 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
US8114723B2 (en) * | 2006-06-29 | 2012-02-14 | International Business Machines Corporation | Method of forming multi-high-density memory devices and architectures |
US8247291B2 (en) * | 2010-01-28 | 2012-08-21 | Samsung Electronics Co., Ltd. | Methods of forming fine patterns in integrated circuit devices and methods of manufacturing integrated circuit devices including the same |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7253118B2 (en) * | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
US7611944B2 (en) * | 2005-03-28 | 2009-11-03 | Micron Technology, Inc. | Integrated circuit fabrication |
JP2007150166A (ja) | 2005-11-30 | 2007-06-14 | Toshiba Corp | 半導体装置の製造方法 |
US7429533B2 (en) * | 2006-05-10 | 2008-09-30 | Lam Research Corporation | Pitch reduction |
US7611980B2 (en) * | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US7666578B2 (en) | 2006-09-14 | 2010-02-23 | Micron Technology, Inc. | Efficient pitch multiplication process |
CN101276818A (zh) * | 2007-03-30 | 2008-10-01 | 奇梦达股份公司 | 存储器件和导电线的阵列及其制造方法 |
KR100880323B1 (ko) * | 2007-05-11 | 2009-01-28 | 주식회사 하이닉스반도체 | 플래시 메모리 소자의 제조 방법 |
JP2009054956A (ja) * | 2007-08-29 | 2009-03-12 | Toshiba Corp | 半導体メモリ |
KR100914289B1 (ko) * | 2007-10-26 | 2009-08-27 | 주식회사 하이닉스반도체 | 스페이서를 이용한 반도체 메모리소자의 패턴 형성방법 |
KR101565796B1 (ko) * | 2008-12-24 | 2015-11-06 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 패턴 형성 방법 |
JP2010153481A (ja) | 2008-12-24 | 2010-07-08 | Toshiba Corp | 半導体記憶装置 |
KR101077453B1 (ko) | 2009-03-31 | 2011-10-26 | 주식회사 하이닉스반도체 | 반도체 소자의 패턴 형성 방법 |
US8383479B2 (en) * | 2009-07-21 | 2013-02-26 | Sandisk Technologies Inc. | Integrated nanostructure-based non-volatile memory fabrication |
JP5523912B2 (ja) | 2010-04-19 | 2014-06-18 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
KR101736983B1 (ko) | 2010-06-28 | 2017-05-18 | 삼성전자 주식회사 | 반도체 소자 및 반도체 소자의 패턴 형성 방법 |
KR101756226B1 (ko) * | 2010-09-01 | 2017-07-11 | 삼성전자 주식회사 | 반도체 소자 및 그 반도체 소자의 패턴 형성방법 |
US8455341B2 (en) * | 2010-09-02 | 2013-06-04 | Micron Technology, Inc. | Methods of forming features of integrated circuitry |
KR20120062385A (ko) * | 2010-12-06 | 2012-06-14 | 에스케이하이닉스 주식회사 | 반도체 메모리 소자의 형성방법 |
JP2012178378A (ja) | 2011-02-25 | 2012-09-13 | Tokyo Electron Ltd | 半導体装置の製造方法 |
-
2013
- 2013-03-01 TW TW102107353A patent/TWI487004B/zh active
- 2013-04-08 US US13/858,094 patent/US8877647B2/en active Active
- 2013-05-09 KR KR20130052367A patent/KR101508368B1/ko active IP Right Grant
- 2013-05-14 CN CN201310176237.0A patent/CN104022021B/zh active Active
- 2013-06-10 JP JP2013121851A patent/JP5703339B2/ja active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7368362B2 (en) * | 2004-08-31 | 2008-05-06 | Micron Technology, Inc. | Methods for increasing photo alignment margins |
US7488685B2 (en) * | 2006-04-25 | 2009-02-10 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
US8334211B2 (en) * | 2006-04-25 | 2012-12-18 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
US8114723B2 (en) * | 2006-06-29 | 2012-02-14 | International Business Machines Corporation | Method of forming multi-high-density memory devices and architectures |
US8247291B2 (en) * | 2010-01-28 | 2012-08-21 | Samsung Electronics Co., Ltd. | Methods of forming fine patterns in integrated circuit devices and methods of manufacturing integrated circuit devices including the same |
Also Published As
Publication number | Publication date |
---|---|
JP5703339B2 (ja) | 2015-04-15 |
KR20140109212A (ko) | 2014-09-15 |
KR101508368B1 (ko) | 2015-04-07 |
CN104022021B (zh) | 2016-09-28 |
US20140248773A1 (en) | 2014-09-04 |
CN104022021A (zh) | 2014-09-03 |
TW201435977A (zh) | 2014-09-16 |
US8877647B2 (en) | 2014-11-04 |
JP2014170912A (ja) | 2014-09-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI487004B (zh) | 圖案化的方法及記憶體元件的形成方法 | |
TWI556384B (zh) | 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩 | |
TWI556066B (zh) | 執行自對準微影蝕刻製程的方法 | |
CN104658980B (zh) | 存储器线路结构以及其半导体线路制作工艺 | |
TWI378494B (en) | Method for forming patterns in a semiconductor memory device | |
JP5432636B2 (ja) | 半導体素子及び半導体素子のパターン形成方法 | |
CN101304007B (zh) | 制造快闪存储器件的方法 | |
TWI488238B (zh) | 一種半導體線路製程 | |
JP2008066689A (ja) | 半導体素子の製造方法 | |
US9142641B1 (en) | Method for manufacturing finFET | |
KR20100098135A (ko) | 반도체 소자의 패턴 형성 방법 | |
TW200901278A (en) | Method of fabricating pattern in semiconductor device using spacer | |
KR20100104861A (ko) | 반도체 소자의 패턴 형성 방법 | |
JP2013089958A (ja) | 不揮発性メモリ装置の製造方法 | |
TWI566381B (zh) | 非揮發性記憶體及其製造方法 | |
JP2014053565A (ja) | 半導体記憶装置およびその製造方法 | |
TW201630164A (zh) | 隔離結構及具有其之非揮發性記憶體的製造方法 | |
JP2006332130A (ja) | 半導体装置の製造方法 | |
US20150243669A1 (en) | Memory device | |
US7381652B2 (en) | Method of manufacturing flash memory device | |
TW202143327A (zh) | 半導體圖案化製程 | |
JP2008091720A (ja) | 半導体装置の製造方法 | |
KR20090000882A (ko) | 반도체소자의 미세 패턴 형성방법 | |
TWI525752B (zh) | 非揮發性記憶體及其製作方法 | |
JP2013021013A (ja) | 半導体装置の製造方法 |