TWI479044B - 硼膜界面工程 - Google Patents

硼膜界面工程 Download PDF

Info

Publication number
TWI479044B
TWI479044B TW099120127A TW99120127A TWI479044B TW I479044 B TWI479044 B TW I479044B TW 099120127 A TW099120127 A TW 099120127A TW 99120127 A TW99120127 A TW 99120127A TW I479044 B TWI479044 B TW I479044B
Authority
TW
Taiwan
Prior art keywords
boron
layer
nitrogen
substrate
chamber
Prior art date
Application number
TW099120127A
Other languages
English (en)
Other versions
TW201111540A (en
Inventor
Mihaela Balseanu
Li-Qun Xia
Derek R Witty
Yi Chen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201111540A publication Critical patent/TW201111540A/zh
Application granted granted Critical
Publication of TWI479044B publication Critical patent/TWI479044B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Description

硼膜界面工程 交互參照之相關申請案
本發明係和美國專利申請案號11/765,257以及美國臨時專利申請案號61/042,638相關,該兩申請案之發明名稱皆為“BORON NITRIDE AND BORON NITRIDE-DERIVED MATERIALS DEPOSITION METHOD”。本發明亦和美國臨時專利申請案號61/106,076相關,該申請案之發明名稱為“GAPFILL IMPROVEMENT WITH HIGH LOW ETCH RATE DIELECTRIC LINERS”。
本發明係關於製造技術解決方式,其涉及用於薄膜和塗層之沉積、圖案化與處理的設備、製程與材料,代表性實例包括(但不限於)涉及下列的應用:半導體與介電材料與元件、矽系晶圓與平面面板顯示器(諸如TFTs)。
增加積體電路之密度可增加密度且致使新的應用。增加的密度會增加不期望之相鄰電路構件和導線間的電氣交互作用。通常,不希望的交互作用是藉由提供溝渠來避免,其中該些溝渠係以電絕緣材料來填充以實體地且電氣地隔離該些構件。然而,隨著電路密度增加,這些溝渠的寬度減少,這增加了其深寬比且使其漸漸難以填充溝渠而不留下孔洞。沒有被完全填充的溝渠是不樂見的,這是因為這會犧牲隔離程度,因而限制了最大操作頻率或不利地影響積體電路之操作。
一般用於填充間隙之應用的技術為化學氣相沉積(CVD)技術。傳統的熱CVD製程係供應反應性氣體到基材表面,熱引致化學反應在基材表面發生以製造一期望的膜。電漿增強CVD(“PECVD”)技術係藉由應用射頻(“RF”)能量到靠近基材表面之反應區域,以促進反應物氣體之激化與(或)解離,而建立電漿。電漿中物種之高反應性可減少任何需要以促進化學反應的熱能,藉此相較於傳統熱CVD製程而降低了需用在這樣之CVD製程的溫度。這些優點可進一步由高密度電漿(“HDP”)CVD技術來利用,其中一緻密電漿係形成在低真空壓力下以致離子化反應物形成了大部分的總反應物。對於同樣密度的離子化反應物,HDP-CVD中的平均自由徑可被延長,並且離子化反應物之撞擊速度可被增加且被方向性地控制。儘管這些技術之各者廣泛地落在「CVD技術」之領域下,其各具有多少使其適於特定應用的特徵性質。
將硼包含在CVD技術的膜生長中可降低相對於氮化矽之介電常數,因而改善了藉由以含硼膜來填充溝渠所提供之電氣隔離。可將介電常數減少一量,其中該量會依據所包含的硼量、存在於膜中的其他元素、及所使用的特定沉積技術而變化。硼的存在亦可降低蝕刻速率並造成膜性質中的其他改變,其對於半導體製造過程順序中之一給定步驟是所希望的。
特別是前段製程,沉積膜中硼的存在係引進了硼遷移到基材內的風險。硼是用來影響矽電晶體之主動區域之效能的一普遍摻質。添加硼可能將一輕摻雜區域改變成一較重摻雜區域,並且可能負面地影響元件的效能。因此,亟需發展可用以抑制硼擴散到下方層內之技術。
本文之態樣係關於沉積含硼襯裡層到未圖案化或圖案化基材上之方法。在實施例中,含硼襯裡層是一雙層,該雙層包括一起始層,該起始層包括阻障物材料以抑制擴散。
在一實施例中,本發明提供一種在一製程腔室中沉積一雙層襯裡於一基材上之一溝渠中的方法。該方法包括形成一起始層,該起始層具有小於或約15之厚度並且含有氮與(或)碳。該方法亦包括形成一含硼層於該起始層上。該起始層可減少硼從該含硼層到該基材內的擴散。
在另一實施例中,本發明提供一種在一製程腔室中沉積一雙層襯裡於一基材之表面上的方法。該方法包括形成一阻障物材料層於該製程腔室之內表面上,其中該阻障物材料層含有氮與(或)碳。該方法另包括將基材傳送到該製程腔室內。該方法另包括藉由在該製程腔室中引發一電漿以從該製程腔室之內表面再分佈一部分之擴散阻障物材料到該基材上,藉此形成一起始層於該基材上。該起始層具有小於或約15之厚度。該方法另包括形成一含硼層於該起始層上。
額外的實施例與特徵係部分地揭示在下文中,並且熟習此技藝之人士可在檢視說明書後對其部分地明瞭或可藉由實現所揭示之實施例來瞭解。所揭示之實施例的特徵與優點可藉由說明書中所描述之手段、組合與方法的方式來瞭解與獲得。
本文之態樣係關於沉積含硼襯裡層到未圖案化或圖案化基材上之方法。含硼襯裡層提供了涉及元件效能、元件壽命或製程流暢性的優點。在實施例中,含硼襯裡層是一雙層,其包括阻障物材料之一起始層以抑制硼從雙層擴散到下方基材內。對於一些基材,起始層亦可抑制材料從基材擴散到雙層內或越過雙層。
起始層可直接地被形成在基材上。或者,起始層可在不存在基材下藉由沉積阻障物材料於製程腔室之內表面上來形成。此製程在此可稱為調適(season)腔室。在基材被引進到製程腔室內後,能夠以電漿製程來再沉積阻障物材料,藉此形成起始層於基材上。或者,起始層可藉由化學氣相沉積(CVD)來沉積。在形成了起始層後,則形成了一含硼膜。含硼膜可在相同的腔室或不同的製程腔室中且破真空或不破真空以將基材暴露於大氣來形成。
含硼材料之襯裡層是可受益自在此描述之創新的一應用,但含硼層之其他應用亦可受益。適當的應用可以是形成在未圖案化或圖案化基材上之薄或厚膜。起始層一般可稱為阻障物層或擴散阻障物層。一圖案化基材可在基材之表面上具有一溝渠。溝渠之壁可以是均質的,或壁可由兩或多種材料來形成。在一些情況中,一部分之壁是由下方的基材材料來形成。諸如此之前段製程特別易於遭受硼擴散。
在此揭示之方法可包括在形成後續沉積之含硼襯裡層前起始層之形成。此起始層可以或不必含有硼。在揭示之實施例中,硼到基材內的遷移係藉由在形成含硼層前施加一起始層來抑制。在實施例中,起始層係抑制材料從基材擴散到雙層內或越過雙層。起始層係在此可交替地稱為阻障物層。
雙層之第一層為抑制層或阻障物層。在不同實施例中,起始層可包括氮化矽、氮氧化矽、碳化矽、或富氮氮化硼。這些材料與構成物之組合亦可提供作為起始層之阻障物材料的應用性。起始層本身可以是兩或更多層之組合且該些層之一或多層包括一擴散阻障物材料。這樣的起始層在此稱為異質起始層,並且該些層之一些層可包括硼、磷與其他摻質以例如促進黏附性。
起始層之後的層是一含硼襯裡層,並且可以是氮化硼(BN),但亦可以是氧化硼(B2 O3 )或碳化硼(BC)。其他含硼襯裡層可包括氮矽化硼(BSiN)、氧矽化硼(BSiO)、氮碳化硼(BCN)、氮硼化磷(PBN)、氮硼化矽(SiBN)、與氮矽碳化硼(BCSiN)膜。再次地,根據所揭示實施例,這些材料與構成物之組合可提供雙層中之襯裡層的應用性。
在此討論之雙層之應用性係關於含硼層之性質。有用的性質可包括物理強度、化學惰性、高溫穩定性、電氣絕緣性、與高介電常數。示範性應用係包括「前段」應用,諸如間隙壁層以及用於控制下方膜之應力的應變引致層。含硼膜和其他阻障物層的組合亦可用以提供比氮化矽膜更大的對蝕刻製程的抗性。主體(bulk)含硼膜和起始層的組合可用於以低k介電質來填充溝渠,相較於其他高密度間隙填充膜而言提升了溝渠之各側上區域間的電氣絕緣性。
無論應用為何,根據實施例之阻障物層係抑制硼擴散越過層。不將在此描述且請求之標的侷限在可能是或不是正確的理論假設,機制的一些討論有有助於瞭解在此描述之創新的重要性。硼擴散之抑制可能由高密度之起始層(例如氮化矽)造成,其中該高密度之起始層係提供低孔隙度與起始層內較少的硼鍵結位置。這樣的起始層將抑制硼擴散越過層。阻障物層可甚至含有硼,只要阻障物層內硼的移動性夠低。低硼移動性可起因於低孔隙度與(或)形成層以致含在膜內之硼大致上牢固地鍵結到膜內的其他構成物。一富氮氮化硼層是將硼牢固地侷限在阻障物層中的一種方式。
為了更加瞭解與理解本發明,現參照第1圖,其為根據所揭示實施例之一含硼雙層之沉積製程的流程圖。製程開始於操作110,其中一基材被傳送到製程腔室內。在操作115,一氮化矽之薄共形起始層被沉積在基材上。在不同實施例中,薄起始層可小於或約25、小於或約15、小於或約10、或小於或約5。對於具有一含矽頂層之基材,氮化矽起始層可包括來自頂層之矽與(或)來自含矽前驅物之矽(直接地或間接地被沉積在基材上)。一含硼層(例如氮化硼層)在操作120中被形成在起始層上,並且基材從製程腔室被移除(操作125)。
第2圖為根據所揭示實施例之一含硼雙層之沉積製程的另一流程圖。在傳送基材到製程腔室之前,腔室可被清潔(操作204)。藉由在腔室中於存在含氮前驅物與含矽前驅物下引發電漿(striking a plasma),一氮化矽膜被沉積在製程腔室之內表面上(操作205)。通常,這稱為「調適(season)」腔室,其在完成時是為了減少第一晶圓處理效應且可用於除了氮化矽外之各種膜。在此情況中,在傳送各基材到腔室內之前,內表面可有意地被塗覆以氮化矽。此沉積可附加在腔室清潔程式的末尾。在沉積氮化矽於內表面上與沉積氮化矽於基材上之間,維持製程腔室內之真空(或相當惰性環境)是較佳的。維持真空可減少內表面上材料之額外氧含量,並最終減少起始層內的氧含量。
在操作210,基材被傳送到製程腔室中。來自壁之材料被傳送到基材之表面(操作215),以藉由在製程腔室中形成電漿來形成起始層。在將相當惰性氣體(例如氮(N2 )、氫(H2 )、氦(He)、氬(Ar)、或氙(Xe))流入製程區域內的同時,電漿可藉由施加電功率(例如在RF頻率)來建立。儘管這些氣體主要是惰性的,當使用氮與(或)氫時,起始層可併入一些惰性氣體。再次地,在所揭示實施例中,起始層可約25或更小、約15或更小、約10或更小、或約5或更小。此種類型的沉積在此可稱為起始層的間接沉積,以將其和將層直接沉積在基材表面上的製程予以區分。在起始層的間接沉積之後,一含硼層在操作220被形成,並且基材從製程腔室被移除(操作225)。
藉由先調適腔室來生長一起始層(而非將沉積層直接沉積在基材上)的優點在於厚度可更佳地受到控制,即使是非常薄的膜亦是如此。非常薄的起始層(低達約5)有時候是令人期望的,以致雙層性質大部分是由襯裡層來決定且尤其是當填充窄的深寬比的溝渠時。在取得薄起始層之厚度的額外控制下,組成係數比會稍微難以預測或控制。
材料在內表面上的厚度可大於沉積在基材上的厚度。內表面可以是靠近基材之表面的任何表面,並且在一些實施例中可以是噴頭。在所揭示實施例中,在間接沉積製程之第一步驟期間,沉積在噴頭上之材料的厚度可以是約100至約2000或約600至約1000,並且僅一部分之材料可在間接沉積製程之第二步驟(再沉積)中被傳送到基材。大量的材料可經由排放岐管被泵送抽離,但一些材料可保持在噴頭與(或)其他內表面上。在實施例中,任何殘餘的材料可在後續的清潔循環中被移除,並且新材料可在處理下一個基材之前被沉積在內表面上。
根據所揭示實施例之方法的起始層生長可含有氮與硼,且氮之原子濃度比硼更高。此種類型的氮化硼膜稱為富氮氮化硼膜。富氮氮化硼起始層係將硼更牢固地鍵結在起始層內,並且降低了膜內之硼的移動性。在所揭示實施例中,含有原子氮含量大於約53%、大於約56%或大於約58%之富氮氮化硼層可用以形成起始層。
根據所揭示實施例之方法的起始層生長可含有氮與(或)碳。碳與氮原子均是小的,並且能夠在層內形成足夠強的化學鍵。不意圖侷限在特定的理論機制,這些性質可有助於抑制各種物質越過一含有大量部分氮、碳或這兩者組合之層的擴散。吾等已經發現氮可類似碳來抑制硼擴散,但在較低的濃度。在所揭示實施例中,起始層可具有大於約10%、大於約20%或大於約30%之原子氮濃度。在所揭示實施例中,起始層可具有小於約47%、小於約44%、小於約42%、小於約30%、小於約20%、或小於約10%之原子硼濃度。在所揭示實施例中,起始層亦可具有大於約10%、大於約20%、或大於約30%之原子碳濃度。
由於碳和氮共同存在且實現類似角色,在所揭示實施例中,起始層亦可具有相加高達到大於約10%、大於約20%、或大於約30%之原子氮濃度與原子碳濃度。根據所揭示實施例,可用以形成起始層之示範性材料為氮化矽、氮氧化矽、碳化矽、氮碳化硼、與富氮氮化硼。在所揭示實施例中,起始層亦可具有大於約10%、大於約20%、或大於約30%之原子矽濃度。可在起始層中發現的額外元素包括氟和氫。
第3圖為根據所揭示實施例之在從一含硼雙層擴散到一下方矽基材內之後的硼濃度的圖表。水平軸310是含硼雙層之界面下方的深度(單位是nm),並且垂直軸305是使用對數刻度的硼原子濃度。顯示有未經退火至1050℃之氮化硼/基材堆疊之硼輪廓(曲線335)。曲線335作為參考曲線是有用的,這是由於硼輪廓會因被維持在相當低溫度之基材內的低移動性而將是最小的。硼濃度從在深度15 nm處的1×1016 at/cm3 變化到在深度3 nm處的3×1017 at/cm3
相對地,亦顯示有曲線320,其係指在快速熱退火(RTA或RTP退火)到1050℃之後於400℃直接地形成在基材上之氮化硼襯裡層。硼濃度從在深度15 nm處的1×1017 at/cm3 變化到在深度3 nm處的4×1018 at/cm3 。由於在基材與襯裡層間的界面處不存在有作為阻障物的起始層,層/基材呈現了大量的硼擴散。
顯示有曲線315,其係指在RTP退火到1050℃之後所形成之在基材與「主體(bulk)」氮化硼間具有富氮氮化硼界面(約5厚)之氮化硼層。亦顯示有一在量上類似的曲線330,其係從形成在5氮化矽之起始層上之一主體氮化硼層產生,其中該起始層係藉由間接沉積製程而被建立在基材上。曲線(315與330)均顯示在深度15 nm處的2×1016 at/cm3 變化到在深度3 nm處的5×1017 at/cm3 的硼濃度,該量係比曲線320更類似於曲線335。因此,併入一薄起始層於氮化硼層與基材間可減少在高溫退火期間氮化硼到基材內的擴散(近似未經退火之多個層之堆疊的程度)。
直接沉積製程
除非特別指明,以下討論均應用在起始層與襯裡層。將指出起始層與襯裡層間之差異。組成差異在於,在此描述的襯裡層含有硼,而起始層可以或不必含有硼。幾乎不具有或不具有硼含量之起始層(包括碳化矽、氮化矽、與氮氧化矽)可藉由傳統的方式或在此描述的方法來沉積。
已知多種氣體用於生長含有氮、碳、氧、硼與矽之膜。氨時常作為一反應性氮源以生長含氮膜,但聯胺(N2 H4 )亦已被使用。碳源包括甲烷、乙烷、與通常所謂的Cx Hy 。氧(O2 )、臭氧(O3 )、一氧化氮(NO)、一氧化二氮(N2 O)、二氧化碳(CO2 )、與(或)水(H2 O)可用作為氧源。硼源可包括二硼烷(B2 H6 )、硼氮苯分子(B3 N3 H6 )、與硼氮苯分子之烷基取代衍生物。通常的矽源是矽烷、二矽烷、三矽胺(trisilylamine,TSA)、三甲基矽烷(trimethylsilane,TMS)、與矽氮烷(silazane)。
將參照第4圖流程圖來描述一種沉積含硼起始層或襯裡層之示範性方法。實例將關注在沉積氮化硼之方法。如操作405所示,將一含硼前驅物引進到腔室內。在操作410,一含硼膜在腔室中從含硼前驅物被沉積在基材上。接著,在操作415,處理該含硼膜以將膜組成予以改質(例如增加膜之氮含量)。在操作415中處理該含硼膜係包含將含硼膜暴露於含氮前驅物。第4圖代表的依序暴露亦可用來沉積許多在此描述的其他膜。
返回操作405,被引進有含硼前驅物之腔室可以是任何化學氣相沉積腔室或電漿增強化學氣相沉積腔室。可使用之腔室之實例係包括SE與GT PECVD腔室,該兩者均可由美國加州聖克拉拉市之Applied Materials,Inc.獲得。在此提供的製程條件係用於具有兩個隔離之製程區域(每一製程區域具有一基材)的300 mmSE腔室。因此,每一基材製程區域與基材所經歷之流速為進入腔室之流速的一半。在描述沉積製程的細節之前,應瞭解,其他方法可用以形成含硼膜以為了作為起始層與襯裡層,其中該等方法可以或不必使用第4圖代表之依序暴露。起始層可以或不必含有硼且能夠藉由同時暴露的方式來沉積。
下方基材(其上被沉積有含硼雙層)可以是矽、含矽的、或玻璃。基材可以是裸基材,或具有一或多個沉積在其上之材料層與(或)多個形成在其中之特徵結構。被沉積在其上之示範性材料可以是半導體的、介電質、與(或)金屬。在此,將使用術語「基材」來描述下方基材,或下方基材與一或多個形成且可能圖案化在其上之層的組合。
在操作405,含硼前驅物可以是二硼烷(B2 H6 )、、硼氮苯分子(B3 N3 H6 )、與硼氮苯分子之烷基取代衍生物。可以約5 sccm至約50 slm之流速(例如約10 sccm至約1 slm)將含硼前驅物引進到腔室內。典型地,含硼前驅物係併同作為稀釋氣體的氮(N2 )、氫(H2 )、氬(Ar)、或其組合被引進到腔室內。可以約5 sccm至約50 slm之流速(例如約1 slm至約10 slm)將稀釋氣體引進到腔室內。
對於在腔室中不存在有電漿之含硼膜的沉積,在沉積期間,腔室中基材支撐件之溫度可以被設定在約100℃至約1000℃(例如約300℃至約500℃),並且腔室中壓力可以是約10 mTorr至約760 Torr(例如約2 Torr至約10 Torr)。含硼前驅物可和含氮、含碳、含氧、與(或)含矽前驅物結合,並且以約5 sccm至約50 slm之流速(例如約10 sccm至約1 slm)同時被流到腔室中。
對於在腔室中存在有電漿之含硼膜的沉積,在沉積期間,腔室中基材支撐件之溫度可以被設定在約100℃至約1000℃(例如約300℃至約500℃),並且腔室中壓力可以是約10 mTorr至約760 Torr(例如約2 Torr至約10 Torr)。可由被傳遞到腔室之噴頭電極與(或)基材支撐件電極之RF功率來提供電漿。RF功率可被提供於在約100 kHz至高達約1 MHz之單一低頻(例如約300 kHz至約400 kHz)下為約2 W至約5000 W之功率位準(例如約30 W至約1000 W),或被提供於在大於約1 MHz之單一高頻(例如大於約1 MHz至高達約60 MHz,諸如13.6 MHz)下為約2 W至約5000 W之功率位準(例如約30 W至約1000 W)。或者,RF功率可被提供於包括第一頻率與第二頻率之混合頻率,其中該第一頻率為在約2 W至約5000 W功率位準(例如約30 W至約1000 W)下約100 kHz至高達約1 MHz(例如約300 kHz至約400 kHz),而該第二頻率為在約2 W至約5000 W功率位準(例如約30 W至約1000 W)下大於約1 MHz(例如大於約1 MHz至高達約60 MHz,諸如13.6 MHz)。
在實施例中,可在第4圖之操作415中藉由將含氮前驅物引進來形成氮化硼膜。可使用之含氮前驅物之實例包括氨(NH3 )、聯胺(N2 H4 )。氮化硼膜之厚度可為約2至約5000
亦可使用其他前驅物,包括含氧前驅物、含矽前驅物、含碳前驅物、含磷前驅物等。亦可使用該等前驅物的組合。在一些例子中,在含硼前驅物位於腔室中時,這些前驅物可以存在(未示於第4圖中)。或者,可在將含硼前驅物引進到之前或之後,將該等前驅物引進到腔室內。含氧前驅物之實例包括氧(O2 )、一氧化氮(NO)、一氧化二氮(N2 O)、二氧化碳(CO2 )、與水(H2 O)。可使用之含矽前驅物之實例包括矽烷、三矽胺(trisilylamine,TSA)、三甲基矽烷(trimethylsilane,TMS)、與矽氮烷(silazane),例如六甲基環三矽氮烷(hexamethylcyclotrisilazane,HMCTZ)。可使用之含碳前驅物之實例包括具有一般化學式Cx Hy 之碳氫化合物前驅物,例如烷類、烯類、與炔類。可使用之含磷前驅物之一實例為磷化氫(PH3 )。可在腔室中於存在有或不存在有電漿下從含硼前驅物將膜沉積在基材上。膜可具有約2至約5000之厚度。
操作415亦可包括藉由將氮引進到膜內以將氮化硼膜之組成予以改質且形成氮化硼膜的處理。此處理係選自由電漿製程、紫外線(UV)硬化製程、熱退火製程、與其組合所構成的群組,並且包含將含硼膜暴露於含氮前驅物以將氮引進到膜內且形成氮化硼膜。含氮前驅物可以是例如氮氣(N2 )、氨(NH3 )、或聯胺(N2 H4 )。含氮前驅物能夠以稀釋氣體(例如氬、氦、氫、或氙)來稀釋。
在處理包含電漿製程的實施例中,電漿製程能夠在和沉積氮化硼膜相同或不同的腔室中執行。可藉由傳遞到腔室之噴頭電極與(或)基材支撐件電極來提供電漿。RF功率可被提供於約2 W至約5000 W之功率位準(例如約30 W至約1000 W)、約100 kHz至高達約1 MHz之單一低頻(例如約300 kHz至約400 kHz),或被提供於約2 W至約5000 W之功率位準(例如約30 W至約1000 W)、大於約1 MHz之單一高頻(例如大於約1 MHz至高達約60 MHz,諸如13.6 MHz)。或者,RF功率可被提供於包括第一頻率與第二頻率之混合頻率,其中該第一頻率為在約2 W至約5000 W功率位準(例如約30 W至約1000 W)下約100 kHz至高達約1 MHz(例如約300 kHz至約400 kHz),而該第二頻率為在約2 W至約5000 W功率位準(例如約30 W至約1000 W)下大於約1 MHz(例如大於約1 MHz至高達約60 MHz,諸如13.6 MHz)。在處理期間,可以約5 sccm至約50 slm之流速(例如約100 sccm至約500 sccm)將含氮前驅物引進到腔室內。可將含氮前驅物流入腔室長達一時段,例如約1秒至約2小時(例如約1秒至約60秒)。在處理期間,腔室壓力可以是約10 mTorr至約760 Torr,並且腔室中基材支撐件之溫度可以是約20℃至約1000℃。電漿製程之增加的高頻RF功率、增加的NH3 流速、與更長的處理時間可用來調整膜之蝕刻速率。
在處理包含UV硬化製程的實施例中,UV硬化製程能夠在和沉積腔室相同的腔室中執行,或在叢集工具之部分的腔室中執行(其中該叢集工具包括有沉積含硼膜之沉積腔室)。例如,可在平台之部分的NANOCURETM 腔室中執行UV硬化製程(其中該平台包括有沉積含硼膜之PECVD腔室)。
可使用之示範性UV硬化製程條件包括約10 mTorr至約760 Torr之腔室壓力與約20℃至約1000℃之基材支撐件溫度。在處理期間,可以約5 sccm至約50 sccm之流速將含氮前驅物引進到腔室內。可將含氮前驅物流入腔室長達一時段,例如約1秒至約2小時(例如約1秒至約10分鐘)。可藉由任何UV源來提供UV輻射,例如水銀微波弧燈、脈衝化氙閃光燈、或高效率UV發光二極體陣列。UV輻射可具有例如約170 nm至約400 nm之波長。處理可包含將含硼膜暴露於約1 Watt/cm2 至約1000 Watt/cm2 之紫外線輻射,並且紫外線輻射可提供約0.5 eV至約10 eV之光子能量(例如約1 eV至約6 eV)。
典型地,硬化製程係從膜移除氫,這是令人期望的,這是因為氫會擴散通過膜並進入基材之半導體區域且劣化形成在基材上之元件的可靠度。UV硬化製程亦典型地增加膜的密度且降低了蝕刻速率。
在此描述之處理製程可在和沉積膜(例如含硼膜)相同或不同的腔室中執行。
氮化硼層可被形成為富氮的,以為了執行對硼擴散之阻障物的功效且使層適於作為一起始層。根據第4圖之流程圖所形成的氮化硼層可藉由變更操作415之製程參數而使其為富氮的。更詳細地說,可延長化學暴露或硬化的時段。可增加含氮前驅物之流速。可藉由升高溫度、增加輻射的密度、與(或)改變UV光大致上朝向較短波長之光譜分佈來加強硬化步驟。若電漿激發被用在操作415,增加電漿功率位準以增加氮含量亦是可行的。
儘管,在第4圖之實施例中,基材是依序地被暴露於含硼前驅物與含氮前驅物;在替代性實施例中,基材同時地被暴露於含硼前驅物與含氮前驅物。大致上來說,同時的暴露係容許更大的膜生長速率,但可能犧牲一些控制薄氮化硼膜之性質與厚度的能力。在這樣的實施例中,含硼前驅物與含氮前驅物係被引進到腔室內,並接著在腔室中被反應以化學地氣相沉積一氮化硼膜於基材上。
氮化硼膜之形成是示範性的。大致上來說,雙層之膜包括一起始層,該起始層能夠執行作為阻障物以防止雙層內之硼擴散到下方基材內。含硼前驅物、含氮前驅物、含矽前驅物、含氧前驅物、含碳前驅物、含磷前驅物、與其組合亦可同時地被引進到腔室內以沉積起始層與(或)襯裡層。各種前驅物之反應可在腔室中存在有或不存在有電漿下執行。
在實施例中,可將基材同時暴露於具有RF電漿激發及上述製程條件之在流速之矽烷與氨來形成異質起始層。造成之起始層之第一部分是氮化矽(SiN),其形成了對擴散進出基材的阻障物。在不關閉電漿或製程氣體流下,可添加二硼烷到製程區域以生長起始層之第二部分,其是由氮硼化矽(SiBN)構成。吾等已經發現,這可促進一後續沉積的氮化硼層與基材(尤其是在沉積起始層之前具有暴露之金屬(例如銅)的區域中)之間的黏附性。在不同實施例中,氮化矽層之厚度可以是約3-10,並且氮硼化矽之厚度可以是約3-10。在此使用之術語「起始層」係均指異質起始層與均質起始層。剛才描述的異質起始層是示範性的,並且其他材料能夠以其他製程與前驅物來沉積以形成不同的異質起始層。
間接沉積製程
以下討論係適用在可對進出下方基材之擴散形成阻障物的起始層。起始層可以或不必含有硼。幾乎不具有或不具有硼含量(包括碳化矽、氮化矽、與氮氧化矽)之起始層可藉由傳統的方式或以在此描述的方法來沉積。
有多種氣體可獲得以用於生長含有氮、碳、氧、硼與矽之膜。氨可作為一反應性氮源以生長含氮膜,但聯胺(N2 H4 )亦已可使用。碳源包括甲烷、乙烷、與通常所謂的Cx Hy 。氧(O2 )、臭氧(O3 )、一氧化氮(NO)、一氧化二氮(N2 O)、二氧化碳(CO2 )、與(或)水(H2 O)可用作為氧源。硼源可包括二硼烷(B2 H6 )、硼氮苯分子(B3 N3 H6 )、與硼氮苯分子之烷基取代衍生物。通常的矽源是矽烷、二矽烷、三矽胺(trisilylamine,TSA)、三甲基矽烷(trimethylsilane,TMS)、與矽氮烷(silazane)。
將參照第5圖流程圖來描述一種沉積起始層之示範性方法。實例將關注在沉積氮化矽之方法。如操作520-521所示,將一含氮前驅物與一含矽前驅物引進到腔室內。在操作522,建立一電漿以在基材之內表面上沉積一氮化矽膜。接著,在操作530,基材被傳送到製程腔室內。在操作540,起始一第二電漿以從腔室之內表面移動一些氮化矽到基材,其中該基材已先在操作530被傳送到製程腔室內。此種形成膜之間接方法亦可用於除了氮化矽以外之膜,包括碳化矽、氮氧化矽、與富氮氮化硼。
用在操作520-540之腔室可以是化學氣相沉積腔室或電漿增強化學氣相沉積腔室。可使用之腔室之實例係包括SE與GT PECVD腔室,該兩者均可由美國加州聖克拉拉市之Applied Materials,Inc.獲得。在此提供的製程條件係用於具有兩個隔離之製程區域(每一製程區域具有一基材)的300 mmSE腔室。因此,每一基材製程區域與基材所經歷之流速為進入腔室之流速的一半。在描述沉積製程的細節之前,應瞭解,其他方法可用以形成阻障物膜以為了作為起始層與襯裡層,其中該等方法可以或不必使用第5圖代表之間接沉積技術。氮化矽是時常用在電子元件之材料,並且其他方法可用以沉積氮化矽起始層,然而膜之厚度係難以控制。
下方基材(其上被沉積有含硼雙層)可以是矽、含矽的、或玻璃。基材可以是裸基材,或具有一或多個沉積在其上之材料層與(或)多個形成在其中之特徵結構。被沉積在其上之示範性材料可以是半導體的、介電質、與(或)金屬。在此,將使用術語「基材」來描述下方基材,或下方基材與一或多個形成且可能圖案化在其上之層的組合。
在操作520,含氮前驅物可以是經由起泡器來傳送之雙原子氮(N2 )、氨(NH3 )、聯胺(N2 H4 )、或甚至氫氧化銨(NH4 OH)。這些前驅物之組合亦是可行的。可以約5 sccm至約50 slm之流速(例如約10 sccm至約1 slm)將含氮前驅物引進到腔室內。典型地,含氮前驅物係併同作為稀釋氣體的氮(N2 )、氫(H2 )、氬(Ar)、或其組合被引進到腔室內。可以約5 sccm至約50 slm之流速(例如約1 slm至約10 slm)將稀釋氣體引進到腔室內。
在操作521,含矽前驅物可以是矽烷、二矽烷、三矽胺(trisilylamine,TSA)、三甲基矽烷(trimethylsilane,TMS)、與(或)矽氮烷(silazane)。可以約5 sccm至約50 slm之流速(例如約10 sccm至約1 slm)將含矽前驅物引進到腔室內。典型地,含矽前驅物係併同作為稀釋氣體的氮(N2 )、氫(H2 )、氬(Ar)、或其組合被引進到腔室內。可以約5 sccm至約50 slm之流速(例如約1 slm至約10 slm)將稀釋氣體引進到腔室內。
在操作522,藉由在製程腔室中建立電漿以沉積氮化矽於腔室之內表面上。對於在腔室中存在有電漿之起始層的沉積,在沉積期間,腔室中基材支撐件之溫度可以被設定在約100℃至約1000℃(例如約300℃至約500℃),並且腔室中壓力可以是約10 mTorr至約760 Torr(例如約2 Torr至約10 Torr)。可由被傳遞到腔室之噴頭電極與(或)基材支撐件電極之RF功率來提供電漿。RF功率可被提供於在約100 kHz至高達約1 MHz之單一低頻(例如約300 kHz至約400 kHz)下為約2 W至約5000 W之功率位準(例如約30 W至約1000 W),或被提供於在大於約1 MHz之單一高頻(例如大於約1 MHz至高達約60 MHz,諸如13.6 MHz)下為約2 W至約5000 W之功率位準(例如約30 W至約1000 W)。或者,RF功率可被提供於包括第一頻率與第二頻率之混合頻率,其中該第一頻率為在約2 W至約5000 W功率位準(例如約30 W至約1000 W)下約100 kHz至高達約1 MHz(例如約300 kHz至約400 kHz),而該第二頻率為在約2 W至約5000 W功率位準(例如約30 W至約1000 W)下大於約1 MHz(例如大於約1 MHz至高達約60 MHz,諸如13.6 MHz)。
氮化矽亦可在腔室中不存在有電漿下被沉積在腔室之內表面上,在沉積期間,腔室中基材支撐件之溫度可以被設定在約100℃至約1000℃(例如約300℃至約500℃),並且腔室中壓力可以是約10 mTorr至約760 Torr(例如約2 Torr至約10 Torr)。
無論是否使用電漿在腔室之內表面上沉積材料,氮化矽不是可被沉積之唯一材料。其他膜可用作為阻障物材料。含有矽、氮與額外材料之膜是可行的。含氮前驅物與含矽前驅物可和含硼、含碳、與(或)含氧前驅物結合,並且同時地以約5 sccm至約50 slm之流速(例如約10 sccm至約1 slm)同時被流到腔室中。
在腔室之內表面上沉積了阻障物材料之後,在操作530將基材傳送到腔室內。在操作535,將惰性氣體引進到腔室內。示範性惰性氣體可包括一或多種氣體,例如氮(N2 )、氫(H2 )、氦(He)、氬(Ar)、或氙(Xe)。惰性氣體可和反應性前驅物(例如用來塗覆腔室之內表面的反應性前驅物)結合。除了從內表面被再分佈的材料之外,反應性前驅物可接著供應材料到起始層。在操作540,建立一電漿以從腔室之內表面傳送一部分之阻障物材料(即流程圖中的氮化矽)到基材。可藉由傳遞到腔室之噴頭電極與(或)基材支撐件電極來提供電漿。RF功率可被提供於約2 W至約5000 W之功率位準(例如約30 W至約1000 W)、約100 kHz至高達約1 MHz之單一低頻(例如約300 kHz至約400 kHz),或被提供於約2 W至約5000 W之功率位準(例如約30 W至約1000 W)、大於約1 MHz之單一高頻(例如大於約1 MHz至高達約60 MHz,諸如13.6 MHz)。或者,RF功率可被提供於包括第一頻率與第二頻率之混合頻率,其中該第一頻率為在約2 W至約5000 W功率位準(例如約30 W至約1000 W)下約100 kHz至高達約1 MHz(例如約300 kHz至約400 kHz),而該第二頻率為在約2 W至約5000 W功率位準(例如約30 W至約1000 W)下大於約1 MHz(例如大於約1 MHz至高達約60 MHz,諸如13.6 MHz)。
在處理期間,可以約5 sccm至約50 slm之流速(例如約100 sccm至約500 sccm)將惰性氣體引進到腔室內。可將惰性氣體流入腔室長達一時段,例如約1秒至約2小時(例如約1秒至約60秒)。在處理期間,腔室壓力可以是約10 mTorr至約760 Torr,並且腔室中基材支撐件之溫度可以是約20℃至約1000℃。
氮化硼層可用作為起始層,並且可在腔室之內表面上沉積氮化硼的期間被形成為富氮的。可藉由變更沉積製程參數而使被形成在內表面上的氮化硼層為富氮的。舉例而言,可增加含氮前驅物對含硼前驅物之比例。
類似用在先前節之直接沉積製程之處理,後沉積處理可在此節所描述之間接沉積製程中具有應用性。這些處理可用來調整阻障物材料之性質,無論是當阻障物材料是位在腔室之內表面上時或在其被沉積到基材表面上後皆可。為了形成一富氮氮化硼層,可延長暴露於含氮前驅物之時段或硬化之時段、可增加含氮前驅物之流速、與(或)可增加電漿功率位準。
如同在此所使用,「基材」可以是一支撐基材而具有或不具有多個層形成在其上。支撐基材可以是具有各種摻雜濃度與輪廓之絕緣體或半導體,並且可以是例如用在積體電路製造之類型的半導體基材。「激發狀態」之氣體係描述一氣體,其中至少一些氣體分子係處於振動地激發、解離、與(或)離子的狀態。一氣體可以是兩或多種氣體的組合。術語「溝渠」係用在本文而不意指經蝕刻的幾何形態必須具有大的水平深寬比。從表面上方觀之,溝渠可以是圓形、橢圓形、多邊形、矩形、或各種其他形狀。
已經揭示了一些實施例,熟習此技藝之人士可知悉的是,在不脫離所揭示實施例之精神下可使用各種變化、替代性結構、與均等物。此外,本文沒有描述許多已知的製程與構件,以為了不必要地避免模糊化本發明,因此,前述說明應不被視為本發明之範疇的限制。
在提供數值範圍之處,應瞭解亦詳細地揭示了在該範圍之上限和下限間的各個中間數值,低達下限之單位的十分之一(除非文中有清楚地說明)。在一敘述範圍中的任何敘述數值或中間數值與在該敘述範圍中之任何其他敘述或中間數值之間的各個較小範圍係被涵蓋在內。這些較小範圍之上限與下限可獨立地被包括在範圍中或被排除在範圍外,並且各個範圍(其中上限與下限之兩者或沒有任一者被包括在較小範圍中)亦被涵蓋在本發明內。對於所描述範圍包括限制之一或兩者,將包括在內的限制之一者或兩者排除的範圍亦被涵蓋在內。
如同在此與在隨附申請專利範圍中所使用,單數形式「一(a)」、「一(an)」與「該」係包括複數個指稱物,除非文中有清楚地說明。因此,例如「製程」的指稱係包括複數個這樣的製程,並且「介電質材料」的指稱係包括一或多個介電材料與熟習此技藝之人士所已知的其均等物、及諸如此類者。
此外,當在說明書與隨附申請專利範圍中使用術語「包含(comprise)」、「包含(comprising)」、「包括(include)」、「包括(including)」、與「包括(includes)」時,其係意圖指明所敘述特徵、整數、部件、或步驟的存在,但其不排除一或多個其他特徵、整數、部件、步驟、動作、或群組的存在或增添。
110-125...操作
204-225...操作
305...垂直軸
310...水平軸
315-335...曲線
405-415...操作
520-540...操作
可藉由參照說明書之其他部分和圖式來進一步瞭解所揭示之實施例的本質和優點。
第1圖為根據所揭示實施例之一含硼雙層之沉積製程的流程圖。
第2圖為根據所揭示實施例之一含硼雙層之沉積製程的流程圖。
第3圖為根據所揭示實施例之在將基材加熱到1050℃之後在從一含硼雙層擴散到一下方矽基材內之後的硼濃度的圖表。
第4圖為形成一氮化硼層之方法的流程圖。
第5圖為顯示根據本發明實施例之沉積一起始層之方法中所選擇步驟的流程圖。
在圖式中,類似的部件與(或)特徵結構可具有相同的元件符號。此外,各種相同類型的部件可由元件符號後面的破折號以及可在類似部件間區分之第二元件符號來區分。倘若說明書中僅使用了第一元件符號,敘述則可用在具有相同之第一元件符號之任一類似部件,而不考慮第二元件符號。
110-125...操作

Claims (11)

  1. 一種在一製程腔室中沉積一雙層襯裡於一基材上之一溝渠中的方法,該方法包含下列步驟:形成一富氮的(nitrogen-rich)氮化硼層,該富氮的氮化硼層具有小於或約15Å之厚度,其中該富氮的氮化硼層由氮與硼構成;以及形成一含硼層於該富氮的氮化硼層上;其中該富氮的氮化硼層減少硼從該含硼層到該基材內的擴散。
  2. 如申請專利範圍第1項所述之方法,其中該含硼層之厚度小於或約200Å。
  3. 如申請專利範圍第1項所述之方法,其中該含硼層包含氮化硼。
  4. 如申請專利範圍第1項所述之方法,其中該富氮的氮化硼層具有小於約47%的原子硼濃度以及大於約53%的原子氮濃度。
  5. 如申請專利範圍第1項所述之方法,其中該富氮的氮化硼層具有小於約44%的原子硼濃度以及大於約56%的原子氮濃度。
  6. 如申請專利範圍第1項所述之方法,其中該富氮的氮化硼層具有小於約42%的原子硼濃度以及大於約58%的原子氮濃度。
  7. 如申請專利範圍第1項所述之方法,進一步包括下述步驟:將該基材內的硼濃度維持在該基板內3nm的深度處或更大深度處為低於或約5x1017 原子/cm3 (at/cm3 )。
  8. 一種在一製程腔室中沉積一雙層襯裡於一基材之一表面上的方法,該方法包含下列步驟:於該製程腔室之內表面上形成一阻障物材料之富氮的氮化硼層,其中該阻障物材料之富氮的氮化硼層由硼與氮構成;將基材傳送到該製程腔室內;藉由在該製程腔室中引發一電漿以從該製程腔室之內表面再分佈一部分之該阻障物材料到該基材上,藉此於該基材上形成一富氮的氮化硼層,其中該富氮的氮化硼層具有小於或約15Å之厚度;以及形成一含硼層於該富氮的氮化硼層上。
  9. 如申請專利範圍第8項所述之方法,其中該基材之表面包含一溝渠。
  10. 如申請專利範圍第8項所述之方法,其中該含硼層之厚度小於或約200Å。
  11. 如申請專利範圍第8項所述之方法,其中該含硼層包含氮化硼。
TW099120127A 2009-06-22 2010-06-21 硼膜界面工程 TWI479044B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/489,124 US8563090B2 (en) 2008-10-16 2009-06-22 Boron film interface engineering

Publications (2)

Publication Number Publication Date
TW201111540A TW201111540A (en) 2011-04-01
TWI479044B true TWI479044B (zh) 2015-04-01

Family

ID=42108918

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099120127A TWI479044B (zh) 2009-06-22 2010-06-21 硼膜界面工程

Country Status (6)

Country Link
US (1) US8563090B2 (zh)
JP (1) JP2012531045A (zh)
KR (1) KR20120093139A (zh)
CN (1) CN102460679A (zh)
TW (1) TWI479044B (zh)
WO (1) WO2011005433A2 (zh)

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102487032A (zh) * 2010-12-02 2012-06-06 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构的形成方法
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8617938B2 (en) 2011-01-25 2013-12-31 International Business Machines Corporation Device and method for boron diffusion in semiconductors
CN102643034A (zh) * 2011-02-21 2012-08-22 鸿富锦精密工业(深圳)有限公司 功能玻璃及其制备方法
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102766908B (zh) * 2012-07-25 2016-02-24 苏州阿特斯阳光电力科技有限公司 晶体硅太阳能电池的硼扩散方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN103594343A (zh) * 2012-08-13 2014-02-19 中芯国际集成电路制造(上海)有限公司 高k膜的制作方法及晶体管的形成方法
JP6007031B2 (ja) * 2012-08-23 2016-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP2015103729A (ja) 2013-11-27 2015-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
JP6247095B2 (ja) * 2013-12-27 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6254848B2 (ja) 2014-01-10 2017-12-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6320129B2 (ja) 2014-04-02 2018-05-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10128150B2 (en) 2015-04-03 2018-11-13 Applied Materials, Inc. Process of filling the high aspect ratio trenches by co-flowing ligands during thermal CVD
CN111118477A (zh) * 2015-06-05 2020-05-08 应用材料公司 赋予掺杂硼的碳膜静电夹持及极佳颗粒性能的渐变原位电荷捕捉层
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10388524B2 (en) * 2016-12-15 2019-08-20 Tokyo Electron Limited Film forming method, boron film, and film forming apparatus
WO2019193872A1 (ja) * 2018-04-04 2019-10-10 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
KR102177139B1 (ko) * 2019-05-17 2020-11-11 비씨엔씨 주식회사 저항 조절이 가능한 반도체 제조용 부품
US11152388B2 (en) * 2019-10-15 2021-10-19 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11618949B2 (en) * 2019-11-08 2023-04-04 Applied Materials, Inc. Methods to reduce material surface roughness
JP2022114918A (ja) * 2021-01-27 2022-08-08 東京エレクトロン株式会社 窒化ホウ素膜の成膜方法及び成膜装置
JP2022159644A (ja) * 2021-04-05 2022-10-18 東京エレクトロン株式会社 成膜方法及び処理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6212136B2 (zh) * 1980-10-20 1987-03-17 Kanebo Ltd
KR20000020909A (ko) * 1998-09-24 2000-04-15 윤종용 이중층의 질화물라이너를 갖는 트렌치 소자분리방법
US20050167742A1 (en) * 2001-01-30 2005-08-04 Fairchild Semiconductor Corp. Power semiconductor devices and methods of manufacture

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
JPH0640546B2 (ja) * 1985-07-09 1994-05-25 松下電器産業株式会社 窒化シリコン薄膜の製造方法
JPS62109976A (ja) * 1985-11-08 1987-05-21 Toshiba Tungaloy Co Ltd 立方晶窒化ホウ素被覆部材
JP2606318B2 (ja) * 1988-09-29 1997-04-30 富士通株式会社 絶縁膜の形成方法
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
JP2000174019A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 半導体装置及びその製造方法
JP3601988B2 (ja) * 1999-01-04 2004-12-15 株式会社東芝 絶縁膜の形成方法
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
JP4764559B2 (ja) * 2001-03-28 2011-09-07 隆 杉野 成膜方法及び成膜装置
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US20050124176A1 (en) * 2001-07-17 2005-06-09 Takashi Sugino Semiconductor device and method for fabricating the same and semiconductor device application system
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005159182A (ja) * 2003-11-27 2005-06-16 Kyocera Corp プラズマcvd装置の処理方法
JP2006013184A (ja) * 2004-06-28 2006-01-12 Mitsubishi Heavy Ind Ltd 窒化ホウ素膜の成膜方法
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2007053276A (ja) * 2005-08-19 2007-03-01 Angstrom Technologies:Kk 半導体装置の製造方法及び製造装置
US7371649B2 (en) 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP5238615B2 (ja) * 2009-06-04 2013-07-17 株式会社東芝 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6212136B2 (zh) * 1980-10-20 1987-03-17 Kanebo Ltd
KR20000020909A (ko) * 1998-09-24 2000-04-15 윤종용 이중층의 질화물라이너를 갖는 트렌치 소자분리방법
US20050167742A1 (en) * 2001-01-30 2005-08-04 Fairchild Semiconductor Corp. Power semiconductor devices and methods of manufacture

Also Published As

Publication number Publication date
KR20120093139A (ko) 2012-08-22
CN102460679A (zh) 2012-05-16
JP2012531045A (ja) 2012-12-06
US20100098884A1 (en) 2010-04-22
US8563090B2 (en) 2013-10-22
TW201111540A (en) 2011-04-01
WO2011005433A2 (en) 2011-01-13
WO2011005433A3 (en) 2011-03-03

Similar Documents

Publication Publication Date Title
TWI479044B (zh) 硼膜界面工程
JP7062817B2 (ja) SiNの堆積
KR102588666B1 (ko) 기판 상의 구조물 형성 방법
US10515794B2 (en) Atomic layer deposition of silicon carbon nitride based materials
TWI396253B (zh) 以低蝕刻速率介電質襯裡改善間隙填充之方法
CN106992114B (zh) 含硅薄膜的高温原子层沉积
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
JP2020065087A (ja) SiOCN薄膜の形成
KR101442212B1 (ko) 금속 실리케이트 막들의 원자층 증착
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
TWI463566B (zh) 低溫氧化矽轉換
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
CN107408493B (zh) 脉冲氮化物封装
TW201308432A (zh) 降低釋氣的覆蓋層
JP2013533639A (ja) 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
KR20080106984A (ko) 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
TW201310529A (zh) 減少脫氣所用的表面處理及沉積
TW200929360A (en) Methods for forming a dielectric layer within trenches
US20160099167A1 (en) Air-gap structure formation with ultra low-k dielectric layer on pecvd low-k chamber
US20220076996A1 (en) Methods for depositing gap filing fluids and related systems and devices
JP2006173299A (ja) 半導体装置の製造方法