TWI478771B - 多氣體同心注入噴頭 - Google Patents

多氣體同心注入噴頭 Download PDF

Info

Publication number
TWI478771B
TWI478771B TW097139610A TW97139610A TWI478771B TW I478771 B TWI478771 B TW I478771B TW 097139610 A TW097139610 A TW 097139610A TW 97139610 A TW97139610 A TW 97139610A TW I478771 B TWI478771 B TW I478771B
Authority
TW
Taiwan
Prior art keywords
gas
precursor
showerhead
heat exchange
disposed
Prior art date
Application number
TW097139610A
Other languages
English (en)
Other versions
TW200927295A (en
Inventor
Alexander Tam
Ronald Stevens
Jacob Grayson
David Bour
Sandeep Nijhawan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200927295A publication Critical patent/TW200927295A/zh
Application granted granted Critical
Publication of TWI478771B publication Critical patent/TWI478771B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

多氣體同心注入噴頭
該發明的實施例一般涉及用於在基材上化學氣相沉積(CVD)的設備和方法,並且尤其涉及供金屬有機化學氣相沉積和/或氫化物氣相磊晶(HVPE)裏使用的噴頭設計。
發現Ⅲ-Ⅴ族膜在各種半導體裝置例如短波長發光二極體(LED)、鐳射二極體(LD)和包括高功耗、高頻率、高溫電晶體和積體電路的電子設備的研製和製造中較為重要。例如,使用Ⅲ族-氮化物半導體材料氮化鎵(GaN)製造短波長(例如,藍/綠至紫外)LED。已知,使用GaN製造短波長LED,可提供顯著更大的效率並且與使用例如Ⅱ-Ⅵ族材料的非氮化物半導體材料製造的短波長LED相比工作壽命更長。
一種用於沉積Ⅲ族-氮化物,例如GaN的方法是金屬有機化學氣相沉積(MOCVD)。該化學氣相沉積方法通常在具有溫度控制環境的反應器中進行以確保第一前驅物氣體的穩定性,該第一前驅物氣體包含來自Ⅲ族的至少一個元素,例如鎵(Ga)。第二前驅物氣體,例如氨(NH3 ),提供形成Ⅲ族-氮化物所需的氮。這兩種前驅物氣體注入到反應器之內的處理區中去,在那裏將它們混合並且朝向處理區中的加熱基材移動。可使用載氣以協助前驅物氣體朝著基材輸送。該前驅物在加熱基材的表面反應以在基材表面上形成Ⅲ族-氮化物層,例如GaN。膜的質量部分取決於沉積的均勻性,其依次取決於基材對面的前驅物的均勻混合。
在基材支架上可以佈置多個基材並且每個基材可具有範圍從50mm至100mm或更大的直徑。為了增加產量和生產能力,期望在較大基材和/或更多基材以及較大沉積區域之上的前驅物均勻混合。這些因素非常重要,由於其直接影響生產電子設備的成本並且因而影響裝置生產商在市場中的競爭力。
隨著對於LED、LD、電晶體和積體電路的需求增加,沉積高質量Ⅲ族-氮化物膜的效率呈現出更大的重要性。因而,需要改進的沉積設備和製程,其可在較大的基材和較大的沉積區域之上提供均勻的前驅物混合和穩定的膜質量。
本發明一般提供用於使用MOCVD和/或HVPE沉積Ⅲ族-氮化物膜的方法和設備。
一個實施例提供用於在基材上沉積的氣體傳送設備。
該設備通常包括用於第一前驅物氣體的第一氣室和用於第二前驅物氣體的第二氣室以及多個同心佈置的內部和外部注入孔,該內部注入孔與第一氣室相聯並且該外部注入孔與第二氣室相聯。
另一實施例提供用於在基材上沉積的氣體傳送設備。該設備包括界定在噴頭側面上的多個前驅物混合通道,該噴頭面對基材處理容積,多個第一注入孔,通過該第一注入孔該第一前驅氣體注入到前驅物混合通道中,多個第二注入孔,通過該第二注入孔該第二前驅氣體注入到前驅物混合通道中,其中該第一注入孔中的每個具有與其同心佈置的第二注入孔。
在另一實施例中,公開了一種用於在基材上沉積的氣體傳送設備。該設備通常包括用於第一前驅物氣體的第一氣室,多個第一氣體導管,通過其該第一前驅物氣體從第一氣室提供至前驅物混合區域,用於第二前驅物氣體的第二氣室,和多個第二氣體導管,通過其該第二前驅物氣體從第二氣室提供至前驅物混合區域,其中每個第一氣體導管具有與其同心佈置的第二氣體導管。
本發明的實施例通常提供一種為了使用MOCVD和/或HVPE沉積Ⅲ族-氮化物膜而應用的方法和設備。第1A圖為可以用於根據本發明的一個實施例實施本發明的沉積設備的示意圖。在2006年4月14日提交的美國專利申請序列號11/404,516和在2006年5月5日提交的11/429,022中描述了適合實施本發明的示例性系統和室,並入其全部內容作為參考。
第1A圖中示出的設備100包括室102、氣體傳送系統125、遠端電漿源126和真空系統112。該室102包括封閉處理容積108的腔室主體103。噴頭元件104配置在處理容積108的一個末端並且基材支架114配置在處理容積108的另一末端。下部圓頂119配置在下部容積110的一個末端並且基材支架114配置在下部容積110的另一末端。基材支架114在處理位置中示出,還可以被移至下部位置例如裝載或者卸載基材140的位置。排氣環120可以圍繞基材支架114的周邊配置以幫助阻止在下部容積110中發生沉積並且幫助把廢氣從室102引導至排氣埠109。為了輻射加熱基材140,下部圓頂119可以由透明材料例如高純度石英構成以允許光通過。可以通過多個內部燈121A提供輻射加熱並且在下部圓頂119之下配置外部燈121B,反射器166可以用於幫助控制室102暴露至由內部和外部燈121A、121B提供的輻射能。為了更好的控制基材140的溫度,也可使用燈的附加環。
基材支架114可包括一個或多個凹槽116,在處理期間在凹進之內可配置一個或多個基材140。該基材支架114可裝載六個或更多基材140。在一個實施例中,基材支架114裝載8個基材140。應理解,在基材支架114上可裝載更多或更少的基材140。典型的基材140可包括藍寶石、碳化矽(SiC)、矽或氮化鎵(GaN)。應理解,可以處理其他類型的基材140,例如玻璃基材140。基材140直徑上的尺寸可從50mm-100mm的範圍或更大。基材114的尺寸可以從200mm-750mm的範圍。基材支架114可以由多種材料組成,包括SiC或石墨塗敷SiC。應理解,可在室102中並且根據此處描述的製程處理其他尺寸的基材140。如在此描述的,與傳統MOCVD室相比較,噴頭元件104可允許跨過較大數量的基材140和/或較大的基材140更加均勻的沉積,因而,增加了生產量並且減小每個基材140的處理成本。
在處理期間,基材114可以關於軸旋轉。在一個實施例中,基材支架114,以大約2RPM至大約100RPM旋轉。在另一實施例中,基材支架114以大約30RPM旋轉。旋轉基材支架114有助於提供基材140的均勻加熱並且將處理氣體均勻暴露給每個基材140。
可以在同心圓或區域(未示出)中佈置多個內部和外部燈121A和121B並且每個燈區域可以分別供以電力。在一個實施例中,在噴頭元件104之內可以配置例如高溫計(未示出)的一個或多個溫度感測器,以測量基材140和基材支架114的溫度並且該溫度資料將被發送至控制器(未示出),該控制器可對單獨的燈區域調節能量以維持跨過基材支架114的溫度曲線。在另一實施例中,可以調節單獨燈區域的電力以補償前驅物流或前驅物濃度的非均勻性。例如,假如前驅物濃度在基材支架114區域附近或外部燈區域附近較低,那麽可以調節提供給外部燈區域的電力以幫助補償在該區域的前驅物損耗。
內部和外部燈121A、121B可將基材140加熱至大約400攝氏度至大約1200攝氏度。應理解,本發明不限於使用內部和外部燈121A、121B陣列。可利用任何適合的加熱源以確保適當的溫度適當的溫度足以施加給室102和在其中的基材140。例如,在另一實施例中,熱源可以包括與基材支架114熱接觸的電阻加熱元件(未示出)。
氣體傳送系統125可包括多個氣體源或取決於要運行的製程,一些源可以是液體源而不是氣體,在此情形氣體傳送設備可包括液體注入系統或其他方式(例如,噴水器)以汽化該液體。然後,在傳送至室102之前,蒸汽可與載氣混合。不同的氣體,例如前驅氣體、載氣、淨化氣體、清潔/刻蝕氣體或其他氣體可以從氣體傳送系統125施加至個別供應線131、132和133到達噴頭元件104。供應線路131、132和133可以包括截止閥和質量流量計或其他類型的控制器以監控和調節或關斷每個線路中的氣體流。
導管129可以從遠端電漿體源126接收清潔/刻蝕氣體。遠端電漿體源126可通過供應線路124從氣體傳送系統125接收氣體並且可在噴頭元件104和遠端電漿源126之間配置閥門130。可打開閥門130以允許清潔和/或刻蝕氣體或電漿體通過供應線路133流入噴頭元件104,該供應線路可適合用作電漿體的導管。在另一實施例中,設備100可不包括遠端電漿源126,並且清潔/刻蝕氣體可以從用於非電漿體清潔和/或使用交替供應線路構造的刻蝕的氣體傳送系統125傳送至噴頭元件140。
該遠端電漿體源126可以是適合於室102清潔和/或基材140刻蝕的射頻或微波電漿體源。清潔和/或刻蝕氣體可以通過供應線路124提供至遠端電漿源126以產生電漿物質,可通過導管129和供應線路133輸送該電漿體物質,以便通過噴頭元件104散射到室102中。用於清潔應用的氣體可包括氟、氯或其他反應元素。
在另一實施例中,氣體傳送系統125和遠端電漿源126可以相配適應,因而前驅氣體可以提供給遠端電漿源126以產生電漿物質,該電漿體物質可通過噴頭元件104輸送以沉積CVD層,例如Ⅲ-Ⅴ膜,例如在基材140上。
淨化氣體(例如,氮氣)可從噴頭元件104和/或從配置在基材支架114下面和腔室主體103的底部附近的入口部或管子(未示出)傳送至室102中。淨化氣體進入室102的下部容積110並且向上流動經過基材支架114和排氣環120並且進入多個排氣埠109,環繞環形排氣通道105配置該排氣埠。排氣導管106將環形排氣通道105連接至真空系統112,該真空系統包括真空泵(未示出)。可使用閥門系統107控制室102的壓力,該閥門系統控制氣體從環形排氣通道105排出的速率。
第1B圖為第1A圖中示出的噴頭元件的詳細橫截面圖。在基材140處理期間,噴頭元件104位於基材支架114附近。在一個實施例中,在處理期間,從噴頭正面153至基材支架114的距離可從大約4mm至大約41mm的範圍。在一個實施例中,噴頭正面153可包括噴頭元件104的大致上共面的並且在處理期間面對該基材140的多個表面。
在基材140處理期間,根據本發明的一個實施例,處理氣體152從噴頭元件104朝基材140表面流動。處理氣體152可包括前驅氣體、載氣和可以與前驅氣體混合的摻雜氣體的一個或多個。環形排氣通道105的抽吸可以影響氣流,所以處理氣體152基本正切於基材140流動並且可徑向均勻分佈跨過基材140在層流中的配置表面。處理容積108可以保持在大約760Torr下至大約80Torr的壓力。
在基材140表面或其附近的處理氣152前驅物的反應可在基材140之上沉積各種金屬氮化物層,包括GaN、氮化鋁(AlN)和氮化銦(InN)。對於其他化合物膜例如AlGaN和/或InGaN的沉積也可利用多種金屬。另外地,諸如矽(Si)或鎂(Mg)的摻雜劑可添加至該膜。該膜可以通過在沉積製程期間添加小量的摻雜氣體來摻雜。對於矽摻雜,可使用矽烷(SiH4 )或乙矽烷(Si2 H6 )氣體,例如,摻雜氣體可包括用於鎂摻雜的二(環戊二烯基)鎂(Cp2 Mg or(C5 H5 )2 Mg)。
在一個實施例中,噴頭元件104包括環形歧管170、第一氣室144、第二氣室145、第三氣室160、氣體導管147、阻斷片161、熱交換通道141、混合通道150和中心導管148。環形歧管170圍繞第一氣室144,其通過具有多個中間隔板孔240的中間隔板210從第二氣室145分離。第二氣室145通過具有多個阻斷片孔162的阻斷片161從第三氣室160分離並且該阻斷片161連接至頂板230。中間隔板210包括多個氣體導管147,該氣體導管147配置在中間隔板孔240中並且向下延伸穿過第一氣室144並且進入位於底板233的底板孔250中去。減小每個底板孔250的直徑以形成第一氣體注入孔156,該第一氣體注入孔通常與形成第二氣體注入孔157的氣體導管147同心或同軸。在另一實施例中,第二氣體注入孔157可從第一氣體注入孔156偏移,其中該第二氣體注入孔157配置在第一氣體注入孔156的邊界之內。底板233也包括熱交換通道141和混合通道150,混合通道150包括彼此平行的並且橫越噴頭元件104延伸的直的通道。
噴頭元件104通過供應線路131、132和133接收氣體。在另一實施例中,每個供應線路131、132可包括連接至噴頭元件104並且與噴頭元件104液體相聯的多個線路。第一前驅物氣體154和第二前驅物氣體155流經供應線路131和132進入環形歧管170和頂部歧管163中。非反應氣體151,其可為惰性氣體例如氫(H2 )、氮(N2 )、氦(He)、氬(Ar)或其他氣體及其組合,可流經連接至中心導管148的供應線路133,該中心導管位於噴頭元件中心或在噴頭元件104的中心附近。中心導管148可以用作中心惰性氣體的擴散器,其使非反應氣體151流入到處理容積108的中心區域中去以幫助阻止中心區域中的氣體回流。在另一實施例中,中心導管148可運送前驅物氣體。
在又一實施例中,通過中心導管148將清潔和/或刻蝕氣體或電漿傳送到室102中去。中心導管148適於分散室102內部的清潔和/或刻蝕氣體或電漿體以提供更加有效的清潔。在另一實施例中,該設備100可適合通過其他路線將傳送清潔和/或刻蝕氣體或電漿體到室102中去,例如第一和第二氣體注入孔156、157。在一個實施例中,氟或氯基電漿用做刻蝕或清潔。在另一實施例中,鹵素氣體,例如Cl2 、Br和I2 或鹵化物例如HCl,、HBr和HI可用做非電漿體刻蝕。
在另一實施例中,中心導管148可用作計量埠,計量工具(未示出)可連接至中心導管148。計量工具可用於測量例如厚度、粗糙度、成分的各種膜特性或其他特性。在另一實施例中,中心導管148可以用作諸如高溫計或熱電偶的溫度感測器的埠。
第一前驅物氣體154流入環形歧管170中並且穿過由配置在環形歧管170的內部直徑上的限制牆172形成的間隙173。當第一前驅物氣體154流入與第一氣體注入孔156液體相聯的第一氣室144中時,該限制牆172可在環形歧管170的第一方位角方向上提供更加均勻的氣體分佈。第二前驅物氣體155流入頂部歧管163中去並且被分散放射狀穿過孔164進入第三氣室160。於是,第二前驅物氣體155流動穿過阻斷片孔162進入第二氣室145並且進入與第二氣體注入孔157液體相聯的氣體導管147。第一氣室144不與第二或第三氣室145、160液體相聯,所以第一和第二前驅物氣體154、155保持隔離直到注入到室102中。
第一和第二前驅物氣體154、155從第一和第二氣體注入孔156、157流入,然後進入混合通道150中,在此將第一和第二前驅物氣體混合154、155混合以形成處理氣體152,然後該處理氣體流入處理容積108中。在一個實施例中,載氣,其可包括氮氣(N2 )或氫氣(H2 )或惰性氣體,在傳送到噴頭元件104之前,與第一和第二前驅物氣體154、155混合。
在一個實施例中,傳送至第一氣室144的第一前驅物氣體154可包括Ⅴ族前驅物,傳送至第二噴頭145的第二前驅物氣體155可包括Ⅲ族前驅物。在另一實施例中,可轉換前驅物的傳送,因此Ⅴ族前驅物輸送至第二氣室145並且Ⅲ族前驅物輸送至第一氣室144。用於特定前驅物的第一或第二氣室144、145的選擇,部分通過氣室離熱交換通道141的距離以及對於每個氣室及在其中的前驅物可保持的期望的溫度範圍來確定。
Ⅲ族前驅物可以是金屬有機物(MO)前驅物例如三甲基鎵(“TMG”)、三甲基鋁(“TMAl”)和/或三甲基銦(“TMI”),但是也可使用其他合適的MO前驅物。Ⅴ族前驅物可以是諸如氨(NH3 )的氮前驅物。在一個實施例中,單一MO前驅物,例如TMG,可以傳送至第一氣室144或第二氣室145。在另一實施例中,可混合兩個或更多MO前驅物,例如TMG和TMI,並且傳送到第一氣室或第二氣室145。
鄰近第一和第二氣體注入孔156、157和混合通道150配置的是熱交換通道141,熱交換流體通過熱交換通道流動以幫助調節噴頭元件104的溫度。合適的熱交換流體包括水,水基乙烯乙二醇混合物、全氟聚醚(例如,Galden液體)、油基熱傳遞液體或類似液體。當需要將噴頭元件104的溫度維持在期望的溫度範圍之內時,熱交換流體可以迴圈穿過熱交換器(未示出)以升高或降低熱交換流體的溫度。在一個實施例中,熱交換流體保持在大約20攝氏度至大約120攝氏度的溫度範圍之內。在另一實施例中,熱交換流體保持在大約100攝氏度至大約350攝氏度的溫度範圍之內。在又一實施例中,熱交換流體保持在大於350攝氏度的溫度範圍之內。也可將熱交換液加熱到其沸點之上,因此噴頭元件104可以使用容易獲得的熱交換流體保持較高的溫度。同時,熱交換液可以為液體金屬,例如鎵或鎵合金。
也可調節熱交換液的流速以幫助控制噴頭元件104的溫度。另外的,設計熱交換通道141的壁厚度以有助於各種噴頭表面的溫度調節。例如,噴頭正面153的壁厚度T(見第2A圖)可以做的更薄以增加通過壁的熱傳遞的速率並且因而增加噴頭正面153的冷卻或加熱速率。
對於諸如混合通道150和噴頭正面153的各種噴頭元件104部件的溫度控制,期望減小或消除在噴頭元件104上冷凝物的形成,同時減少形成氣相微粒形成並阻止不需要的前驅物反應產物的產生,該產物不利地影響在基材140上沉積的膜的成分。在一個實施例中,接近噴頭正面153配置一個或多個熱偶或其他溫度感測器以測量噴頭溫度。在中心導管148和/或噴頭元件104的外周504(見第6圖)附近配置該一個或多個熱偶或其他溫度感測器。在另一實施例中,接近熱交換通道141的入口和出口配置一個或多個熱偶或其他溫度感測器。在另一實施例中,接近其他噴頭元件104部件設置該溫度感測器。在另一實施例中,接近其他噴頭元件104部件設置溫度感測器。
由一個或多個熱偶或其他溫度感測器測量的溫度資料可以發送至控制器(未示出),該控制器可調節熱交換流體的溫度和流速以使噴頭溫度保持在預定範圍之內。在一個實施例中,噴頭溫度可保持在大約50攝氏度至大約350攝氏度。在另一實施例中,噴頭溫度可保持在大於350攝氏度的溫度。
第2A圖為根據本發明的一個實施例的第1B圖中示出的噴頭元件的詳細橫截面圖。該第一和第二前驅物氣體154、155從底板孔(bottom plate hole)250和氣體導管147流入到第一和第二氣體注入孔156、157中並且然後進入混合通道150。第一氣體注入孔156具有直徑D1並且第二氣體注入孔157具有直徑D2。氣體導管147為在第一氣體注入孔156附近的具有內部直徑D2和外部直徑D3的管子。在一個實施例中,氣體導管147為圓柱管。在另一實施例中,氣體導管147可包括多個具有不同橫截面的管子。例如,氣體導管147可包括具有不同內部和外部直徑的導管251、252和253(見虛線),其中導管251、252和253連接在一起(例如,銅焊或焊接)以形成單一、集成的管子。在又一實施例中,氣體導管147可包括一個或多個已經成型的管子並且每個管子具有不同的橫截面。在其他實施例中,該氣體導管147可具有其他形狀。
在中間隔板孔240內配置氣體導管147的第一末端並且該氣體導管147的第一末端合適地連接(例如,銅焊)至中間隔板210,因而在氣體導管147和中間隔板210之間形成液體密封。在底板孔250內配置氣體導管147的第二末端以使氣體導管147與底板孔250及第一氣體注入孔156同心或同軸,因而該氣體導管147的第二末端形成同心或同軸於第一氣體注入孔156的第二氣體注入孔157。在一個實施例中,該第一和第二氣體注入孔156、157延伸至公共表面如通道表面202並且近似共面。在另一實施例中,可稍微在第一氣體注入孔156的平面外部配置氣體導管147的第二末端,因而第一和第二氣體注入孔156、157不共面。
底板孔250具有延伸穿過底板233的直徑D4。在一個實施例中,直徑D4可在大約1毫米(mm)至大約7毫米(mm)的範圍。在底板孔250之內配置具有直徑D1的環形墊圈254以形成氣體注入孔156。該環形墊圈(ring insert)254可為部分或完全沿著底板孔250的長度延伸的管子。該環形墊圈254連接至(例如,壓配合或銅焊或焊接)底板孔250,因而在底板孔250和環形墊圈254之間形成液體密封。在另一實施例中,環形墊圈254可以被類似的環形部件代替,該環形部件用機械加工(例如,擴孔)至底板孔250中去。在又一實施例中,可以合適的選擇底板孔250的大小以形成這樣的第一氣體注入孔156使得直徑D4等於直徑D1。
在第一氣體注入孔156之內配置氣體導管147的第二末端並且在氣體導管147和第一氣體注入孔156之間形成注入孔間隙165,前驅物氣體154穿過該注入孔間隙165流動。該注入孔間隙165形狀上為環形並具有間隙尺寸G1。可選擇孔直徑D1、內部直徑D2、外部直徑D3和間隙尺寸G1以促進層氣體流動,避免氣體回流並幫助提供對於第一和第二前驅物氣體154、155的期望的氣體流速。在一個實施例中,穿過每個第一和第二氣體注入孔156的氣體流速可以近似相等。在一個實施例中,第一氣體注入孔156具有從大約.7mm至大約1.5毫米範圍的直徑D1;氣體導管147的內部直徑D2可在從大約.2mm至大約.8mm的範圍;氣體導管147的外部直徑D3可在從大約.4mm至大約1mm的範圍;和間隙尺寸G1可在從大約.05mm至大約.5mm的範圍。
第一和第二前驅物氣體154、155流入混合通道150並且混合以形成處理氣體152。混合通道150在進入處理容積108之前,允許第一和第二處理氣體154、155部分或完全混合,在處理容積中當處理氣體152流向基材140時可發生額外的前驅物混合。另外地,同心注入孔間隙165和第二氣體注入孔157的接近性可促進在混合通道150之內前驅物氣體的更快和更徹底的混合。第一和第二前驅物氣體154、155的這種“預先混合”可在處理氣體152到達基材140之前提供前驅物的更加完全和均勻混合,導致更高的沉積速率和改善的膜質量。
可通過熱交換通道141的鄰近混合通道150的外部或表面壁形成混合通道150的垂直壁201。在一個實施例中,混合通道150包括由基本上彼此平行的垂直壁201形成的表面壁。從通道表面202至角206測量混合通道150的高度H,在角206處混合通道150結束。在一個實施例中,混合通道150的該高度H可從大約3mm至大約15mm的範圍。在另一實施例中,混合通道150的高度H可超過15mm。在一個實施例中,混合通道150的寬度W1可從大約1mm至大約5mm的範圍並且熱交換通道141的寬度W2可以從大約2mm至大約8mm。
在另一實施例中,由斜面、斜角、扇形或其他幾何形狀代替角206以在混合通道150的一個末端產生發散壁200(通過虛線表示),該混合通道150具有由通道表面202至角203測量的高度H’,在角203處混合通道結束。在基材140的方向上增加發散壁200之間的距離,從而噴頭正面153的表面積減小並且當處理氣152向下游流動時氣流路徑變寬。噴頭正面153的表面積的減小將幫助減小氣體冷凝,而在處理氣體152流經熱交換通道141時,分散壁200可幫助減小氣體回流。可選擇分散角α以增加或減小噴頭正面153的表面積並且幫助減小氣體回流,在一個實施例中,角度α為零度。在另一實施例中,角度α為45度。在另一實施例中,熱交換通道141可在通道的一側上具有角206並且在通道的相反側上具有分散壁200。
第2B和2C圖用於噴頭元件104的混合通道150和熱交換通道141的不同實施例的橫截面圖。第2B圖為將斜面、斜角、扇形或其他幾何形狀放置在混合通道150的一個末端以在混合通道150的一個末端產生分散壁200的實施例,該混合通道150具有如從角203至通道表面202測得的高度H‘。
第2C圖示出其中垂直壁201和分散壁200都被使用並且關於熱交換通道141的中心平面205不對稱設置的另一實施例。當處理氣體152從噴頭元件104流至環形排氣通道105時,該非對稱壁結構可使回流降低到最小程度。高度H‘和H分別從通道表面202至角203和206測量。高度H‘和H可用於表示混合通道150的有效長度的特徵。
第2C圖示出第1B圖中示出的噴頭元件104的另一實施例。可通過配置在噴頭元件104處或附近的熱交換流體導管232代替中心導管148,和供應線路133可以適合使熱交換流體流動。熱交換流體導管232可用作用於熱交換通道141的供應或返回線路。
第3A圖-3D為根據本發明的噴頭元件的另外實施例的橫截面透視圖。第3A圖示出混合通道150和熱交換通道141。如第4A圖中所示,這些通道是直的並且彼此平行,線性延伸跨過噴頭的底部表面。熱交換導管232連接至熱交換通道141並且向上延伸穿過中間隔板210。可環繞熱交換流體導管232配置諸如O型環的密封設備(未示出),因而,第一氣室144不與第二或第三氣室145、160液體相聯。環繞第一氣室144的外周配置具有限制壁172和間隙173的環形歧管170。氣體導管147從中間隔板延伸並且與底板孔250同心或同軸,同時在環形墊圈254之內配置每個氣體歧管147的第二末端以形成注入孔間隙165,該注入孔間隙與第二氣體注入孔157同心。在一個實施例中,氣體歧管147可包括石英或諸如316L不銹鋼、Inconel、Hastelloy、化學鍍鎳的鋁、純鎳及其它抵抗化學侵蝕的金屬或合金的其他材料。注入孔間隙165和第二氣體注入孔157與混合通道150液體相聯,該混合通道150具有拉長混合通道150的長度的矩形橫截面220。
第3B圖示出第3A圖中示出的氣體導管147的另一實施例。氣體導管147為漏斗狀並且包括具有不同內部和外部直徑的導管251、252和253,其中導管251、252、253耦接在一起(例如,銅焊或焊接)以形成單一、集成的管子。在另一實施例中,氣體導管147可以包括一個或多個已經成型的管子並且每個管子可具有不同橫截面直徑。
第3C和3D圖示出對於底板孔250、混合區325和熱交換通道141的另外的實施例。第3C圖示出延伸進入底板孔250的圓柱狀氣體導管147,該底板孔為圓錐或漏斗狀。底板233可以包括耦接在一起的兩個或更多片,其中片的其中之一包括熱交換通道141。底板孔250的下部255可以具有圓柱形狀。該氣體導管147與底板孔250同心或同軸並且延伸至底板孔250中以形成注入孔間隙165和與配置在熱交換通道141之間的混合區域325液體相聯的第二氣體注入孔157。混合區域325形狀上是具有環形橫截面221的圓錐形。在一個實施例中,熱交換通道141包括x-y柵格(見第5圖),其中熱交換流體可以在同樣以柵格圖案配置的混合區域325之間流動。第3D圖示出對於氣體導管147的另一實施例,其中該氣體導管147是漏斗形狀。
第3E圖為根據本發明的一個實施例的噴頭元件的橫截面雙斷面圖。該噴頭元件104包括連接在一起的頂板230、阻斷片161、中間隔板210和底板233。底板233包括熱交換通道141和混合通道150,混合通道150包括橫越並且在基材支架114之上延伸的彼此平行的直的通道。
第二前驅物氣體155通過阻斷片161傳送至第二氣室145。然後,第二前驅物氣體155流入多個配置在中間隔板210中的中間隔板孔中去並且進入與混合通道150流通相聯的氣體導管147中去。在每個中間隔板孔240中配置氣體導管147,但是為了清楚,僅示出幾個氣體導管147。在一個實施例中,第二前驅物氣體155可以是金屬有機前驅物,例如TMG。
如第3E圖中所示,每個氣體導管147為漏斗狀。在另一實施例中,氣體導管147形狀上可以是圓柱狀。在中間隔板孔240中配置每個氣體導管147的第一末端並且氣體導管147的第一末端合適地連接(例如,銅焊和/或壓配合)至中間隔板210,因而在氣體導管147和中間隔板210之間形成液體密封。在底板孔250之內配置每個氣體導管147的第二末端,以使氣體導管147同心於或同軸于底板孔250。
第一氣室144包含流入多個底板孔250中去的第一前驅物氣體154,該多個底板孔與混合通道150液體相聯。在一個實施例中,第一前驅物氣體154可以是氮前驅物,例如氨。
第3F圖為根據本發明的一個實施例的第1B圖中示出的噴頭元件的詳細橫截面圖。通過供應線路131將第一前驅物氣體154傳送至配置在第一氣室144的周邊的環形歧管170中。然後,氣體流經配置在位於環形歧管170的內周的限制壁172的頂部的間隙173並且進入第一氣室144和底板孔250。當前驅物氣體流入第一氣室144中時,間隙173可以十分狹窄以使環形歧管170能夠填充並且在方位角方向上獲得更加均勻的氣體分佈。另外的,間隙173具有間隙尺寸G2,可以使該間隙尺寸大小合適以控制進入氣室的氣流速率和促進層流氣體流動。在一個實施例中,間隙尺寸G2可從大約.5mm至大約1.5mm的範圍。
第二前驅物氣體155從第三氣室160流入阻斷片孔162中並且進入第二氣室145,在第二氣室氣體流入多個中間隔板孔240中並且進入氣體導管147。通過同心的第一和第二氣體注入孔156、157將第一和第二前驅物氣體154、155注入到混合通道150中。
第3F圖也示出包括多個片的噴頭元件104。將頂板230、中間隔板210和底板233耦接在一起以形成噴頭元件104並且底板233可包括兩個或多個片,其中該片中的一個包括熱交換通道141。在整個元件中可以配置一個或多個o型環(未示出)和O型環槽241或其他密封設備以允許諸如氣室和冷卻液通道的各種噴頭元件的液體隔離。
可設計噴頭元件104以使其可被分解以有助於清洗和部分替代。可與處理環境相容的和可用做噴頭元件104的材料包括316L不銹鋼、Inconel,Hastelloy,無電鍍鎳鋁、純鎳、鉬、鉭及抵抗來自高溫、熱應力、和化學前驅物反應引起的退化和變形的其他金屬和合金。為了幫助減小裝配的複雜性並且確保不同氣體和流經該元件的液體的隔離,電鑄也可用於製造噴頭元件104的各部分。這種電鑄件可減小零件的數量並且需要密封以隔離元件之內的不同氣體和液體。另外的,電鑄也可幫助減小用於那些具有複雜幾何形狀的部件的製造成本。
第4A圖為根據本發明一個實施例的第1B圖中示出的噴頭元件的示意性仰視圖。噴頭元件104的直通道幾何結構通過同心的第一和第二注入孔156和157的線性佈置和配置於噴頭元件104底部的注入孔間隙165體現。混合通道150包括從噴頭正面153凹進且有垂直壁201的直的並且平行的通道。熱交換通道141包括寬度為W2並且配置於寬度為W2的混合通道150之間的直的和平行的通道。混合通道150平行於熱交換通道141。
如第4A圖中所示,同心氣體注入孔的位置可以從一個混合通道150到下一個交錯。孔距P是沿著相同的混合通道150同心氣體注入孔之間最短的距離,如圖所示虛線A之間和虛線B之間的距離。沿著相鄰混合通道150的同心氣體注入孔之間的垂直距離(如在混合通道150方向上測量的)通過交錯排列氣體注入孔可以減少到P/2,如圖所示虛線A和虛線B之間的距離。氣體注入孔的這樣交錯可以在基材支架114和基材140之上提供更均勻的氣體分佈。在另一個實施例中,同心氣體注入孔不是交錯排列,P代替P/2。
中心導管148位於或在噴頭元件104附近,並且此處對於中心導管148的幾個實施例已在前面描述。一個或多個埠400和401可以圍繞中心導管148配置,並且取決於每個埠400和401期望的功能,埠400和401的直徑可以相同或不同。在一個實施例中,埠400和/或401可用于容納諸如高溫計或熱電偶的溫度感測器,以測量基材溫度和/或諸如噴頭正面153的溫度的其他溫度。在一實施例中,埠400和401可以配置在噴頭元件104上以避免和熱交換通道141交叉。
在另一實施例中,埠400和/或401可用作計量埠並且可耦接至一個或多個計量工具(未示出)。該計量工具可用于測量諸如即時膜生長、厚度、粗糙度、成分的各種膜特性,或其他特性。一個或多個埠400和401也可以被傾斜一定角度以允許使用計量工具,諸如用於反射係數測量,其可能需要用於例如,反射的雷射光束的傾斜的發射器和接收器。
每個埠400和401也可適合使淨化氣體(其可為惰性氣體,例如氮和氬)流通以防止在埠400和401之內裝置上的冷凝並且使原位測量能夠精確。淨化氣體可以具有環繞感測器、探針和其他配置在管子感測器301內部並且臨近埠400、401的設備的環形流。在另一實施例中,埠400、401可具有分散噴頭構造,因而當氣體朝向140向下游移動時,淨化氣體流路徑變寬。分散噴頭可以是埋頭孔、斜面、扇形和可使氣流路徑變寬的其他特徵。在一個實施例中,淨化氣體可具有大約50sccm(標準立方釐米每分鐘)至大約500ccm的流速。
第4B和4C圖為對於根據本發明的在第4A圖中示出的噴頭元件的另外實施例的示意性仰視圖。第4B圖示出噴頭元件104的另一實施例,其中直通道幾何結構被螺旋通道取代。混合通道150和熱交換通道141包括從噴頭元件104的中心“螺旋出來”的螺旋通道。同心第一和第二氣體注入孔156和157和注入孔間隙165沿著到垂直壁測得距離為寬度W1的螺旋混合通道150配置在噴頭元件104的底部。螺旋混合通道150遠離噴頭正面153並且緊鄰寬度為W2的螺旋熱交換通道141,並且混合通道150和熱交換通道141交替沿著噴頭元件104的半徑。此處中心導管148和埠400、401在前面實施例中已經描述。雖然螺旋通道已經被公開,但例如同心通道的其他設備也可用做熱交換通道141和混合通道150。
第4C圖為另一實施例的噴頭元件104的示意性仰視圖。混合通道150和熱交換通道141組成同心通道配置在噴頭元件104的底部。同心第一和第二氣體注入孔156和157和注入孔間隙165沿著到垂直壁201距離為寬度W1的同心混合通道150配置。同心混合通道150遠離噴頭正面153並且緊鄰寬度為W2的同心熱交換通道141,並且混合通道150和熱交換通道141交替沿著噴頭元件104的半徑。
第5圖為根據本發明的一個實施例的第3C和3D圖中示出的噴頭元件的示意性仰視圖。在此實施例中,混合通道被圓錐形的有圓形橫截面221的混合區域325取代。第一和第二氣體注入孔156和157和注入孔間隙165關於混合區域325同心,混合區域325沿著噴頭正面153以x-y網格模式排列。
熱交換通道141配置在混合區域325之間以使熱交換通道141組成在x方向上寬度為X2而在y方向上寬度為Y2的x-y網格模式(見交叉陰影)。對於載送熱交換流體的熱交換通道141,X2和Y2指示近似寬度。寬度X1和Y1指出包括混合區域325但位於熱交換通道141外的近似面積。在一實施例中,寬度X1、X2、Y1和Y2近似相等。此處對於中心導管148和埠400、401前面實施例已描述。
第6圖為根據本發明的噴頭元件的另外實施例的示意性仰視圖。多個同心氣體注入孔502與配置於熱交換通道141之間的直的混合通道150液體連通。同心氣體注入孔502包括第一和第二氣體注入孔156和157和注入孔間隙165,分別具有直徑D1、直徑D2和間隙大小G1。
在一實施例中,如象限IV所示,可使用相同尺寸的氣體注入孔502穿過噴頭正面153。術語“同樣尺寸”意味著從一個氣體注入孔502到另一個,D1、D2和G1的值不會改變。噴頭元件104可以被合適地設計有助於達到適當的氣流以使近似相同數量的氣體隨時間通過每個傳送相同前驅物氣體的氣體注入孔傳送。氣體注入孔的直徑也可以設計為合適的尺寸以有助於確保來自每個流相同前驅物氣體的氣體注入孔的氣流速度大致相同。質量流量控制器可以配置在噴頭元件104的上流以使每種前驅物到氣室的流速可調整,從而控制處理氣體152的前驅物化學配比。然而,在一定條件下,也可能期望在沿著噴頭正面153的不同位置增加或減小處理氣體152的流速。
在一實施例中,如象限I所示,在噴頭元件104的外周504附近可以使用比同心氣體注入孔502的相應直徑有更大直徑D1和D2的更大的同心氣體注入孔503來增加氣流速度,以有助於補償在環形排氣通道105和基材支架114外部邊緣附近可能存在的氣流不規則性。例如,在外周504附近環形排氣通道105的真空可能耗盡處理氣體152,並且更大的同心氣體注入孔503有助於補償氣體損耗。在一實施例中,可以選擇更大的D1和D2的值以使間隙大小以相應比例增加從而使第一和第二前驅物氣體154、155之間的相對流速不變。
象限II示出在噴頭元件104的外周504附近對於同心氣體注入孔502使用更大孔密度(單位面積孔數目),這有助於在基材140上提供更均勻的氣體分佈。孔距P是沿著相同混合通道150在同心氣體注入孔502之間的最短距離,並且間隔距離X是配置在相鄰混合通道150中的同心氣體注入孔502之間的最短距離。在噴頭元件104期望的面積上,孔距P可以改變以增加或減小孔密度。在此實施例中,孔距P減小以增加在外周504附近的密度而間隔距離X保持不變。在另一實施例中,間隔距離X和/或氣體通道501的尺寸也可以改變以增加或減小孔密度。在一實施例中,在外周504附近的孔距P和遠離外周504的正常孔距的比例範圍從大約1:1到大約0.5:1。
在又一實施例中,如象限III所示,同心氣體注入孔506可用作增加相對於另一前驅物氣體的前驅物氣體的流速而有助於獲得跨過噴頭正面153的期望氣流、氣體分佈和/或化學配比。在該實施例中,僅增加相對於同心氣體注入孔502的第一氣體注入孔156的直徑D1。在另一實施例中,可以僅增加相對於同心氣體注入孔502的第二氣體注入孔157的直徑D2。在其他實施例中,視需要跨過噴頭元件104的同心氣體注入孔502的直徑和孔密度可以變化。此處在第6圖中示出的實施例可以和此處關於噴頭元件104描述的其他實施例組合使用。
此處前面描述的噴頭元件104在MOCVD的應用適合於另一沉積技術,總所周知為氫化物氣相磊晶(HVPE)。HVPE製程在一些III-V族薄膜的生長,特別是GaN生長具有諸如高的生長率、相對簡單性和成本效率的幾個優勢。在此技術中,GaN的生長進行應歸於高溫、在氯化鎵(GaCl)和氨之間的氣相反應。氨由標準氣源提供,而GaCl是由含有氫化物的氣體,例如HCl,通過熱的液態鎵源而產生。兩種氣體,氨和GaCl,朝加熱的基材引導,在基材處反應並且在基材表面形成GaN薄膜。通常,HVPE製程可以用作生長其他III族-氮化物薄膜,通過使含有氯化物的氣體(例如HCl、HBr或HI)流過III族液態源而形成III族-鹵化物氣體,然後混合III族-鹵化物和諸如氨的含氮氣體而生成III族-氮化物薄膜。
在一個實施例中,氣體傳送系統125包括連到腔室102的外部熱源舟(未示出)。熱源舟包括加熱到液相的金屬源(例如,Ga),並且包含氯化物的氣體(例如,HCl)可以流過金屬源而形成III族-鹵化物氣體,例如GaCl。III族-鹵化物氣體和諸如NH3 的含氮氣體,通過供應線路131、132傳送的噴頭元件104的第一和第二氣室,注入處理容積108而在基材140上沉積諸如GaN的III族-氮化物薄膜。在另一實施例中,加熱一個或多個供應線路131、132以從外部熱舟傳送前驅物氣體到腔室102。在另一實施例中,惰性氣體,可能是氫、氮、氦、氬或他們的組合,在第一和第二HVPE前驅物氣體之間流動以保持在到達基材140之前前驅物氣體分開。HVPE前驅物氣體可以包括摻雜氣體。
除此處前面提到的III族前驅物氣體之外,其他III族前驅物氣體可用於噴頭元件104。有通式MX3 的前驅物氣體,此處M為III族元素(例如,鎵、鋁或銦),且X為VII族元素(例如溴、氯或碘),也可以使用(例如,GaCl3 )。氣體傳送系統125的元件(例如,起泡器、供應線路)相稱地適合於傳送MX3 前驅物氣體到噴頭元件104。
雖然前述針對本發明的實施例,不偏離本發明的基本範圍的條件下可以設計本發明其他和進一步的實施例,並且本發明的範圍通過下述權利要求確定。
100...設備
102...室
103...腔室主體
104...噴頭元件
105...環形排氣通道
106...排氣導管
107...閥門系統
108...處理容積
109...排氣埠
110...下部容積
112...真空系統
114...基材支架
116...凹槽
119...下部圓頂
120...排氣環
121A...內部燈
121B...外部燈
124...供應線路
125...氣體傳送系統
126...遠端電漿源
129...導管
130...閥門
131...供應線路
132...供應線路
200...發散壁
201...垂直壁
202...通道表面
203...角
205...中心平面
206...角
210...中間隔板
220...矩形橫截面
230...頂板
232...熱交換流體導管
233...底板
240...中間隔板孔
241...O型環槽
250...底板孔
251...導管
252...導管
253...導管
254...環形墊圈
325...混合區
400...埠
401...埠
502...氣體注入孔
503...同心氣體注入孔
504...外周
506...同心氣體注入孔
I...象限
II...象限
III...象限
IV...象限
α...角度
A...虛線
B...虛線
D1...直徑
D2...直徑
D3...外部直徑
D4...直徑
H...高度
H'...高度
P...節距
W1...寬度
W2...寬度
X...間隔距離
X1...寬度
X2...寬度
Y1...寬度
Y2...寬度
因此為了更詳細地理解本發明的以上所述特徵,將參照附圖中示出的實施例對以上簡要概括的本發明進行更具體描述。然而,應該注意,附圖中只示出了本發明典型的實施例,因此不能認為是對本發明範圍的界定,本發明可以允許其他等同的有效實施例。
第1A圖為根據本發明的一個實施例的沉積設備的示意圖;
第1B圖為第1A圖中示出的噴頭元件的詳細橫截面圖;
第2A圖為根據本發明的一個實施例的第1B圖中示出的噴頭元件的詳細橫截面圖;
第2B和2C圖為對於混合通道和熱交換通道的不同實施例的橫截面圖;
第3A-3D圖為根據本發明的噴頭元件的另外實施例的橫截面透視圖;
第3E圖為根據本發明的一個實施例的噴頭元件的橫截面雙斷面圖;
第3F圖為根據本發明的一個實施例的第1B圖中示出的噴頭元件的詳細橫截面圖;
第4A圖為根據本發明一個實施例的第1B圖中示出的噴頭元件的示意性仰視圖;
第4B和4C圖為對於根據本發明的在第4A圖中示出的噴頭元件的另外實施例的示意性仰視圖;
第5圖為根據本發明的一個實施例的第3C和3D圖中示出的噴頭元件的示意性仰視圖;
第6圖為根據本發明的噴頭元件的另外實施例的示意性仰視圖。
為了幫助理解,在有可能的情況下,使用相同的參考符號指代相同的元件,該相同的元件為附圖所共有。希望,一個實施例的元件和特徵可以有利地合併到其他實施例中而不進一步的敍述。
103...腔室主體
104...噴頭元件
105...環形排氣通道
106...排氣導管
108...處理容積
109...排氣埠
114...基材支架
131...供應線路
132...供應線路
133...供應線路
140...基材
141...熱交換通道
144...第一氣室
145...第二氣室
147...氣體導管
148...中心導管
150...混合通道
152...處理氣體
153...噴頭正面
154...第一前驅物氣體
155...第二前驅物氣體
156...第一氣體注入孔
157...第二氣體注入孔
170...環形歧管
172...限制壁
173...間隙
210...中間隔板
230...頂板
240...中間隔板孔
250...底板孔

Claims (25)

  1. 一種噴頭設備,包括:設置於該噴頭內之一第一氣室,其用於接收一第一前驅物氣體;設置於該噴頭內之一第二氣室,其用於接收一第二前驅物氣體;設置於該噴頭內之複數個內部和外部注入孔,其中該等內部注入孔係由氣體導管所界定,該等氣體導管配置在該等外部注入孔的邊界之內,該等內部注入孔與該第二氣室液體相聯,並且該等外部注入孔與該第一氣室液體相聯;及設置於該噴頭中之複數個熱交換通道,其鄰近該複數個內部和外部注入孔,用於接收一熱交換液體。
  2. 如申請專利範圍第1項所述之設備,其中該等氣體導管提供一通道,從該第一氣室通過該通道提供該第一前驅物氣體用於穿過該等內部注入孔注入,且其中從該第二氣室提供該第二前驅物氣體用於穿過該外部注入孔注入。
  3. 如申請專利範圍第2項所述之設備,該等氣體導管的每個係同心佈置有各自的外部注入孔。
  4. 如申請專利範圍第1項所述之設備,進一步包括複數個混合通道,其形成於面對一基材處理容積的該噴頭的一表面中,其中該第一前驅物氣體和該第二前驅物氣體通過 該等內部和外部注入孔注入該等混合通道。
  5. 如申請專利範圍第4項所述之設備,其中該等混合通道具有一直的並且平行的構造。
  6. 如申請專利範圍第4項所述之設備,其中該等混合通道具有一螺旋構造。
  7. 如申請專利範圍第4項所述之設備,其中該等混合通道具有一同心構造。
  8. 如申請專利範圍第1項所述之設備,其中針對該等內部和外部注入孔之每對,將一混合區域分別界定在面對一基材處理容積的該噴頭的一側面上。
  9. 如申請專利範圍第8項所述之設備,其中被界定在多對內部和外部注入孔的混合區域以一x-y柵格圖案佈置。
  10. 一種噴頭設備包括:複數個前驅物混合通道,其形成於在面對一基材處理容積的該噴頭之一表面中;設置於該噴頭中之複數個第一注入孔,通過其將一第一前驅物氣體注入到該等前驅物混合通道中;和設置於該噴頭中之複數個第二注入孔,通過其將一第二前驅物氣體注入到該等前驅物混合通道中,其中該等第一注入孔中的每個具有由一氣體導管所界定之一第二注入孔,該氣體導管配置在該第一氣體注入孔的邊界之內;及 設置於該噴頭中之複數個熱交換通道,其鄰近該複數個第一和第二注入孔,用於接收一熱交換液體。
  11. 如申請專利範圍第10項所述之設備,其中該等第一注入孔的每個具有與其同心佈置的一第二注入孔。
  12. 如申請專利範圍第10項所述之設備,其中該等第一注入孔具有相同的孔直徑,並且該等第二注入孔具有相同的孔直徑。
  13. 如申請專利範圍第10項所述之設備,其中該等第一注入孔具有不同的孔直徑,以使該等孔直徑在越靠近該噴頭設備的外周邊區域的孔位置越大。
  14. 如申請專利範圍第10項所述之設備,其中該等第一和第二注入孔在越靠近該噴頭設備的外周邊區域附近具有一越大的密度。
  15. 如申請專利範圍第10項所述之設備,其中該等熱交換通道係形成在面對該基材處理容積的該噴頭設備的該側面上。
  16. 如申請專利範圍第15項所述之設備,其中該等熱交換通道具有複數個壁,其延伸至該基材處理容積,並且界定該等前驅物混合通道。
  17. 如申請專利範圍第10項所述之設備,其中該第一前驅物氣體包括一Ⅲ族前驅物氣體,並且該第二前驅物氣體包括一V族前驅物氣體。
  18. 一種噴頭設備,包括:設置於該噴頭中之一第一氣室,其用於接收一第一前驅物氣體;複數個第一氣體導管,通過其將該第一前驅物氣體從該第一氣室提供至一前驅物混合區域;設置於該噴頭中之一第二氣室,其用於接收一第二前驅物氣體;複數個第二氣體導管,通過其將該第二前驅物氣體從該第二氣室提供至該前驅物混合區域,其中該等第一氣體導管之每個具有配置在該第一氣體導管的邊界之內的一第二氣體導管;及設置於該噴頭中之複數個熱交換通道,其鄰近該複數個第一和第二導管,用於接收一熱交換液體。
  19. 如申請專利範圍第18項所述之設備,其中該等第一氣體導管的每個具有與其同心佈置的一第二氣體導管。
  20. 如申請專利範圍第18項所述之設備,其中該等第一和第二氣體導管具有一圓柱形結構。
  21. 如申請專利範圍第18項所述之設備,其中該等第一和第二氣體導管中的至少一個具有一圓錐形結構。
  22. 如申請專利範圍第18項所述之設備,其中該等熱交換通道係形成在面對一基材處理容積的該噴頭設備之該側面上。
  23. 如申請專利範圍第22項所述之設備,其中該等熱交換通道具有複數個壁,其延伸至該基材處理容積,並且界定該前驅物混合區域。
  24. 如申請專利範圍第23項所述之設備,進一步包括一個或多個溫度感測器,其用於測量該噴頭的該溫度,其中基於測得的溫度,來控制流經該等熱交換通道的熱交換流體的溫度和流速。
  25. 如申請專利範圍第18項所述之設備,其中針對第一和第二氣體導管中同心佈置的每對,將一混合區域分別界定在面對一基材處理容積的該噴頭的一側面上。
TW097139610A 2007-10-16 2008-10-15 多氣體同心注入噴頭 TWI478771B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/873,170 US20090095221A1 (en) 2007-10-16 2007-10-16 Multi-gas concentric injection showerhead

Publications (2)

Publication Number Publication Date
TW200927295A TW200927295A (en) 2009-07-01
TWI478771B true TWI478771B (zh) 2015-04-01

Family

ID=40532946

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097139610A TWI478771B (zh) 2007-10-16 2008-10-15 多氣體同心注入噴頭

Country Status (4)

Country Link
US (1) US20090095221A1 (zh)
CN (1) CN101423937B (zh)
TW (1) TWI478771B (zh)
WO (1) WO2009052002A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791492B (zh) * 2017-03-14 2023-02-11 美商蘭姆研究公司 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置

Families Citing this family (490)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
CN101772833B (zh) * 2008-02-20 2012-04-18 东京毅力科创株式会社 气体供给装置
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110030615A1 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102414801A (zh) 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI485799B (zh) 2009-12-10 2015-05-21 Orbotech Lt Solar Llc 自動排序之直線型處理裝置
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US20110244663A1 (en) * 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP4840832B2 (ja) * 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
CN102108547B (zh) * 2010-12-31 2012-06-13 东莞市中镓半导体科技有限公司 一种多片大尺寸氢化物气相外延方法和装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI457180B (zh) * 2011-08-15 2014-10-21 Hermes Epitek Corp 氣體噴頭
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
KR101907973B1 (ko) 2011-12-16 2018-10-17 주식회사 원익아이피에스 가스분사장치 및 이를 구비하는 기판처리장치
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
WO2014012237A1 (en) * 2012-07-19 2014-01-23 Ideal Energy Equipment (Shanghai) Ltd. Method and apparatus for growing nitride-based compound semiconductor crystals
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
TWI480414B (zh) * 2012-11-14 2015-04-11 Ind Tech Res Inst 噴氣系統及氣相磊晶設備
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN108546932A (zh) * 2013-02-15 2018-09-18 诺发***公司 带温度控制的多室喷头
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
TWI545224B (zh) * 2014-02-26 2016-08-11 國立中央大學 用於有機金屬化學氣相沉積設備之進氣系統
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
SG11201608640QA (en) * 2014-05-16 2016-11-29 Applied Materials Inc Showerhead design
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
SG10201902076XA (en) * 2014-09-08 2019-04-29 Applied Materials Inc Honeycomb multi-zone gas distribution plate
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9899210B2 (en) * 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6984126B2 (ja) * 2016-12-27 2021-12-17 東京エレクトロン株式会社 ガス供給装置、プラズマ処理装置及びガス供給装置の製造方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11380557B2 (en) 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102572740B1 (ko) * 2018-06-08 2023-08-29 어플라이드 머티어리얼스, 인코포레이티드 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11186910B2 (en) * 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN113383109A (zh) * 2019-02-01 2021-09-10 朗姆研究公司 用于具有多个充气部及气体分配室的沉积工具的喷头
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7520868B2 (ja) 2019-03-11 2024-07-23 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN110158055B (zh) * 2019-05-15 2022-01-14 拓荆科技股份有限公司 多段喷淋组件
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20210003922A1 (en) * 2019-07-01 2021-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus, system and method
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11993548B2 (en) 2022-09-30 2024-05-28 Rtx Corporation Minimization of chemical vapor infiltration tooling hole length through windows
US20240109816A1 (en) * 2022-09-30 2024-04-04 Raytheon Technologies Corporation Ceramic matrix composite tooling for chemical vapor infiltration process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0019370B1 (en) * 1979-05-18 1983-09-07 Tegal Corporation Plasma reactor apparatus and process for the plasma etching of a workpiece in such a reactor apparatus
GB2219311A (en) * 1988-05-06 1989-12-06 Elektromat Veb Gas inlet and discharge attachment for the gas-phase processing of workpieces
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9207292D0 (en) * 1992-04-02 1992-05-13 Unilever Plc Fluid dispensers
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5725675A (en) * 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6176929B1 (en) * 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6645884B1 (en) * 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP2001342570A (ja) * 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
US20030017268A1 (en) * 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
GB0509944D0 (en) * 2005-05-16 2005-06-22 Boc Group Plc Gas combustion apparatus
JP4803578B2 (ja) * 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US20110204376A1 (en) * 2010-02-23 2011-08-25 Applied Materials, Inc. Growth of multi-junction led film stacks with multi-chambered epitaxy system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0019370B1 (en) * 1979-05-18 1983-09-07 Tegal Corporation Plasma reactor apparatus and process for the plasma etching of a workpiece in such a reactor apparatus
GB2219311A (en) * 1988-05-06 1989-12-06 Elektromat Veb Gas inlet and discharge attachment for the gas-phase processing of workpieces
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791492B (zh) * 2017-03-14 2023-02-11 美商蘭姆研究公司 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置

Also Published As

Publication number Publication date
TW200927295A (en) 2009-07-01
WO2009052002A1 (en) 2009-04-23
CN101423937B (zh) 2011-09-28
US20090095221A1 (en) 2009-04-16
CN101423937A (zh) 2009-05-06

Similar Documents

Publication Publication Date Title
TWI478771B (zh) 多氣體同心注入噴頭
US9644267B2 (en) Multi-gas straight channel showerhead
US20090095222A1 (en) Multi-gas spiral channel showerhead
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
CN101925980B (zh) 化学汽相沉积设备
KR101906355B1 (ko) 가스 주입 분배 장치들을 갖는 샤워헤드 조립체
EP2066496B1 (en) Equipment for high volume manufacture of group iii-v semiconductor materials
US20110121503A1 (en) Cvd apparatus
US20120000490A1 (en) Methods for enhanced processing chamber cleaning