TWI474430B - 用以處理基板之裝置、系統及方法 - Google Patents

用以處理基板之裝置、系統及方法 Download PDF

Info

Publication number
TWI474430B
TWI474430B TW101127222A TW101127222A TWI474430B TW I474430 B TWI474430 B TW I474430B TW 101127222 A TW101127222 A TW 101127222A TW 101127222 A TW101127222 A TW 101127222A TW I474430 B TWI474430 B TW I474430B
Authority
TW
Taiwan
Prior art keywords
substrate
processing
chamber
module
disposed
Prior art date
Application number
TW101127222A
Other languages
English (en)
Other versions
TW201310572A (zh
Inventor
Hyung Joon Kim
Original Assignee
Semes Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semes Co Ltd filed Critical Semes Co Ltd
Publication of TW201310572A publication Critical patent/TW201310572A/zh
Application granted granted Critical
Publication of TWI474430B publication Critical patent/TWI474430B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

用以處理基板之裝置、系統及方法
本文揭示之本發明係關於一種用以處理基板之裝置、系統及方法,且更特定言之,係關於一種具有群集結構之基板處理裝置、一種基板處理系統及一種使用該基板處理系統之基板處理方法。
可藉由經由包括光微影之各種多階段製程而於基板(諸如矽晶圓)上形成電路圖案來製造半導體器件。此類多階段製程在對應之腔室中執行。因此,重複將基板放入一腔室中以執行一製程,且接著放入另一腔室中以執行另一製程之操作來製造半導體器件。
由於半導體器件小型化,所以製造半導體器件之製程變得複雜,且製程之數目增加。因此,在用以製造半導體器件之總時間中,在腔室之間載運基板之時間逐漸增多。
伴隨此趨勢,為改良基板輸送量,正積極研究用以減少不必要載運製程之數目且連續執行製程之半導體製造系統的佈局。
本發明提供一種用以處理基板之裝置、系統及方法,該裝置、系統及方法最小化基板之不必要載運。
然而,本發明不限於此,且因此,本文中未描述之其他裝置、系統及方法將由熟習此項技術者自以下描述及隨附圖式中清楚地瞭解。
本發明之實施例提供基板處理裝置,該裝置包括:一 裝載埠,其上安裝收納基板之一容器;複數個處理模組,其處理該基板;一傳送模組,其安置於該裝載埠與該等處理模組之間,且於該容器與該等處理模組之間傳送基板;及一緩衝腔室,其安置於該等處理模組中之相鄰處理模組之間,且提供用以在相鄰處理模組之間載運基板之空間。
在一些實施例中,裝載埠、傳送模組及處理模組可沿第二方向(Y-軸方向)依序排列,且處理模組可沿在平面圖中垂直於第二方向之第一方向於傳送模組之一側呈直線排列。
在其他實施例中,處理模組中之每一者可包括:一傳送腔室,其於環繞該傳送腔室安置之腔室之間傳送基板;複數個處理腔室,其環繞該傳送腔室安置以處理基板;及加載鎖定腔室,其安置於傳送模組與傳送腔室之間,其中緩衝腔室安置於該等相鄰處理模組之傳送腔室之間。
在其他實施例中,緩衝腔室可提供緩衝空間,於相鄰處理模組之間載運之基板暫時停留於該緩衝空間中。
在其他實施例中,緩衝腔室可包括:一外殼;一支撐部件,其安置於該外殼中,且支撐基板;及一旋轉部件,其旋轉置放於該支撐部件上之基板。
在其他實施例中,緩衝腔室可包括:一外殼;一支撐部件,其安置於該外殼中,且支撐基板;及一電漿供應器,其將電漿供應至該外殼。
在其他實施例中,緩衝腔室可包括垂直堆疊之外殼。
在本發明之其他實施例中,基板處理系統包括:複數個基板處理裝置,每一基板處理裝置包含:一裝載埠,其上安裝收納基板之一容器;一處理模組,其處理該基板; 及一傳送模組,其安置於該裝載埠與該處理模組之間,且在容器與處理模組之間傳送基板;及一第一緩衝腔室,其安置於該等基板處理裝置中之相鄰基板處理裝置之間,且提供用以在相鄰基板處理裝置之間載運基板之空間,其中該處理模組包含:一傳送腔室,其於環繞該傳送腔室安置之腔室之間傳送基板;複數個處理腔室,其環繞該傳送腔室安置以處理基板;及一加載鎖定腔室,其安置於傳送模組與傳送腔室之間,且該第一緩衝腔室安置於該等相鄰基板處理裝置之傳送腔室之間。
在一些實施例中,裝載埠、傳送模組及處理模組可沿第二方向(Y-軸方向)依序排列,且基板處理裝置可沿垂直於第二方向之第一方向呈直線排列。
在其他實施例中,第一緩衝腔室可提供緩衝空間,在相鄰基板處理裝置之間載運之基板暫時停留於該緩衝空間中。
在其他實施例中,第一緩衝腔室可包括:一外殼;一支撐部件,其安置於該外殼中,且支撐基板:及一旋轉部件,其旋轉置放於該支撐部件上之基板。
在其他實施例中,第一緩衝腔室可包括:一外殼;一支撐部件,其安置於該外殼中,且支撐基板;及一電漿供應器,其將電漿供應至該外殼,且執行一電漿製程。
在其他實施例中,第一緩衝腔室可包括垂直堆疊之外殼。
在其他實施例中,包括於基板處理裝置中之處理模組可以複數個形式提供,且基板處理裝置可進一步包括第二緩衝腔室,該第二緩衝腔室安置於包括於同一基板處理裝 置之處理模組中之相鄰處理模組之間,且提供用以在相鄰處理模組之間載運基板之空間。
在其他實施例中,處理模組可包括:一傳送腔室,其於環繞該傳送腔室安置之腔室之間傳送基板;複數個處理腔室,其環繞該傳送腔室安置以處理基板;及一加載鎖定腔室,其安置於傳送模組與傳送腔室之間,且第二緩衝腔室可安置於相鄰處理模組之間。
在本發明之其他實施例中,提供使用一基板處理裝置之基板處理方法,該基板處理裝置包含:一裝載埠,其上安裝收納基板之容器;一傳送模組,其自該容器將基板取出;複數個處理模組,其於該傳送模組之一側呈直線排列;及一緩衝腔室,其安置於該等處理模組中之相鄰處理模組之間,該等基板處理方法包括:藉由傳送模組將基板自容器載運至處理模組中之第一處理模組;藉由該第一處理模組執行一處理製程;將基板自第一處理模組載運至第一緩衝腔室,該第一緩衝腔室安置於第一處理模組與相鄰於該第一處理模組之第二處理模組之間;將基板自該第一緩衝腔室直接載運至第二處理模組;及藉由該第二處理模組執行處理製程。
在一些實施例中,基板處理方法可進一步包括藉由傳送模組將基板自第二處理模組載運至容器。
在其他實施例中,基板處理方法可進一步包括:將基板自第二處理模組載運至第二緩衝腔室,該第二緩衝腔室安置於第二處理模組與相鄰於該第二處理模組之第三處理模組之間;將基板自該第二緩衝腔室直接載運至第三處理模組;及藉由該第三處理模組執行處理製程。
在本發明之其他實施例中,提供使用一基板處理系統之基板處理方法,該基板處理系統包含;複數個基板處理裝置;及一緩衝腔室,其中該等基板處理裝置呈直線排列,該等基板處理裝置中之每一者包含:一裝載埠,其上安裝收納基板之一容器;一傳送模組,其自該容器將基板取出;及一處理模組,其安置於該傳送模組之一側,且緩衝腔室安置於該等基板處理裝置中之相鄰基板處理裝置之間;該等基板處理方法包括:藉由基板處理裝置中之第一基板處理裝置將基板自容器取出;藉由該第一基板處理裝置執行一處理製程;將基板自第一基板處理裝置載運至第一緩衝腔室,該第一緩衝腔室安置於第一基板處理裝置與相鄰於該第一基板處理裝置之第二基板處理裝置之間;將基板自該第一緩衝腔室直接載運至第二基板處理裝置;及藉由該第二基板處理裝置執行一處理製程。
在一些實施例中,基板處理方法可進一步包括:藉由第二基板處理裝置將基板供給於容器中。
在其他實施例中,基板處理方法可進一步包括:將基板自第二基板處理裝置載運至第二緩衝腔室,該第二緩衝腔室安置於第二製程基板處理裝置與相鄰於該第二基板處理裝置之第三基板處理裝置之間;將基板自該第二緩衝腔室直接載運至第三基板處理裝置;及藉由該第三基板處理裝置執行一處理製程。
在其他實施例中,基板處理方法可進一步包括藉由緩衝腔室旋轉基板。
在其他實施例中,基板處理方法可進一步包括於處理製程之前或之後藉由緩衝腔室執行一製程。
在其他實施例中,藉由緩衝腔室執行之製程可包括於處理製程期間移除形成於基板上之雜質的製程。
包括隨附圖式以提供對本發明之進一步理解,且隨附圖式併入本說明書中且構成本說明書之一部分。圖式說明本發明之例示性實施例,且與描述一起用以闡釋本發明之原理。
本文使用之術語及隨附圖式係用以描述本發明之例示性實施例之例示性術語及圖式,且因此,本發明不限於此。
此外,將取消關於熟知技術之詳細描述,以避免不必要地混淆本發明之主題。
現將於下文中描述根據本發明之一實施例之基板處理裝置1000。
基板處理裝置1000可於基板S上執行一製程。該製程可為用於製造半導體器件、平板顯示器(FPD)及包括薄膜電路(circuit on a thin film)的器件之任何製程。此外,基板S可為用於製造半導體器件、FPD及包括薄膜電路的器件之任何基板。舉例而言,基板S可為矽晶圓或各種晶圓、有機基板及玻璃基板中之一者。
圖1為示出根據本發明之一實施例的基板處理裝置1000之平面圖。
參看圖1,基板處理裝置1000包括裝載埠1110、傳送模組1100、複數個處理模組1200及緩衝腔室2000。用以收納基板S之容器C置放於裝載埠1100上。傳送模組1100在置放於裝載埠1100上之容器C與處理模組1200之間傳 送基板S。處理模組1200處理基板S。緩衝腔室2000安置於處理模組1200中之相鄰處理模組之間以提供一空間,於該空間中基板S在處理模組1200之間傳送。
容器C安裝於裝載埠1110上。容器C可自裝載埠之外部裝載至裝載埠1110,或可自裝載埠1110卸載至裝載埠之外部。舉例而言,容器C可於基板處理裝置1000之間藉由傳送部件(諸如架空傳送車)進行傳送。可藉由自動導引車、軌道導引車或工人代替架空傳送車來傳送基板S。
前開口式統一盒(FOUP)可用作用以收納基板S之容器C。通常,前開口式統一盒可容納25個基板S。可關閉容器C來阻止基板S受污染。
裝載埠1110可鄰近於傳送模組1100。舉例而言,如圖1所示,三個裝載埠1100可於傳送模組1100之外殼1120之一側沿第一方向X直線排列。裝載埠1110之數目及配置未特定地限制。
傳送模組1100在容器C與處理模組1200之間傳送基板S。傳送模組1100安置於裝載埠1110與處理模組1200之間以傳送基板S。傳送模組1100可包括外殼1120、傳送機器人1130及傳送軌道1140。
外殼1120構成傳送模組1100之外壁,且將傳送模組1100之內部空間與其外部隔離。外殼1120可具有長方體形狀。然而,外殼1120之形狀不限於此。
外殼1120安置於裝載埠1110與處理模組1200之間。因此,外殼1120之一側連接至裝載埠1110,且外殼之另一側連接至處理模組1200。
連接至裝載埠1110之外殼1120側具有用以與安裝於 裝載埠1110上之容器C交換基板S之一開口,以及用以打開及關閉提供於其上之該開口的一門。外殼1120之連接至處理模組1200的該側連接至處理模組1200之加載鎖定腔室1210。
風扇過濾器(未顯示)可安裝於外殼1120之上部表面以淨化引入至外殼1120中之空氣。因此,在外殼1120內淨化之空氣自上部側流動至下部側以維持外殼1120中之清潔氣氛。
傳送機器人1130直接固持基板S,且在容器C與處理模組1200之間傳送基板S。傳送機器人1130可經由外殼1120之第一側與容器C交換基板S,且經由連接至外殼1120之第二側的加載鎖定腔室1210與處理模組1200改變基板S。
傳送機器人1130可安置於外殼1120之內,且沿傳送軌道1140移動。傳送軌道1140可充當傳送機器人1130之移動路徑。傳送軌道1140可於外殼1120內沿第一方向X延伸。然而,傳送軌道1140之組態不限於此,且因此,傳送軌道1140可沿不同於第一方向X之方向延伸。或者,可移除傳送軌道1140,且傳送機器人1130可固定至外殼1120之內部中心部分。
傳送機器人1130可包括一基底、一主體、一手臂及一手。該基底可安裝於傳送軌道1140上,且可沿傳送軌道1140移動。該主體可連接至基底,且於該基底上垂直移動或繞一垂直軸線旋轉。該手臂可安裝於主體上,且可來回移動。該手可安置於手臂之一末端以固持或釋放基板S。可提供複數個手臂。於此狀況下,該等手臂可於主體上垂直堆疊, 且可個別地操作。
傳送機器人1130可沿傳送軌道1140移動基底,且根據主體及手臂之運動控制手之位置。此外,傳送機器人1130可控制手來將基板S自容器C取出且將基板S放入處理模組1200中,或將基板S自處理模組1200取出且將基板S放入容器C中。處於關閉狀態之容器C可裝載至裝載埠1110,且容器打開器可安置於外殼1120上以打開或關閉容器C。當容器打開器打開容器C時,傳送機器人1130可固持容器C中容納之基板S。
處理模組1200處理基板S。處理模組1200可於傳送模組1100之第二側處沿第一方向X呈直線排列。
雖然圖1中示出之處理模組1200之數目為二,但處理模組1200之數目不限於此。
圖2為示出根據本發明之另一實施例的基板處理裝置1000之平面圖。參看圖2,基板處理裝置1000可包括至少三個處理模組1200。
處理模組1200中之每一者包括加載鎖定腔室1210、傳送腔室1220及處理腔室1230。裝載埠1110、傳送模組1100、加載鎖定腔室1210及傳送腔室1220可沿垂直於第一方向X之第二方向Y依序排列。加載鎖定腔室1210安置於傳送模組1100之第二側,且提供用以在傳送模組1100與處理模組1200之間交換基板S之空間。傳送腔室1220在環繞傳送腔室1220安置之腔室之間傳送基板S。處理腔室1230環繞傳送腔室1220安置以執行製程。
加載鎖定腔室121安置於傳送模組1100與傳送腔室1220之間,且提供用以在傳送模組1100與傳送腔室1220 之間交換基板S之空間。處理模組1200之加載鎖定腔室1210可於傳送模組1100之第二側沿第一方向X呈直線排列。一個處理模組1200可包括複數個垂直堆疊之加載鎖定腔室1210。
圖3為沿圖1之線A-A′截取之橫截面圖。圖4為沿圖1之線B-B′截取之橫截面圖。
參看圖3及圖4,加載鎖定腔室1210可包括外殼1211、複數個支撐槽1212及減壓部件1213。
外殼1211構成加載鎖定腔室1210之外壁,且將加載鎖定腔室1210之內部空間與其外部隔離。在傳送模組1100與傳送腔室1220之間交換之基板S可暫時停留於外殼1211中。
外殼1211之一側連接至傳送模組1100之第二側,且外殼1211之另一側連接至傳送腔室1220。外殼1211之第一及第二側分別具備開口,經由該等開口傳送基板S,且於開口上提供用以打開及關閉該等開口之門。
支撐槽1212安置於外殼1211之內壁上。支撐槽1212中之每一者可具有一對彼此間隔之板形狀以支撐基板S之邊緣。傳送模組1100之傳送機器人1130或傳送腔室1220之載運機器人1222可沿該等板形狀二者之間的空間垂直移動,以將基板S置放於支撐槽1212上或自支撐槽1212將基板S撿起。
加載鎖定腔室1210可包括支撐槽1212中之至少一者。支撐槽1212可於外殼1211之內壁上彼此垂直間隔。
減壓部件1213可使外殼1211之內部空間減壓。減壓部件1213可包括減壓泵及泵管線。減壓泵藉由使用外部電 力而產生負壓力,且泵管線將減壓泵連接至外殼1211,以使得空氣可藉助於由減壓泵產生之負壓力而自外殼1211抽吸。
傳送模組1100之內部壓力可為大氣壓力,且處理模組1200之腔室之內部壓力可維持在低於大氣壓力之壓力(真空壓力),以形成適合於執行製程之條件。加載鎖定腔室1210可安置於傳送模組1100與處理模組1200之一腔室之間,以在傳送基板S時防止空氣引入至處理模組1200中。
當將基板S自傳送模組1100傳送至加載鎖定腔室1210時,加載鎖定腔室1210關閉外殼1211且藉由使用減壓部件1213而於外殼1211中形成真空。接著,加載鎖定腔室1210打開外殼1211之第二側來將基板S傳送至傳送腔室1220。因此,處理模組1200之內部壓力可維持在真空壓力。
傳送腔室1220於環繞傳送腔室1220安置之腔室之間傳送基板S。加載鎖定腔室1210、處理腔室1230及緩衝腔室2000可環繞傳送腔室1220而安置。特定言之,加載鎖定腔室1210可安置於傳送腔室1220與傳送模組1100之間,且緩衝腔室2000可安置於處理模組1200之傳送腔室1220之間,且處理腔室1230可安置於傳送腔室1220之周邊的其他部分中。
傳送腔室1220包括外殼1221及載運機器人1222。
外殼1221構成傳送腔室1220之外壁。環繞傳送腔室1220安置之腔室1210、1230及2000連接至外殼1221。
載運機器人1222固定至外殼1221之中心部分,且於環繞傳送腔室1220之腔室1210、1230及2000之間載運基板S。
載運機器人1222包括一基底、一主體、一手臂及一手,且就其組態而言類似於傳送機器人1130,不同之處在於基底固定至傳送腔室1220之中心部分。
處理腔室1230執行若干製程。藉由處理腔室1230執行之製程的實例包括蝕刻製程、剝離製程、灰化製程、剝除製程(strip process)及沈積製程。然而,藉由處理腔室1230執行之製程不限於此。
處理模組1200中之每一者可包括處理腔室1230中之至少一者。
處理腔室1230環繞傳送腔室1220而安置以接收藉由載運機器人1222載運之基板S。包括於同一處理模組1200中之處理腔室1230可執行同一製程。包括於不同處理模組1200中之處理腔室1230可執行不同之製程。然而,包括於同一處理模組1200中之處理腔室1230可執行不同製程,且包括於不同處理模組1200中之處理腔室1230可執行同一製程。
處理腔室1230可包括對應於待執行之製程的熟知組態。
緩衝腔室2000安置於處理模組1200中之相鄰處理模組之間。特定言之,處理模組1200中之一者的傳送腔室1220、緩衝腔室2000及處理模組1200中之另一者的傳送腔室1220可沿第一方向X依序排列。根據此配置,緩衝腔室2000提供用以在處理模組1200之間載運基板S之空間。亦即,在基板S於處理模組1200中之一者中經處理之後,基板S可經由緩衝腔室2000載運至處理模組1200中之另一者。除非提供緩衝腔室2000,否則基板S經由傳送模組 1100自處理模組1200中之一者載運至另一者。因此,當提供緩衝腔室2000時,經由傳送模組1100載運基板S為不必要的。
緩衝腔室2000可僅充當用以載運基板S之通道,或可充當用以於基板S上執行製程之裝置器件以及通道。
圖5為示出根據本發明之另一實施例的圖1之緩衝腔室2000的橫截面圖。
參看圖5,緩衝腔室2000可僅充當用以載運基板S之通道。
緩衝腔室2000包括外殼2100及支撐部件2200。選擇性地,緩衝腔室2000可包括旋轉部件2300及減壓部件2400。
外殼2100構成緩衝腔室2000之外壁,且安置於相鄰處理模組1200之傳送腔室1220的外殼1221之間。外殼2100之兩側分別具備開口,經由該等開口傳送基板S,且於開口上提供用以打開及關閉該等開口之門。
支撐部件2200支撐基板S。支撐部件2200安置於外殼2100之中心部分中。支撐部件2200之頂部表面可具有類似於基板之形狀的形狀,且可等於或大於基板S之面積。支撐部件2200可包括提升銷來垂直移動基板S,以使得載運機器人1222可有效地固持基板S。或者,支撐部件2200可具有類似於加載鎖定腔室1210之支撐槽1212之組態的組態。
旋轉部件2300旋轉置放於支撐部件2200上之基板S。當基板S於處理模組1200之間交換時,需要對準基板S之定向。為此,旋轉部件2300可旋轉基板S。旋轉部件2300 可包括旋轉馬達及旋轉軸。旋轉馬達可產生扭矩。旋轉軸連接至旋轉馬達及支撐部件2200以根據自旋轉馬達產生之扭矩而旋轉支撐部件2200,進而旋轉置放於支撐部件2200上之基板S。
減壓部件2400可使外殼2100之內部空間減壓。因為該等處理模組1200之內部壓力可經不同地設定,所以藉由使外殼2100之內部空間減壓,減壓部件2400可防止空氣自具有高內部壓力之處理模組1200引入至具有較低內部壓力之處理模組1200。因為減壓部件2400之組態可類似於加載鎖定腔室1210之減壓部件1213之組態,所以將省略減壓部件2400之組態的描述。
當該等處理模組1200具有相同內部壓力時,減壓部件2400可自緩衝腔室2000移除。於此狀況下,外殼2100之關閉為不必要的,且因此,用以打開或關閉開口之門可為不必要的。
圖6為示出根據本發明之另一實施例的圖1之緩衝腔室2000的橫截面圖。
緩衝腔室2000可僅充當處理模組1200之間的通道,但亦可充當用以於基板S上執行製程之裝置器件。藉由緩衝腔室2000執行之製程可先於藉由處理腔室1230執行之製程,或在藉由處理腔室1230執行之製程之後。下文將一清洗製程(特定言之,使用電漿之清洗製程)例示為藉由緩衝腔室2000執行之製程。然而,藉由緩衝腔室2000執行之製程不限於此。因而,當緩衝腔室2000執行一製程時,原本將執行藉由緩衝腔室2000執行之該製程的處理腔室1230可由執行另一製程之另一處理腔室1230替代,藉此可於同 一佔據面積中更有效地使用空間。
參看圖6,緩衝腔室2000可包括外殼2100、支撐部件2200、加熱部件2500及電漿供應器2600。
外殼2100及支撐部件2200之描述涉及先前實施例之緩衝腔室2000之描述。
加熱部件2500可加熱置放於支撐部件2200上之基板S。舉例而言,加熱部件2500可為嵌入於外殼2100之外壁中或支撐部件2200中之一加熱器,或為安置於外殼2100之一側上來將高壓氣體供應至外殼2100之一高壓氣體管線。當基板S藉由加熱部件2500加熱時,保留於基板S上之雜質可自基板移除。該雜質可形成於處理腔室1230之先前製程中。
電漿供應器2600可將電漿供應至外殼2100中。
緩衝腔室2000可藉由使用供應之電漿來執行電漿製程。舉例而言,緩衝腔室2000可執行電漿蝕刻製程或電漿清洗製程。或者,緩衝腔室2000可執行一不同於電漿灰化製程及電漿清洗製程之製程。於此狀況下,電漿供應器2600可用對應於該不同製程之器件替換。舉例而言,當該不同之製程使用化學品或氣體時,電漿供應器2600可用化學品供應器或氣體供應器替換。
電漿供應器2600可包括電漿源2610、供應管2620及蓮蓬頭2630。
電漿源2610產生電漿。舉例而言,電漿源2610可為遠端電漿產生器、電容耦合電漿產生器(OCP)或電感耦合電漿產生器(ICP)。
遠端電漿產生器可安置於外殼2100之外部,且藉由使 用自氣體供應源(未顯示)供應之氣體來產生電漿。供應管2620將產生之電漿供應至外殼2100中。蓮蓬頭2630可安裝於供應管2620之一末端。經由供應管2620供應之電漿可經由蓮蓬頭注入至外殼2100中。
當使用電容耦合電漿產生器或電感耦合電漿產生器時,電漿源2610可安置於外殼2100內或安置於外殼2100之外壁上。於此狀況下,供應管2620可將氣體自外部氣體供應源(未顯示)供應至外殼2100中,且電漿源2610可藉由使用供應之氣體來產生電漿。
圖7為示出根據本發明之另一實施例的圖1之緩衝腔室2000的橫截面圖。
在先前實施例中,單一外殼用作緩衝腔室2000之外殼2100。然而,緩衝腔室2000可包括呈堆疊結構之複數個外殼。於此狀況下,可增加每單位佔據面積之緩衝腔室2000的數目,且因此,可更有效地使用空間。
參看圖7,緩衝腔室2000可複數個外殼2100。
外殼2100中之每一者可為圖5或圖6之外殼2100。
舉例而言,緩衝腔室2000可包括上部外殼2100a及下部外殼2100b,上部外殼2100a及下部外殼2100b垂直堆疊。下部外殼2100b可僅包括支撐部件2200b及旋轉部件2300二者,來正好提供用以於處理模組1200之間傳送基板S之通道,或對準基板S。上部外殼2100a可包括支撐部件2200a、加熱部件2500及電漿供應器260,來不僅提供通道而且實施製程。
或者,上部外殼2100a及下部外殼2100b二者可僅充當通道,或充當通道及處理器件。此外,外殼2100可堆疊。
下文將描述根據本發明之另一實施例之基板處理系統100。
基板處理系統100可包括複數個基板處理裝置1000及複數個緩衝腔室2000。基板處理裝置1000可執行不同製程。
圖8為示出根據本發明之另一實施例的基板處理系統100之平面圖。
參看圖8,基板處理系統100包括:複數個基板處理裝置1000,其沿第一方向X排列;及複數個緩衝腔室2000,每一緩衝腔室安置於基板處理裝置1000中之相鄰基板處理裝置之間。因此,基板處理裝置1000中之一者、緩衝腔室2000及基板處理裝置1000中之另一者沿第一方向X依序排列。
基板處理裝置1000中之每一者包括裝載埠1110、傳送模組1100及複數個處理模組1200,裝載埠1110、傳送模組1100及處理模組1200沿第二方向Y排列。處理模組1200中之一者的傳送腔室1220、緩衝腔室2000及處理模組1200中之另一者的傳送腔室1220沿第一方向X排列。因為於先前實施例中描述了基板處理裝置1000,所以於當前實施例中將省略基板處理裝置之描述。
安置於基板處理裝置1000之間的緩衝腔室2000之組態可與安置於處理模組1200之間的緩衝腔室2000之組態相同或類似。
安置於基板處理裝置1000之間的緩衝腔室2000提供用以在基板處理裝置1000之間載運基板S之空間,而非提供用以在處理模組1200之間載運基板S之空間。特定言之,於基板處理裝置1000之間的緩衝腔室2000安置於基 板處理裝置1000之傳送腔室1220之間。因此,於基板處理裝置1000之間的緩衝腔室2000的兩側連接至基板處理裝置1000之傳送腔室1220。
雖然圖8中示出之基板處理裝置1000之數目為二,但包括於基板處理系統100中之基板處理裝置1000的數目不限於此。此外,包括於基板處理系統100之基板處理裝置1000之組態可不同於上文描述之組態。
圖9為示出根據本發明之另一實施例的基板處理系統100之平面圖。
包括於基板處理系統100中之基板處理裝置1000不限於包括複數個處理模組1200及處理模組1200之間的緩衝腔室2000的組態,亦即,不限於圖8之基板處理裝置1000。
參看圖9,根據當前實施例之包括於基板處理系統100之基板處理裝置1000中的每一者包括裝載埠1110、傳送模組1100及處理模組1200。因此,安置於處理模組1200之間的緩衝腔室2000可為不必要的。
圖10為示出根據本發明之另一實施例的基板處理系統100之平面圖。參看圖10,基板處理系統100可包括至少3個基板處理裝置1000。
下文將關於如上文所描述之基板處理裝置1000及基板處理系統100來描述根據本發明之另一實施例的基板處理方法。
此處僅為便於描述,且因此,根據本發明之基板處理方法不受基板處理裝置1000及基板處理系統100限制。因而,根據本發明之基板處理方法可藉由類似或等同於基板處理裝置1000及基板處理系統100之任何各種裝置來執 行。
現將於下文中描述根據本發明之另一實施例之基板處理方法。該基板處理方法為在基板處理裝置1000中處理基板S之方法。
圖11為示出根據當前實施例之基板處理方法的流程圖。
參看圖11,基板處理方法可包括:將基板S自容器C載運至第一處理模組1200a之加載鎖定腔室1210a之操作S110;將基板S自加載鎖定腔室1210a載運至第一處理模組1200a之處理腔室1230a之操作S120;藉由處理腔室1230a執行一製程之操作S130;將基板S自處理腔室1230a載運至緩衝腔室2000之操作S140;將基板S自緩衝腔室2000載運至第二處理模組1200b之處理腔室1230b之操作S150;藉由處理腔室1230b執行一製程之操作S160;將基板S自處理腔室1230b載運至第二處理模組1200b之加載鎖定腔室1210b之操作S170;及將基板S供給於另一容器C中之操作S180。以上描述之製程的順序不限於描述該等製程之順序,且因此,隨後描述之製程可先於先前描述之製程,此以相同方式適用於根據其他實施例之稍後將描述之其他基板處理方法。現將於下文中詳細描述以上描述之製程。
圖12及圖13為示出圖11之基板處理方法之製程的平面圖。
參看圖12,在操作S110中,傳送模組1100將基板S自容器C載運至第一處理模組1200a之加載鎖定腔室1210a。當容器打開器打開容器C時,傳送機器人1130將 基板S自容器C取出。
加載鎖定腔室1210a之一門打開連接至傳送模組1100之開口,且傳送機器人1130將基板S置放於支撐槽1212上。當基板S被放入加載鎖定腔室1210a中時,外殼1211關閉,且減壓部件1213於外殼1211中形成真空。接著,連接至傳送腔室1220a之加載鎖定腔室1210a之開口打開。
在操作S120中,傳送腔室1220a將基板S自加載鎖定腔室1210a載運至處理腔室1230a。當加載鎖定腔室1210a之開口打開時,載運機器人1222a將基板S自加載鎖定腔室1210a取出,且將基板S放進處理腔室1230a中。
接著,在操作S130中,處理腔室1230a執行製程。
當製程結束時,在操作S140中,傳送腔室1220a將基板S自處理腔室1230a載運至緩衝腔室2000。載運機器人1222a自處理腔室1230a取出基板S,且當緩衝腔室2000之門打開時將基板S置放於支撐部件2200上。
接著,在操作S141中緩衝腔室2000可對準基板S,或在操作S142中執行製程。舉例而言,旋轉部件2300可藉由旋轉支撐部件2200來旋轉基板S。對於另一實例,當基板S置放於支撐部件2200上時,電漿供應器2600可將電漿供應至外殼2100以執行電漿製程。此時,加熱部件2500可加熱基板S。電漿製程可移除在處理腔室1230a中之形成於基板S上的雜質。
當緩衝腔室2000僅充當通道時,可移除操作S141或操作S142。或者,緩衝腔室2000可執行操作S141及操作S142二者。緩衝腔室2000可執行不同於該電漿製程之製程。
在操作S150中,第二處理模組1200b之傳送腔室1220b將基板S自緩衝腔室2000載運至處理腔室1230b。
傳送腔室1220b可將基板S自緩衝腔室2000取出,且將基板S放入處理腔室1230b中。第二處理模組1200b為一相鄰於第一處理模組1200a之處理模組1200,第二處理模組1200b與第一處理模組1200a之間具有緩衝腔室2000。
當基板S放入處理腔室1230b時,在操作S160中,處理腔室1230b執行製程。藉由處理腔室1230b執行之製程可不同於藉由處理腔室1230a執行之製程。當緩衝腔室2000藉由使用電漿執行清洗製程時,將被移除雜質之基板S放入處理腔室1230b中,以使得處理腔室1230b可有效地執行製程。
在操作S170中,傳送腔室1220b將基板S自處理腔室1230b載運至加載鎖定腔室1210b,且傳送模組1100將基板S自加載鎖定腔室1210b取出,且在操作S180中將基板S供給至容器C中。因而,基板S可通過第一處理模組1200a、緩衝腔室2000及第二處理模組1200b中之每一者,且由每一腔室進行處理。
除非提供緩衝腔室2000,否則待放入於每一製程中之基板S將經由加載鎖定腔室1210a自第一處理模組1200a返回至傳送模組1100,且接著,經由加載鎖定腔室1210b載運至第二處理模組1200b。因此,根據當前實施例,基板S之此類返回及載運係不必要的,進而減少基板S之處理時間,因此增加了基板處理速率。
必要時,在於操作S160中結束第二處理模組1200b之製程之後,基板S可經由緩衝腔室2000返回至第一處理模 組1200a。接著,在操作S180a中第一處理模組1200a可於基板S上執行一製程。
參看圖13,將第三處理模組1200c添加至基板處理裝置1000,且第一處理模組1200a、第二處理模組1200b及第三處理模組1200c沿第一方向X依序排列。於此狀況下,替代在於操作S160中結束處理腔室1230b之製程之後將基板S返回至傳送模組1100,在操作S170b中基板S可經由第二處理模組1200b與第三處理模組1200c之間的緩衝腔室2000而載運至第三處理模組1200c。因此,在操作S180b中基板S被傳送至第三處理模組1200c之處理腔室1230c而非傳送至傳送模組1100,且在操作S190b中第三處理模組1200c於基板S上執行一製程。
現將於下文中根據本發明之另一實施例描述一基板處理方法。該基板處理方法為在基板處理系統100中處理基板S之方法。
圖14為示出根據當前實施例之基板處理方法之流程圖。
參看圖14,基板處理方法可包括:藉由第一基板處理裝置1000a處理基板S之操作S210;將基板S自第一基板處理裝置1000a載運至緩衝腔室2000之操作S220;將基板S自緩衝腔室2000載運至第二基板處理裝置1000b之操作S230;及藉由第二基板處理裝置1000b處理基板S之操作S240。現將詳細描述每一操作。
當外部載體將容器C置放於第一基板處理裝置1000a之裝載埠1110時,在操作S210中第一基板處理裝置1000a處理基板S。特定言之,第一基板處理裝置1000a之傳送模 組1100可將基板S自裝載埠1110傳送至處理模組1200,且處理模組1200之處理腔室1230可於基板S上執行一製程。當該製程結束時,傳送腔室1220將基板S自處理模組1200取出。當第一基板處理裝置1000a包括複數個處理模組1200時,如先前實施例,待處理之基板S可於處理腔室1230之間經由緩衝腔室2000載運。
當於第一基板處理裝置1000a中結束基板S之處理時,在操作S220中,基板S自第一基板處理裝置1000a載運至緩衝腔室2000。特定言之,第一基板處理裝置1000a之傳送腔室1220將基板S自第一基板處理裝置1000a之處理腔室1230取出,且將基板S放入在第一基板處理裝置1000a與第二基板處理裝置1000b之間的緩衝腔室2000中。
當基板S放入緩衝腔室2000時,緩衝腔室2000可對準基板S,或執行一製程。當緩衝腔室2000不具有對準或處理功能時,緩衝腔室2000可充當用以連接第一基板處理裝置1000a與第二基板處理裝置1000b之通道。當第一基板處理裝置1000a及第二基板處理裝置1000b具有不同內部壓力時,緩衝腔室2000可如加載鎖定腔室1210一樣執行減壓功能。
在操作S230中,將基板S自緩衝腔室2000載運至該第二基板處理裝置1000b。第二基板處理裝置1000b之傳送腔室1220可將基板S自緩衝腔室2000取出,且將基板S放入第二基板處理裝置1000b中。
在操作S240中,第二基板處理裝置1000b處理基板S。特定言之,第二基板處理裝置1000b之傳送腔室1220可將基板S載運至第二基板處理裝置1000b之處理腔室1230, 且處理腔室1230可於基板S上執行一製程。當該製程結束時,第二基板處理裝置1000b之傳送腔室1220將基板S傳送至第二基板處理裝置1000b之加載鎖定腔室1210,且第二基板處理裝置1000b之傳送模組1100自加載鎖定腔室1210取出基板S,且將基板S供給至安裝於第二基板處理裝置1000b之裝載埠1110上之容器C中。
基板處理系統100可包括連接至第二基板處理裝置1000b之第三基板處理裝置1000c,第三基板處理裝置1000c與第二基板處理裝置1000b之間具有緩衝腔室2000。於此狀況下,替代在第二基板處理裝置1000b處理基板S之後將基板S供給至容器C中,在操作S250中,基板S可經由安置於第二基板處理裝置1000b與第三基板處理裝置1000c之間的緩衝腔室2000而載運至第三基板處理裝置1000C。
根據實施例,基板S可在無需使用諸如架空傳送車之載體部件的情況下於基板處理系統100之基板處理裝置1000之間載運,且可自每一基板處理裝置1000之處理模組1200直接傳送至另一基板處理裝置1000之處理模組1200。因此,可連續地處理基板S,進而改良製程效率。
根據實施例,因為基板可經由緩衝腔室而於製程模組之間直接載運,所以可減少基板之載運路徑以改良製程效率。
此外,因為基板可經由緩衝腔室而於基板處理裝置之間直接載運而無需傳送至諸如架空傳送車之外部載體,所以可減少基板之載運路徑以便改良製程效率。
此外,用作用以載運基板之空間的緩衝腔室在基板之載運期間執行一製程,以便改良佔據面積效率,因此增加 基板處理速率。
此外,用作用以載運基板之空間的緩衝腔室在藉由處理腔室執行製程之前或之後執行一製程,使得可連續執行該等製程。
此外,因為基板在緩衝腔室中經由電漿製程而清洗以便移除形成於先前製程中之雜質,所以可將基板直接放入隨後製程中。
然而,本發明不限於此,且因此本文未描述之其他效應將由熟習此項技術者自上文描述及隨附圖式中清楚地瞭解。
給定上文描述之實施例,以使得熟習相關技術者將容易地瞭解本發明,且並不意欲限制本發明。
因此,本發明之實施例及要素可以其他方式使用或與已知技術一起使用,且可在不脫離本發明之範疇的情況下進行各種形式上或細節上之修改及改變。
此外,本發明之範疇由以下申請專利範圍界定,且該範疇內之所有差異將被視為包括於本發明中。
C‧‧‧容器
Y‧‧‧第二方向
X‧‧‧第一方向
S‧‧‧基板
100‧‧‧基板處理系統
1000‧‧‧基板處理裝置
1100‧‧‧傳送模組
1110‧‧‧裝載埠
1120‧‧‧外殼
1130‧‧‧傳送機器人
1140‧‧‧傳送軌道
1200‧‧‧處理模組
1200a‧‧‧第一處理模組
1200b‧‧‧第二處理模組
1200c‧‧‧第三處理模組
1210‧‧‧加載鎖定腔室
1210a‧‧‧加載鎖定腔室
1210b‧‧‧加載鎖定腔室
1211‧‧‧外殼
1212‧‧‧支撐槽
1213‧‧‧減壓部件
1220‧‧‧傳送腔室
1220a‧‧‧傳送腔室
1220b‧‧‧傳送腔室
1221‧‧‧外殼
1222‧‧‧載運機器人
1230‧‧‧處理腔室
1230a‧‧‧處理腔室
1230b‧‧‧處理腔室
2000‧‧‧緩衝腔室
2100‧‧‧外殼
2100a‧‧‧上部外殼
2100b‧‧‧下部外殼
2200‧‧‧支撐部件
2200a‧‧‧支撐部件
2200b‧‧‧支撐部件
2300‧‧‧旋轉部件
2400‧‧‧減壓部件
2500‧‧‧加熱部件
2600‧‧‧電漿供應器
2610‧‧‧電漿源
2620‧‧‧供應管
2630‧‧‧蓮蓬頭
圖1為示出根據本發明之一實施例的一基板處理裝置之平面圖。
圖2為示出根據本發明之另一實施例的一基板處理裝置之平面圖。
圖3為沿圖1之線A-A′截取之橫截面圖。
圖4為沿圖1之線B-B′截取之橫截面圖。
圖5為示出根據本發明之另一實施例的圖1之緩衝腔 室的橫截面圖。
圖6為示出根據本發明之另一實施例的圖1之緩衝腔室的橫截面圖。
圖7為示出根據本發明之另一實施例的圖1之緩衝腔室的橫截面圖。
圖8為示出根據本發明之另一實施例的一基板處理系統之平面圖。
圖9為示出根據本發明之另一實施例的一基板處理系統之平面圖。
圖10為示出根據本發明之另一實施例的一基板處理系統之平面圖。
圖11為示出根據本發明之另一實施例的一基板處理方法之流程圖。
圖12及圖13為示出圖11之基板處理方法之製程的平面圖。
圖14為示出根據本發明之另一實施例的一基板處理方法之流程圖。
1000‧‧‧基板處理裝置
1100‧‧‧傳送模組
1110‧‧‧裝載埠
1200a‧‧‧第一處理模組
1200b‧‧‧第二處理模組
1210a‧‧‧加載鎖定腔室
1210b‧‧‧加載鎖定腔室
1220a‧‧‧傳送腔室
1220b‧‧‧傳送腔室
1222a‧‧‧載運機器人
1230a‧‧‧處理腔室
1230b‧‧‧處理腔室

Claims (18)

  1. 一種基板處理裝置,其包含:一裝載埠,其上安裝收納一基板之一容器;複數個處理模組,其處理該基板;一傳送模組,其安置於該裝載埠與該等處理模組之間,且於該容器與該等處理模組之間傳送該基板;及一緩衝腔室,其安置於該等處理模組中之相鄰處理模組之間,且提供用以在該等相鄰處理模組之間載運該基板之一空間,其中,該緩衝腔室包含:一外殼,其係垂直堆疊之外殼;一支撐部件,其安置於該外殼中,且支撐該基板;一電漿供應器,其將電漿供應至該外殼;及一旋轉部件,其旋轉置放於該支撐部件上之該基板。
  2. 如申請專利範圍第1項之基板處理裝置,其中該裝載埠、該傳送模組及該等處理模組沿一第二方向(Y-軸方向)依序排列,及該等處理模組沿在平面圖中垂直於該第二方向之一第一方向於該傳送模組之一側呈直線排列。
  3. 如申請專利範圍第2項之基板處理裝置,其中該等處理模組中之每一者包含:一傳送腔室,其於環繞該傳送腔室安置之腔室之間傳送該基板;複數個處理腔室,其環繞該傳送腔室安置以處理該基板;及一加載鎖定腔室,其安置於該傳送模組與該傳送腔室之間,其中該緩衝腔室安置於該等相鄰處理模組之該等傳送腔室 之間。
  4. 如申請專利範圍第1至3項中任一項之基板處理裝置,其中該緩衝腔室提供一緩衝空間,於該等相鄰處理模組之間載運之該基板暫時停留於該緩衝空間中。
  5. 一種基板處理系統,包含:複數個基板處理裝置,每一基板處理裝置包含:一裝載埠,其上安裝收納一基板之一容器;一處理模組,其處理該基板;及一傳送模組,其安置於該裝載埠與該處理模組之間,且於該容器與該處理模組之間傳送該基板,及一第一緩衝腔室,其安置於該等基板處理裝置中之相鄰基板處理裝置之間,且提供用以在該等相鄰基板處理裝置之間載運該基板之一空間,其中,該緩衝腔室包含:一外殼,其係垂直堆疊之外殼;一支撐部件,其安置於該外殼中,且支撐該基板;一電漿供應器,其將電漿供應至該外殼;及一旋轉部件,其旋轉置放於該支撐部件上之該基板,其中該處理模組包含:一傳送腔室,其在環繞該傳送腔室安置之腔室之間傳送該基板;複數個處理腔室,其環繞該傳送腔室安置以處理該基板;及一加載鎖定腔室,其安置於該傳送模組與該傳送腔室之間,且該第一緩衝腔室安置於該等相鄰基板處理裝置之該等傳送腔室之間。
  6. 如申請專利範圍第5項之基板處理系統,其中該裝載埠、該傳送模組及該處理模組沿一第二方向(Y-軸方向)依序排列,及 該等基板處理裝置沿垂直於該第二方向之一第一方向呈直線排列。
  7. 如申請專利範圍第6項之基板處理系統,其中該第一緩衝腔室提供一緩衝空間,於該等相鄰基板處理裝置之間載運之該基板暫時停留於該緩衝空間中。
  8. 如申請專利範圍第5項之基板處理系統,其中包括於該基板處理裝置中之該處理模組以複數個形式來提供,及該基板處理裝置進一步包含一第二緩衝腔室,其安置於包括於該同一基板處理裝置中之該等處理模組中的相鄰處理模組之間,且提供用以於該等相鄰處理模組之間傳送該基板之一空間。
  9. 如申請專利範圍第8項之基板處理系統,其中該處理模組包含:一傳送腔室,其於環繞該傳送腔室安置之腔室之間傳送該基板;複數個處理腔室,其環繞該傳送腔室安置以處理該基板;及一加載鎖定腔室,其安置於該傳送模組與該傳送腔室之間,且該第二緩衝腔室安置於該等相鄰處理模組之間。
  10. 一種使用一基板處理裝置之基板處理方法,該基板處理裝置包含:一裝載埠,其上安裝收納一基板之一容器;一傳送模組,其自該容器將該基板取出;複數個處理模組,其於該傳送模組之一側呈直線排列;及一緩衝腔室,其安置於該等處理模組中之相鄰處理模組之間,其中,該緩衝腔室包含:一外殼,其係垂直堆疊之外殼;一支撐部件,其安置於該外殼中,且支撐該基板;一電漿供應器,其將電漿供應至該外殼;及一旋轉部件,其旋轉置放於該支撐部件上之該基板, 該方法包含:藉由該傳送模組將該基板自該容器載運至該等處理模組中之一第一者;藉由該第一處理模組執行一處理製程;將該基板自該第一處理模組載運至一第一緩衝腔室,該第一緩衝腔室安置於該第一處理模組與相鄰於該第一處理模組之一第二處理模組之間;將該基板自該第一緩衝腔室直接載運至該第二處理模組;及藉由該第二處理模組執行一處理製程。
  11. 如申請專利範圍第10項之基板處理方法,其可進一步包含藉由該傳送模組將該基板自該第二處理模組載運至該容器。
  12. 如申請專利範圍第10項之基板處理方法,其進一步包含:將該基板自該第二處理模組載運至一第二緩衝腔室,該第二緩衝腔室安置於該第二處理模組與相鄰該第二處理模組之一第三處理模組之間;將該基板自該第二緩衝腔室直接載運至該第三處理模組;及藉由該第三處理模組執行一處理製程。
  13. 一種使用一基板處理系統之基板處理方法,該基板處理系統包含:複數個基板處理裝置及一緩衝腔室,其中該等基板處理裝置呈直線排列,該等基板處理裝置中之每一者包含:一裝載埠,其上安裝收納一基板之一容器;一傳送模組,其自該容器將該基板取出;及一處理模組,其安置於該傳送模組之一側,且該緩衝腔室安置於該等基板處理裝置中之相鄰基板處理裝置之間,其中,該緩衝腔室包含: 一外殼,其係垂直堆疊之外殼;一支撐部件,其安置於該外殼中,且支撐該基板;一電漿供應器,其將電漿供應至該外殼;及一旋轉部件,其旋轉置放於該支撐部件上之該基板,該方法包含:藉由該等基板處理裝置中之一第一者將該基板自該容器取出;藉由該第一基板處理裝置執行一處理製程;將該基板自該第一基板處理裝置載運至一第一緩衝腔室,該第一緩衝腔室安置於該第一基板處理裝置與相鄰於該第一基板處理裝置之一第二基板處理裝置之間;將該基板自該第一緩衝腔室直接載運至該第二基板處理裝置;及藉由該第二基板處理裝置執行一處理製程。
  14. 如申請專利範圍第13項之基板處理方法,其進一步包含藉由該第二基板處理裝置將該基板供給至該容器中。
  15. 如申請專利範圍第13項之基板處理方法,其進一步包含:將該基板自該第二基板處理裝置載運至一第二緩衝腔室,該第二緩衝腔室安置於該第二製程基板處理裝置與相鄰於該第二基板處理裝置之一第三基板處理裝置之間;將該基板自該第二緩衝腔室直接載運至該第三基板處理裝置;及藉由該第三基板處理裝置執行一處理製程。
  16. 如申請專利範圍第10至15項中任一項之基板處理方法,其進一步包含藉由該緩衝腔室旋轉該基板。
  17. 如申請專利範圍第10至15項中任一項之基板處理方法,其 進一步包含藉由該緩衝腔室於該處理製程之前或之後執行一製程。
  18. 如申請專利範圍第17項之基板處理方法,其中藉由該緩衝腔室執行之該製程包含在該處理製程期間移除形成於該基板上之一雜質的一製程。
TW101127222A 2011-07-29 2012-07-27 用以處理基板之裝置、系統及方法 TWI474430B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20110076208 2011-07-29
KR1020110128270A KR101400157B1 (ko) 2011-07-29 2011-12-02 기판처리장치, 기판처리설비 및 기판처리방법

Publications (2)

Publication Number Publication Date
TW201310572A TW201310572A (zh) 2013-03-01
TWI474430B true TWI474430B (zh) 2015-02-21

Family

ID=47894582

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101127222A TWI474430B (zh) 2011-07-29 2012-07-27 用以處理基板之裝置、系統及方法

Country Status (3)

Country Link
KR (2) KR101400157B1 (zh)
CN (1) CN103035467B (zh)
TW (1) TWI474430B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102034706B1 (ko) * 2013-03-28 2019-10-21 주식회사 원익아이피에스 기판처리시스템 및 기판처리방법
JP6246606B2 (ja) 2014-01-31 2017-12-13 株式会社Screenホールディングス 基板処理装置
KR102150452B1 (ko) 2014-03-31 2020-09-01 주식회사 선익시스템 클러스터형 증착장치
KR102121058B1 (ko) * 2015-06-19 2020-06-26 (주) 엔피홀딩스 버퍼챔버를 이용한 건식 및 습식처리 시스템 및 이를 이용한 기판처리 방법
KR102546347B1 (ko) * 2016-01-08 2023-06-21 주성엔지니어링(주) 기판처리장치
CN105529239B (zh) * 2016-03-07 2018-06-29 京东方科技集团股份有限公司 一种干法刻蚀装置及方法
CN108666231B (zh) * 2017-03-28 2022-04-26 雷仲礼 基板处理***、基板传送装置和传送方法
KR20200000638A (ko) * 2018-06-25 2020-01-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
TWI735115B (zh) * 2019-12-24 2021-08-01 力成科技股份有限公司 晶圓儲存裝置及晶圓承載盤

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US20050006230A1 (en) * 2001-08-31 2005-01-13 Masaki Narushima Semiconductor processing system
TW200837509A (en) * 2007-02-12 2008-09-16 Psk Inc Detecting method of position of substrate, treating method of substrate and treating apparatus of substrate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1902031A (zh) * 2003-11-10 2007-01-24 布卢希弗特科技公司 用于处理基于真空的半导体处理***中的工件的方法和***
KR100758298B1 (ko) * 2006-03-03 2007-09-12 삼성전자주식회사 기판 처리 장치 및 방법
KR101015228B1 (ko) * 2008-09-09 2011-02-18 세메스 주식회사 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US20050006230A1 (en) * 2001-08-31 2005-01-13 Masaki Narushima Semiconductor processing system
TW200837509A (en) * 2007-02-12 2008-09-16 Psk Inc Detecting method of position of substrate, treating method of substrate and treating apparatus of substrate

Also Published As

Publication number Publication date
CN103035467A (zh) 2013-04-10
KR101400157B1 (ko) 2014-05-30
CN103035467B (zh) 2016-01-20
TW201310572A (zh) 2013-03-01
KR20140016421A (ko) 2014-02-07
KR20130014304A (ko) 2013-02-07

Similar Documents

Publication Publication Date Title
TWI474430B (zh) 用以處理基板之裝置、系統及方法
US8974601B2 (en) Apparatuses, systems and methods for treating substrate
KR101390900B1 (ko) 기판처리장치
TWI623055B (zh) 適用於電子元件製造中處理基材的處理系統、設備及方法
JP5212165B2 (ja) 基板処理装置
US8211232B2 (en) Substrate processing apparatus
TW201905616A (zh) 可索引側儲存倉設備、加熱的側儲存倉設備、系統、和方法
JP2004103990A (ja) 半導体製造装置および半導体装置の製造方法
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
JP2015531546A (ja) ヒューム除去装置及び基板処理装置
JP5610009B2 (ja) 基板処理装置
KR20080082260A (ko) 기판 처리 장치 및 방법
TW201541548A (zh) 基板處理設備及基板處理方法
JP2009188411A (ja) シリル化処理方法、シリル化処理装置およびエッチング処理システム
JP2004304116A (ja) 基板処理装置
JP4294976B2 (ja) 基板処理装置
JP4359109B2 (ja) 基板処理装置および基板処理方法
KR20110082833A (ko) 기판 처리 장치 및 그 방법
JP5465979B2 (ja) 半導体製造装置
JP2004119627A (ja) 半導体製造装置
JP2005353978A (ja) シリル化処理装置およびシリル化処理方法
JP2005197543A (ja) 基板処理装置
KR20090037178A (ko) 반도체 소자 제조 시스템
TW202212015A (zh) 用於在處理之後清潔基板的方法及設備
KR20130116850A (ko) 기판처리장치 및 기판처리방법