TWI471903B - 使用間隙物罩幕以倍增頻率之方法 - Google Patents

使用間隙物罩幕以倍增頻率之方法 Download PDF

Info

Publication number
TWI471903B
TWI471903B TW97119767A TW97119767A TWI471903B TW I471903 B TWI471903 B TW I471903B TW 97119767 A TW97119767 A TW 97119767A TW 97119767 A TW97119767 A TW 97119767A TW I471903 B TWI471903 B TW I471903B
Authority
TW
Taiwan
Prior art keywords
mask
spacer
layer
etching
sacrificial
Prior art date
Application number
TW97119767A
Other languages
English (en)
Other versions
TW200905729A (en
Inventor
Christopher D Bencher
Keiji Horioka
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200905729A publication Critical patent/TW200905729A/zh
Application granted granted Critical
Publication of TWI471903B publication Critical patent/TWI471903B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

使用間隙物罩幕以倍增頻率之方法
本發明之實施例係有關於半導體處理的領域。特別地,本發明之實施例係有關於製造半導體元件的方法。
過去數十年,積體電路中特徵的尺寸化已經成為持續成長之半導體工業的驅動力。將特徵的尺寸縮小到更小能允許在有限的半導體晶片面積上增加功能性單元的密度。舉例而言,縮小的電晶體尺寸可以允許將大量的邏輯與記憶體元件併入到一微處理器上,因而製造了具有高複雜度的產品。
然而,尺寸化並非沒有後果。當微電子電路的基本建構塊縮小時,並且當一給定區域中製造的基本建構塊的數目增加時,用來圖案化該些建構塊的微影製程的限制則顯著地提高。尤其,在一半導體疊堆中最小的特徵尺寸(即臨界尺寸)與這樣的特徵間的間隔之間具有消長關係(trade-off)。第1A-C圖係繪示根據習知技術之一傳統半導體微影製程的截面圖。
參照第1A圖,在一半導體疊堆102上方提供一光阻層104。在光阻層104上方設置一罩幕(mask)或罩幕(reticle)106。一微影製程包括將光阻層104暴露於具有特定波長的光(hv),如第1A圖之箭頭所示。參照第1B圖,接著將光阻層104顯影,以在半導體疊堆102上方提供圖 案化的光阻層108。也就是,現在去除光阻層104暴露於光的部分。圖案化光阻層108的每一特徵的寬度係為“x”。每一特徵之間的間隔係為“y”。一般來說,微影製程的極限是提供具有臨界尺寸的特徵,其中該臨界尺寸等於該些特徵之間的間隔(亦即x=y),如第1B圖所示。
參照第1C圖,可以縮小一特徵的臨界尺寸(即寬度“x”)以在半導體疊堆102上方形成圖案化光阻層110。可以藉由在第1A圖所繪示之微影操作期間將光阻層104過度曝光,或藉由接著削減(trim)第1B圖之圖案化光阻層108,來縮小臨界尺寸。然而,臨界尺寸的縮小係致使特徵間之間隔(如第1C圖中間隔“y”)的增加。換言之,來自圖案化光阻層110之每一特徵所能達到的最小尺寸以及每一特徵間的間隔之間具有一消長關係。
故,本文係描述一種倍增半導體微影製程之頻率的方法。
一種用以製造一半導體罩幕的方法係包含:提供一半導體疊堆,該半導體疊堆具有一犧牲罩幕與一間隙物罩幕,其中該犧牲罩幕包含一系列的線,並且其中該間隙物罩幕包含鄰接該系列線之側壁的多個間隙物線;以及在修整該間隙物罩幕後,去除該犧牲罩幕。
一種用以製造一半導體罩幕的方法係包含:提供一半導體疊堆,該半導體疊堆具有一犧牲罩幕,該犧牲罩幕是 由一系列的線組成;在該半導體疊堆上方沉積一與該犧牲罩幕共形的間隙物層;蝕刻該間隙物層,以提供一間隙物罩幕且暴露出該犧牲罩幕之頂表面,該間隙物罩幕包含鄰接該犧牲罩幕之該系列線之側壁的多個間隙物線;在該間隙物罩幕與該犧牲罩幕上方沉積且圖案化一光阻層,以暴露一部分之該間隙物罩幕;蝕刻該間隙物罩幕之暴露部分,以修整該間隙物罩幕;以及在蝕刻該間隙物罩幕後,去除該犧牲罩幕,以提供一經修整的間隙物罩幕。
一種用以製造一半導體罩幕的方法係包含:提供一半導體疊堆,該半導體疊堆具有一罩幕層;沉積且圖案化一第一光阻層,以在該罩幕層上方形成一圖像;蝕刻該罩幕層以形成一具有該圖像之犧牲罩幕,其中該犧牲罩幕包含一系列的線;在該半導體疊堆上方沉積一與該犧牲罩幕共形的間隙物層;沉積且圖案化一第二光阻層,以在該間隙物層上方形成一區塊保留罩幕;蝕刻該間隙物層以提供一包含多個間隙物區域與多個區塊保留區域之間隙物罩幕,其中該些間隙物區域係鄰接該犧牲罩幕之該系列線之側壁,並且其中蝕刻該間隙物層的步驟係暴露該犧牲罩幕之頂表面;在該間隙物罩幕與該犧牲罩幕上方沉積且圖案化一第三光阻層,以暴露該間隙物罩幕之該些間隙物區域的至少一部分;蝕刻該間隙物罩幕之該些間隙物區域的暴露部分,以修整該間隙物罩幕;以及去除該犧牲罩幕。
一種用以製造一半導體罩幕的方法係包含:提供一半導體疊堆,該半導體疊堆具有一罩幕層;沉積且圖案化一 第一光阻層,以在該罩幕層上方形成一圖像;蝕刻該罩幕層以形成一具有該圖像之犧牲罩幕,其中該犧牲罩幕包含一系列的線;在該半導體疊堆上方沉積一與該犧牲罩幕共形的間隙物層;在該間隙物層與該犧牲罩幕上方沉積且圖案化一第二光阻層,以暴露該間隙物層之該些間隙物區域的至少一部分;蝕刻該間隙物層之該些間隙物區域的暴露部分,以形成一經修整的間隙物層;沉積且圖案化一第三光阻層,以在該經修整的間隙物層上方形成一區塊保留罩幕;蝕刻該經修整的間隙物層,以提供一包含多個間隙物區域與多個區塊保留區域的間隙物罩幕,其中該些間隙物區域係鄰接該犧牲罩幕之該系列線之側壁,並且其中蝕刻該經修整之間隙物層的步驟係暴露該犧牲罩幕之頂表面;以及去除該犧牲罩幕。
本文係描述一種倍增半導體微影製程之頻率的方法。在下文,本文揭示許多細節,例如製造條件與材料,以提供完整的本發明瞭解。熟習此技藝之人士可以在不具有這些細節下即能實施本發明。在其他情況中,沒有詳細地敘述公知的特徵(例如積體電路設計配置或光阻顯影製程),以避免不必要地模糊化本發明。又,應當瞭解,圖式中顯示的各種實施例僅為了說明代表之用,並且沒有必要按比例繪製。
在一實施例中,本發明提供一種用以製造半導體罩幕 的方法。首先,提供一半導體疊堆,該半導體疊堆具有一犧牲罩幕與一間隙物罩幕。在一實施例中,該犧牲罩幕包含一系列的線,並且該間隙物罩幕具有鄰接該系列線之側壁的多個間隙物線。然後,修整(crop)間隙物罩幕,以提供一經修整的間隙物罩幕,並且在修整間隙物罩幕後接著去除犧牲罩幕。在一特定實施例中,間隙物罩幕是藉由先在半導體疊堆上方沉積與犧牲罩幕共形的一間隙物層來形成。接著,蝕刻間隙物層,以提供間隙物罩幕且暴露犧牲罩幕的頂表面,該間隙物罩幕具有鄰接犧牲罩幕之該系列線之側壁的多個間隙物線。進而,在間隙物罩幕上方沉積且圖案化一光阻層,以暴露一部分的間隙物罩幕。蝕刻間隙物罩幕的暴露部分,以修整間隙物罩幕。最後,去除犧牲罩幕,僅留下經修整的間隙物罩幕。
一微影圖案的頻率可以藉由製造一間隙物罩幕來倍增。例如,根據本發明之一實施例,間隙物罩幕係被製造成具有多個間隙物線,該些間隙物線係鄰接經微影圖案化之犧牲罩幕的側壁。因此,對於犧牲罩幕中每一條線,可以產生間隙物罩幕的兩條間隙物線。故,在去除犧牲罩幕後,可以製造對於每一條線提供實質上相同臨界尺寸的半導體圖案化罩幕,或相同特徵寬度,但在一特定區域中具有雙倍的線密度。例如,根據本發明之一實施例,犧牲罩幕的節距係被選擇為4,以為了最終地提供節距為2的間隙物罩幕。
為了提供不會包覆犧牲罩幕之線末端的間隙物線,間 隙物罩幕需要被修整(crop)。修整操作期間對於間隙物罩幕的損壞可以藉由保留犧牲罩幕直到間隙物罩幕已經被修整來避免。例如,根據本發明之一實施例,一間隙物罩幕包含直接鄰接犧牲罩幕中線之側壁的間隙物區域,包括環繞每一條線的末端。與間隙物罩幕之每一條線相關的間隙物罩幕的每一對間隙物區域係連接。希望的是在間隙物罩幕中產生彼此不連續的線。在一實施例中,包覆犧牲罩幕中線末端之間隙物罩幕的部分係在一圖案化/蝕刻製程中被修整。在不存在犧牲罩幕時,間隙物罩幕可能沒有足夠完整性以經得起圖案化/蝕刻製程。根據本發明之一實施例,犧牲罩幕係在修整製程期間被保留,以在整個製程中提供結構支撐子間隙物罩幕。在修整間隙物罩幕之後,可以去除犧牲罩幕,以僅提供經修整的間隙物罩幕。在一特定實施例中,接著將經修整的間隙物罩幕的圖像轉移到一半導體疊堆。
間隙物罩幕的製造可以包括一修整的製程順序,在此修整製程順序期間,保留一犧牲罩幕以提供結構完整性予間隙物罩幕。第2圖為一流程圖200,其係繪示根據本發明一實施例之間隙物罩幕製造過程的示範性方法。第3A-H圖係繪示根據本發明一實施例在應用於半導體疊堆時,伴隨流程圖200示範性方法的截面圖。
參照流程圖200之操作202與204以及相應的第3A圖,在一半導體疊堆300上方提供一經圖案化的光阻層302。在一實施例中,半導體疊堆300是由一第一罩幕疊堆 304與一位在半導體層308上方的第二罩幕疊堆306所組成。
圖案化光阻層302可以由任何適於用在微影製程的材料組成。在一實施例中,圖案化光阻層302可以藉由先以罩幕覆蓋住一毯覆光阻材料層且接著將其暴露於光源來形成。其次,透過將該毯覆光阻層顯影以形成圖案化光阻層302。在一實施例中,在將光阻層顯影時,光阻層暴露於光源的部分會被去除。因此,在此實施例中,圖案化光阻層302是由正型光阻材料組成。在一特定實施例中,圖案化光阻層302是由正型光阻組成,其係從由248nm阻劑、193nm阻劑、157nm阻劑與具有重氮奈醌(diazonaphthoquinone)感光劑之酚樹脂母體所構成群組來選擇。在另一實施例中,在將光阻層顯影時,光阻層暴露於光源的部分會被保留。因此,在此實施例中,圖案化光阻層302是由負型光阻材料組成。在一特定實施例中,圖案化光阻層302是由負型光阻組成,其係從由聚順異戊二烯與聚乙烯肉桂酸酯所構成群組來選擇。
圖案化光阻層302可具有任何適於用在間隙物罩幕製程的尺寸。根據本發明之一實施例,圖案化光阻層302的每一特徵的寬度“x”係經選擇,以實質上與半導體元件特徵之欲求臨界尺寸(例如界定閘極電極之空間的寬度)相關。在一實施例中,寬度“x”位在10-100nm的範圍內。線之間的間隔“y”可以經選擇,以最佳化一頻率倍增計畫。根據本發明之一實施例,一後續製造的間隙物罩幕的目標是在於 使得間隙物罩幕的間隙物線的寬度實質上等於圖案化光阻層302的每一特徵的寬度“x”。再者,後續形成的間隙物線之間的間隔的目標係使其實質上等於每一間隙物區域的寬度。因此,在一實施例中,由於頻率將最終地被倍增,圖案化光阻層302中的每個特徵之間的間隔“y”係約為數值“x”的三倍,如第3A圖所示。圖案化光阻層302的節距係經選擇為約4,以為了最終地提供具有節距約2之間隙物線的間隙物罩幕。在一特定實施例中,193nm微影被用來產生圖案化光阻層302,其具有約45nm的特徵寬度以及約135nm之特徵間的間隔。
對於圖案化光阻層302之特徵,其約3:1的間隔:寬度的比例可以藉由在曝光操作中將正型光阻層過度曝光,或藉由在微影/顯影製程之後削減光阻層來達到。在一實施例中,圖案化光阻層302是由193nm正型光阻組成,其係藉由使用電漿蝕刻化學而在顯影後被削減。雖然對於頻率倍增計畫,圖案化光阻層302中每一特徵的理想寬度是圖案化光阻層302之節距的1/4,起初目標寬度必須稍微厚一點以補償用來將第一罩幕疊堆304圖案化的蝕刻製程。因此,根據本發明之一實施例,圖案化光阻層302中每一條線之起初寬度的目標係位於0.281-0.321乘以節距之間。
參照流程圖200之操作206以及相應的第3B圖,藉由一蝕刻製程,將圖案化光阻層302之圖像轉移到第一罩幕疊堆304,以形成一犧牲罩幕310。用來轉移圖像的蝕刻製程可以是任何適於從圖案化光阻層302實質上轉移相同 圖像到第一罩幕疊堆304的製程。
第一罩幕疊堆304與因而犧牲罩幕310可以由任何適於在一間隙物罩幕製程中作為犧牲罩幕的材料或材料組合所組成。根據本發明之一實施例,第一罩幕疊堆304是由單一材料組成,如第3A圖所繪製之單斜線所示。由單一材料組成之第一罩幕疊堆304的組成與厚度係適於以一蝕刻製程來蝕刻,其中該蝕刻製程不會對圖案化光阻層302造成實質上影響。在一實施例中,由單一材料組成之第一罩幕疊堆304的尺寸與蝕刻特性係經選擇以能經得起圖案化,其中在該圖案化期間該圖案化光阻層302係保持實質上不受損。在一特定實施例中,圖案化光阻層302是由碳基材料組成,並且第一罩幕疊堆304是由從氮化矽、氧化矽與非晶矽或多晶矽所構成群組來選擇的材料組成。在一特定實施例中,第一罩幕疊堆304實質上是由氮化矽組成,並且用來形成犧牲罩幕310的蝕刻製程係使用由從CH2 F2 與CHF3 所構成群組來選擇的氣體。在另一特定實施例中,第一罩幕疊堆304實質上是由氧化矽組成,並且用來形成犧牲罩幕310的蝕刻製程係使用由從C4 F8 與CHF3 所構成群組來選擇的氣體。在另一特定實施例中,第一罩幕疊堆304實質上是由非晶矽或多晶矽組成,並且用來形成犧牲罩幕310的蝕刻製程係使用由從Cl2 與HBr所構成群組來選擇的氣體。根據本發明之一實施例,由單一材料組成之第一罩幕疊堆304的厚度係經選擇以在頻率倍增計畫中能夠最佳化後續的間隙物罩幕形成。第一罩幕疊堆 304的厚度係足夠小以避免後續形成之間隙物罩幕的間隙物罩幕線塌陷,並且足夠大以能夠控制間隙物罩幕線的臨界尺寸。在一實施例中,由單一材料組成之第一罩幕疊堆304的厚度係位於4.06-5.625乘以犧牲罩幕310的目標線寬之範圍內。
根據本發明之一替代性實施例,第一罩幕疊堆304是由位在一第一罩幕層304B上方的一第一硬罩幕層304A組成,如第3A圖所繪製的兩層。因此,犧牲罩幕310是由位在一犧牲罩幕部分310B上方的一犧牲硬罩幕部分310A組成,如第3B圖所示。在一實施例中,犧牲硬罩幕部分310A與犧牲罩幕部分310B係在兩個不同的蝕刻操作中利用圖案化光阻層302的圖像被圖案化。第一硬罩幕層304A可以由任何適於以一蝕刻製程來蝕刻的材料組成,其中該蝕刻製程不會對圖案化光阻層302造成實質上影響。在一實施例中,第一硬罩幕層304A的尺寸與蝕刻特性係經選擇以能經得起一圖案化製程,其中在該圖案化期間該圖案化光阻層302係保持實質上不受損。在一特定實施例中,第一罩幕層304B(其位在第一硬罩幕層304A下方)是由蝕刻特性類似於圖案化光阻層302之蝕刻特性的材料組成。因此,第一硬罩幕層304A係用來在後續的第一罩幕層304B蝕刻期間保存來自圖案化光阻層302的圖像。在一特定實施例中,圖案化光阻層302與第一罩幕層304B是由碳基材料組成,並且第一硬罩幕層304A是由從氮化矽、氧化矽與非晶矽或多晶矽所構成群組來選擇的材料組成。 在一特定實施例中,第一硬罩幕層304A實質上是由氮化矽組成,並且用來相對於圖案化光阻層302與第一罩幕層304B選擇將第一硬罩幕層304A圖案化的蝕刻製程係使用由從CH2 F2 與CHF3 所構成群組來選擇的氣體。在另一特定實施例中,第一硬罩幕層304A實質上是由氧化矽組成,並且用來相對於圖案化光阻層302與第一罩幕層304B選擇將第一硬罩幕層304A圖案化的蝕刻製程係使用由從C4 F8 與CHF3 所構成群組來選擇的氣體。在另一特定實施例中,第一硬罩幕層304A實質上是由非晶矽或多晶矽組成,並且用來相對於圖案化光阻層302與第一罩幕層304B選擇將第一硬罩幕層304A圖案化的蝕刻製程係使用由從Cl2 與HBr所構成群組來選擇的氣體。第一硬罩幕層304A的厚度係足夠小以能夠相對於圖案化光阻層302進行高選擇性蝕刻,並且足夠大以避免會不利地暴露出第一罩幕層304B的針孔。在一實施例中,第一硬罩幕層304A的厚度係位於20-50nm之範圍內。
在第一罩幕疊堆304是由一第一硬罩幕層304A組成(其中第一硬罩幕層304A位在一第一罩幕層304B上方)的情況中,第一罩幕層304B可以由任何適於禁得起一受控的蝕刻製程與一後續的間隙物罩幕形成製程的材料組成。在一實施例中,第一罩幕層304B具有類似於圖案化光阻層302的蝕刻特性。在一特定實施例中,圖案化光阻層302與第一罩幕層304B的厚度係經選擇,從而使在蝕刻第一硬罩幕層304A後殘留之所有部分的圖案化光阻層302在 蝕刻第一罩幕層304B期間被去除。例如,根據本發明之一實施例,圖案化光阻層302與第一罩幕層304B兩者皆實質上由碳原子組成。在一實施例中,第一罩幕層304B係由從使用碳氫前驅物分子的化學氣相沉積製程形成之sp3 (類鑽石的)、sp2 (石墨的)與sp1 (熱解的)混合碳原子的混合物所組成。這樣的膜在此技藝中被公知為非晶碳膜或Advanced Patterning FilmTM (APF)。在一特定實施例中,第一罩幕層304B是由這樣的非晶碳膜組成,並且藉由使用由從O2 與N2 的組合或CH4 與N2 與O2 的組合所構成群組來選擇的氣體來蝕刻。在一特定實施例中,實質上所有的圖案化光阻層302係利用與用來將第一罩幕層304B圖案化的相同蝕刻操作被去除。第一罩幕層304B的厚度係足夠小以避免後續形成之間隙物罩幕的間隙物罩幕線塌陷,並且足夠大以能夠控制間隙物罩幕線的臨界尺寸。在一實施例中,由第一硬罩幕層304A與第一罩幕層304B組成之第一罩幕疊堆304的總厚度係位於4.06-5.625乘以犧牲罩幕310的目標線寬之範圍內。
再參照第3B圖,第一罩幕疊堆304(顯示在第3A圖)係被圖案化,以相對於第二罩幕疊堆306選擇形成犧牲罩幕310。第二罩幕疊堆306是由位在一第二罩幕層306B上方的一第二硬罩幕層306A組成,如第3B圖所示。第二硬罩幕層306A可以具有任何適於保護第二罩幕層306B免於用來形成犧牲罩幕310之蝕刻製程的性質。根據本發明之一實施例,第一罩幕疊堆304是由單一材料組成,並且相 對於第二硬罩幕層306A被選擇性蝕刻。在一實施例中,第一罩幕疊堆304是由氮化矽組成,並且第二硬罩幕層306A是由從氧化矽與非晶矽或多晶矽所構成群組來選擇的材料組成。在另一實施例中,第一罩幕疊堆304是由氧化矽組成,並且第二硬罩幕層306A是由從氮化矽與非晶矽或多晶矽所構成群組來選擇的材料組成。在另一實施例中,第一罩幕疊堆304是由非晶矽或多晶矽組成,並且第二硬罩幕層306A是由從氮化矽與氧化矽所構成群組來選擇的材料組成。根據本發明之一替代性實施例,第一罩幕疊堆304是由一第一硬罩幕層304A與一第一罩幕層304B組成。在一實施例中,第一罩幕層304B是由非晶碳膜組成,該非晶碳膜係由從O2 與N2 的組合或CH4 與N2 與O2 的組合所構成群組來選擇的氣體來蝕刻,並且第二硬罩幕層306A是由從氮化矽、氧化矽與非晶矽或多晶矽所構成群組來選擇的材料組成。第二硬罩幕層306A的厚度係足夠小以能夠相對於第二罩幕層306B進行後續的高選擇性蝕刻,並且足夠大以避免會不利地暴露出第二罩幕層306B於施加到第一罩幕疊堆304之蝕刻製程的針孔。在一實施例中,第二硬罩幕層306A的厚度係位於15-40nm之範圍內。
參照流程圖200之操作208以及相應的第3C圖,一間隙物層312係共形地被沉積在犧牲罩幕310上方以及第二硬罩幕層306A上方。間隙物層312是將會最終地變成間隙物罩幕以用於頻率倍增計畫的材料源。
間隙物層312可以由任何適於形成可靠罩幕以用於後續蝕刻製程的材料組成。根據本發明之一實施例,間隙物層312是由從氮化矽、氧化矽與非晶矽或多晶矽所構成群組來選擇的材料組成。間隙物層312可以藉由任何適於在犧牲罩幕310的側壁提供一共形層的製程來沉積,如第3C圖所示。在一實施例中,間隙物層312是藉由化學氣相沉積(CVD)技術來沉積,其中該化學氣相沉積技術是從分子-有機CVD、低壓CVD、以及電漿增強CVD所構成群組來選擇。間隙物層312的厚度可以經選擇以決定在後續形成之間隙物罩幕中的特徵的寬度。因此,根據本發明之一實施例,間隙物層312的厚度係實質上等於犧牲罩幕310之特徵的寬度,如第3C圖所示。雖然對於頻率倍增計畫,間隙物層312的理想厚度是等於犧牲罩幕310之特徵的寬度,起初的目標寬度必須稍微厚一點以補償用來將間隙物層312圖案化之蝕刻製程。在一實施例中,間隙物層312的厚度係約為1.06乘以犧牲罩幕310之特徵的寬度,亦即1.06乘以在後續形成之間隙物罩幕中的線的欲求特徵寬度。
再參照流程圖200之操作208以及相應的第3D圖,蝕刻間隙物層312以提供間隙物罩幕314,並且暴露出犧牲罩幕310之頂表面以及地二硬罩幕層306A。間隙物罩幕314的線係與犧牲罩幕310之特徵的側壁共形。因此,對於犧牲罩幕310之每一條線,有來自間隙物罩幕314的兩條線,如第3D圖所示。
間隙物層312可以藉由任何適於提供良好控制之尺寸的製程來蝕刻,以例如維持犧牲罩幕310之特徵尺寸的寬度。根據本發明之一實施例,間隙物層312係被蝕刻而直到間隙物罩幕314的線實質上與犧牲罩幕310的特徵相等高度為止,如第3D圖所示。在另一實施例中,間隙物罩幕314的線係比犧牲罩幕310的特徵的頂表面稍微地下凹,以為了確保間隙物層312之連續性在間隙物罩幕314的線的上方及下方被中止。間隙物層312可以被蝕刻成從而使間隙物罩幕314的間隙物線保持間隙物層312的原始厚度的一實質部分。在一特定實施例中,間隙物罩幕314之每一條線的頂表面的寬度係實質上等於間隙物罩幕314與第二硬罩幕層306A之界面處的寬度,如第3D圖所示。
間隙物層312能夠以相對於犧牲罩幕310與第二硬罩幕層306A具有高蝕刻選擇性而被蝕刻,以形成間隙物罩幕314(第3D圖)。在一特定實施例中,犧牲罩幕310為一單層罩幕,並且希望的蝕刻選擇性是指相對於該單層而言。在另一特定實施例中,犧牲罩幕310是一堆疊層,並且希望的蝕刻選擇性是指相對於一犧牲硬罩幕部分而言,或相對於第一硬罩幕層304A的材料而言。根據本發明之一實施例,間隙物層312與間隙物罩幕314是由與犧牲罩幕310之頂部及第二硬罩幕層306A的材料不同的材料組成。在一實施例中,犧牲罩幕310之頂部是由氮化矽組成,第二硬罩幕層306A是由氧化矽組成,並且間隙物層312是由非晶矽或多晶矽組成、以及藉由使用從Cl2 或Br氣體 產生之電漿的乾式蝕刻製程被蝕刻以形成間隙物罩幕314。在另一實施例中,犧牲罩幕310之頂部是由氧化矽組成,第二硬罩幕層306A是由氮化矽組成,並且間隙物層312是由非晶矽或多晶矽組成、以及藉由使用從Cl2 與HBr組合氣體產生之電漿的乾式蝕刻製程被蝕刻以形成間隙物罩幕314。在另一實施例中,犧牲罩幕310之頂部是由非晶矽或多晶矽組成,第二硬罩幕層306A是由氮化矽組成,並且間隙物層312是由氧化矽組成、以及藉由使用從C4 F8 氣體產生之電漿的乾式蝕刻製程被蝕刻以形成間隙物罩幕314。在另一實施例中,犧牲罩幕310之頂部是由非晶矽或多晶矽組成,第二硬罩幕層306A是由氧化矽組成,並且間隙物層312是由氮化矽組成、以及藉由使用從CH2 F2 氣體產生之電漿的乾式蝕刻製程被蝕刻以形成間隙物罩幕314。在另一實施例中,犧牲罩幕310之頂部是由氧化矽組成,第二硬罩幕層306A是由非晶矽或多晶矽組成,並且間隙物層312是由氮化矽組成、以及藉由使用從CHF3 與CH2 F2 組合氣體產生之電漿的乾式蝕刻製程被蝕刻以形成間隙物罩幕314。在另一實施例中,犧牲罩幕310之頂部是由氮化矽組成,第二硬罩幕層306A是由非晶矽或多晶矽組成,並且間隙物層312是由氧化矽組成、以及藉由使用從CHF3 氣體產生之電漿的乾式蝕刻製程被蝕刻以形成間隙物罩幕314。在本發明之一特定實施例中,用來形成間隙物罩幕314之蝕刻製程係為在暴露出犧牲罩幕310之頂表面與第二硬罩幕層306A時之終點偵測形式。在一特 定實施例中,在終點偵測後係施加稍微過度蝕刻,以確保間隙物罩幕314的線在犧牲罩幕310之特徵至特徵(即線至線)為非連續。
參照流程圖200之操作210與相應的第3E及3E’圖,在間隙物罩幕314與犧牲罩幕310的暴露部分及第二硬罩幕層306A上方沉積一光阻疊堆320。在此實施例中,光阻疊堆320通常在間隙物罩幕314被修整之前被沉積。在特定實施例中,間隙物罩幕314之間隙物線係在犧牲罩幕310之相鄰線之間(例如第3D圖之相鄰的線)為非連續。然而,間隙物罩幕314之間隙物線(其與犧牲罩幕310之相同線相關)在犧牲罩幕310之每一條線的末端周圍保持連續,如第3E’圖所繪製俯視圖中間隙物罩幕314的末端部分316所示。吾人希望中止間隙物線對的連續性,以為了後續的半導體元件製造。因此,根據本發明之一實施例,在將光阻疊堆320圖案化之後,末端部分316從視窗330暴露出,如第3E’圖所示。
再參照第3E圖,光阻疊堆320可以具有一光阻層324,該光阻層324係由任何與第3A圖中圖案化光阻層302相關的材料組成。此外,光阻疊堆320可以在光阻層324與間隙物罩幕314之間包含一底部抗反射塗料(BARC)層322,以為光阻層324提供一平坦表面,如第3E圖所示。在一實施例中,用來將光阻疊堆320圖案化之微影製程係包括光阻層324(其具有實質上平坦的表面)的曝光與顯影。在一特定實施例中,BARC層為一具有有機機團之旋 塗式(spin-on)玻璃材料。在一替代性實施例中,光阻疊堆320完全是由一光阻層組成。
光阻疊堆320可以藉由任何能夠提供光阻疊堆320予平坦頂表面的製程來沉積。例如,根據本發明之一實施例,光阻疊堆320包含位在BARC層322上方的光阻層324,並且光阻層324與BARC層322兩者皆藉由旋塗式製程來沉積。在另一實施例中,光阻疊堆320實質上包含一藉由旋塗式製程來沉積之光阻層。用來沉積該BARC層322或一光阻層(在此情況下光阻疊堆320不包含BARC層)的旋塗式製程可能產生足夠使間隙物罩幕中薄特徵或線倒塌的力量。例如,旋塗式製程可能產生足夠使間隙物罩幕314之單獨的線倒塌的力量。因此,根據本發明之一實施例,在間隙物修整製程期間,犧牲罩幕310係被保留以為了對間隙物罩幕314之個別間隙物線提供結構性支撐。在一特定實施例中,藉由保留住犧牲罩幕310,在用來沉積光阻疊堆320的旋塗式製程中,沒有間隙物罩幕之間隙物線會倒塌。
光阻疊堆320可以藉由任何與第3A圖中圖案化光阻層302之圖案化相關的微影製程來圖案化。在一實施例中,光阻疊堆320係被圖案化以形成一視窗330,該視窗330暴露間隙物罩幕314之末端部分316。視窗330之大小可以是任何適於修整間隙物罩幕314的尺寸。區域330可以暴露間隙物罩幕314之至少整個末端部分316。根據本發明之一實施例,視窗330之尺寸係經選擇,以亦暴露一 部分之犧牲罩幕310。因此,在一實施例中,視窗330在光阻疊堆320中之尺寸與位置係經選擇,以容納任何圖案化及修整製程的微幅偏差。
參照流程圖200之操作212與相應的第3E’圖,間隙物罩幕314係被修整以形成一經修整的間隙物罩幕340。間隙物罩幕314可以藉由任何能夠去除間隙物罩幕314之暴露部分的蝕刻製程來修整。如圖所示,末端部分316相對於光阻疊堆320與第二硬罩幕層306A具有選擇性而被去除。相對於犧牲罩幕310之暴露部分具有蝕刻選擇性不是必要的。然而,根據一實施例,修整蝕刻製程係相對於犧牲罩幕310之暴露部分具有選擇性,如第3F圖所示。因此,任何在第3C與3D圖中所描述用來蝕刻間隙物層312之材料與蝕刻製程的組合可以被用來形成經修整的間隙物罩幕340。
參照流程圖200之操作214與相應的第3G與3G’圖,光阻疊堆320與犧牲罩幕310係被去除。因此,根據本發明之一實施例,犧牲罩幕310係被保留以在間隙物罩幕314修整期間提供結構性支撐,以形成經修整的間隙物罩幕340。然而,一旦形成了間隙物罩幕,犧牲罩幕310可以被去除,以完成頻率倍增罩幕製程。
光阻疊堆320能夠在與犧牲罩幕310之去除相同的製程操作被去除,或在一先前的製程操作被去除。在一實施例中,光阻疊堆是由含碳物種組成,並且在使用O2 與N2 氣體之先前的濕式或乾式灰化操作中被去除。犧牲罩幕 310可以藉由任何相對於經修整的間隙物罩幕340與第二硬罩幕層306A具有高選擇性的技術來去除。根據本發明之一實施例,犧牲罩幕310是由一單層組成,並且在一單一製程操作中相對於經修整的間隙物罩幕340具有選擇性而被去除。在一實施例中,經修整的間隙物罩幕340是由非晶矽或多晶矽組成,第二硬罩幕層306A是由氧化矽組成,並且犧牲罩幕310實質上是由氮化矽組成、以及藉由使用從熱H3 PO4 濕式蝕刻或SiCoNi蝕刻所構成群組來選擇之單一蝕刻操作被去除。在另一實施例中,經修整的間隙物罩幕340是由非晶矽或多晶矽組成,第二硬罩幕層306A是由氮化矽組成,並且犧牲罩幕310實質上是由氧化矽組成、以及藉由使用從氫氟酸水溶液濕式蝕刻或SiCoNi蝕刻所構成群組來選擇之單一蝕刻操作被去除。在另一實施例中,經修整的間隙物罩幕340是由氧化矽組成,第二硬罩幕層306A是由氮化矽組成,並且犧牲罩幕310實質上是由非晶矽或多晶矽組成、以及藉由使用從Cl2 電漿蝕刻與CF4 /O2 電漿蝕刻所構成群組來選擇之單一蝕刻操作被去除。在另一實施例中,經修整的間隙物罩幕340是由氮化矽組成,第二硬罩幕層306A是由氧化矽組成,並且犧牲罩幕310實質上是由非晶矽或多晶矽組成、以及藉由使用從Cl2 電漿蝕刻與CF4 /O2 電漿蝕刻所構成群組來選擇之單一蝕刻操作被去除。在另一實施例中,經修整的間隙物罩幕340是由氮化矽組成,第二硬罩幕層306A是由非晶矽或多晶矽組成,並且犧牲罩幕310實質上是由氧化矽 組成、以及藉由使用從氫氟酸水溶液濕式蝕刻或SiCoNi蝕刻所構成群組來選擇之單一蝕刻操作被去除。在另一實施例中,經修整的間隙物罩幕340是由氧化矽組成,第二硬罩幕層306A是由非晶矽或多晶矽組成,並且犧牲罩幕310實質上是由氮化矽組成、以及藉由使用從熱H3 PO4 濕式蝕刻或SiCoNi蝕刻所構成群組來選擇之單一蝕刻操作被去除。
在一替代性實施例中,犧牲罩幕310是由位在一犧牲罩幕部分上方的一犧牲硬罩幕部分組成,如同關於第3B圖之替代性實施例中所述。例如,在一實施例中,犧牲硬罩幕部分是由從氮化矽、氧化矽與非晶矽或多晶矽所構成群組來選擇的材料組成,而犧牲罩幕部分是由非晶碳材料組成(例如所描述之關於第一罩幕層304B的非晶碳材料)。因此,根據本發明之一實施例,前述用於相對於經修整的間隙物罩幕340與第二硬罩幕層306A來選擇性去除犧牲罩幕310的相同材料組合與蝕刻製程係被用來相對於經修整的間隙物罩幕340與第二硬罩幕層306A來選擇性去除犧牲硬罩幕部分。一堆疊之犧牲罩幕的犧牲硬罩幕部分下方的犧牲罩幕部分能夠以與用來去除犧牲硬罩幕部分相同的蝕刻操作實質上被去除。或者,需要一第二蝕刻操作來去除犧牲罩幕部分。在一實施例中,犧牲罩幕部分是由非晶碳組成,並且利用具有一電漿之乾式蝕刻來去除,其中該電漿係包含從O2 與N2 的組合或CH4 、N2 與O2 的組合所構成群組來選擇的氣體。
參照流程圖200之操作216與相應的第3H圖,將經修整的間隙物罩幕340的圖像轉移到第二罩幕疊堆306,以在半導體層308上方形成蝕刻罩幕370。在一實施例中,第二罩幕疊堆306實質上是由單一材料組成,並且在單一蝕刻操作中被蝕刻以形成蝕刻罩幕370。在一特定實施例中,第二罩幕疊堆306實質上是由從氮化矽、氧化矽與非晶矽或多晶矽所構成群組來選擇的單一材料組成。在一替代性實施例中,第二罩幕疊堆306是由位在第二罩幕層306B上方的第二硬罩幕層306A組成,如關於第3B圖所示及其相關敘述。在一實施例中,蝕刻罩幕370是由一硬罩幕部分370A與一罩幕部分370B組成,如第3H圖所示。第二硬罩幕層306A以及因而硬罩幕部分370A之材料組成與厚度的實施例係被描述在關於第3B圖的說明中。根據本發明之一實施例,經修整的間隙物罩幕340的圖像係在不同於最終用來形成罩幕部分370B之圖案化操作的蝕刻操作中被轉移到第二硬罩幕層306A。在一實施例中,第二硬罩幕層306A實質上是由非晶矽或多晶矽組成,並且藉由使用CHF3 氣體的乾式蝕刻來蝕刻以形成硬罩幕部分370A。在另一實施例中,第二硬罩幕層306A實質上是由氧化矽組成,並且藉由使用由從CH2 F2 及Cl2 與HBr組合所構成群組來選擇的氣體的乾式蝕刻來蝕刻,以形成硬罩幕部分370A。在另一實施例中,第二硬罩幕層306A實質上是由氧化矽組成,並且藉由使用由從C4 F8 、Cl2 與HBr所構成群組來選擇的氣體的乾式蝕刻來蝕刻,以形成硬罩 幕部分370A。
根據本發明之一實施例,經修整的間隙物罩幕340的圖像接著在一第二蝕刻操作中從硬罩幕部分370A被轉移到罩幕部分370B。第二罩幕層306B與因而蝕刻罩幕370之罩幕部分370B可以由任何適於實質上經得起用來後續地將半導體層308圖案化之蝕刻製程的材料組成。在一實施例中,第二罩幕層306B是由非晶碳材料組成,例如關於第一罩幕層304B之組成的實施例所敘述的非晶碳材料。在一特定實施例中,第二罩幕層306B與因而蝕刻罩幕370之罩幕部分370B的厚度係位於3.125-6.875乘以蝕刻罩幕370之每一條線的寬度的範圍內。第二罩幕層306B可以藉由任何得以對蝕刻罩幕370之每一條線維持實質上垂直剖面的蝕刻製程被蝕刻,以形成罩幕部分370B,如第3H圖所示。在一實施例中,第二罩幕層306B是由非晶碳組成,並且藉由使用一電漿之乾式蝕刻來去除,其中該電漿係包含從O2 與N2 的組合或CH4 、N2 與O2 的組合所構成群組來選擇的氣體。
透過各種實施例,本文已經敘述了製造蝕刻罩幕370的一或多種方法,其中該蝕刻罩幕370具有可以倍增犧牲罩幕之線頻率的線。然後,蝕刻罩幕370可以被用來將一半導體層308圖案化,例如積體電路的元件製造。根據本發明之一實施例,蝕刻罩幕370具有一實質上由非晶碳材料組成之罩幕部分370B。在用來將半導體層308圖案化的蝕刻製程期間,非晶碳材料變為鈍化(passivated),並且因 此得以在半導體層308之整個蝕刻過程中保留其圖像與尺寸。雖然間隙物罩幕340具有將半導體層308圖案化所希望的尺寸,間隙物罩幕340之材料可能不適於經得起到半導體層的精確圖像轉移(亦即其在蝕刻製程期間可能會劣化)。根據本發明之一實施例,經修整的間隙物罩幕的圖像在被轉移到半導體層之前,該圖像係先被轉移到包含非晶碳材料的層中,如同關於第3G與3H圖所敘述。
半導體層308可以是任何希望用於元件製造或任何其他半導體結構製造而需要倍增頻率罩幕的層。例如,根據本發明之一實施例,半導體層308包含任何適於被圖案化成一陣列清楚界定之半導體結構的材料。在一實施例中,半導體層308是由IV族為主的材料或III-V材料組成。此外,半導體層308可以包含任何適於被圖案化成一陣列清楚界定之半導體結構的形態。在一實施例中,半導體層308的形態係由從非晶態、單晶態與多晶態所構成群組中選擇。在一實施例中,半導體層308包含電荷載子摻雜質原子。半導體層308可以進一步地位在一基板上方。基板可以由任何適於經得起一製程的材料組成。在一實施例中,基板是由撓性塑膠薄片組成。基板可以進一步由適於經得起一製程的材料組成,並且半導體層可以適當地設置在基板上方。在一實施例中,基板是由IV族為主的材料組成,例如結晶矽、鍺或矽/鍺。在另一實施例中,基板是由III-V材料組成。基板也可以包含一絕緣層。在一實施例中,絕緣層是由從氧化矽、氮化矽、氮氧化矽與高k介電層所構 成群組來選擇的材料組成。
應當瞭解,本發明之實施例不受限於間隙物罩幕的製造,其中該間隙物罩幕在圍繞犧牲罩幕中線的末端處被修整。根據本發明之另一實施例,間隙物罩幕圍繞除了線末段以外結構的部分係在結構性支撐的犧牲罩幕存在時被修整。第4A-B圖係繪示根據本發明一實施例之間隙物罩幕製造過程的俯視圖。
參照第4A圖,在一間隙物罩幕414與一犧牲罩幕410上方形成一圖案化光阻層420。間隙物罩幕414的末端區域416(其圍繞犧牲罩幕410的非線性特徵)從圖案化光阻層420之視窗430暴露出。此俯視圖對應第3E’圖,並且顯示了與第3E’圖所繪示線性末端不同的間隙物罩幕314的區域。參照第4B圖,間隙物罩幕414係被修整以形成經修整的間隙物罩幕440。此外,圖案化光阻層420與犧牲罩幕410被去除。根據本發明之一實施例,犧牲罩幕410被保留作為在間隙物罩幕414的非線性部分被修整時之結構性支撐。此製程致使經修整的間隙物罩幕440形成為間隙物末端480相隔距離係大於經修整的間隙物罩幕440的線的間隔,如第4B圖所示。在一實施例中,可以進行後續的每個間隙物末端480之接觸形成,而不會有以單一接觸來不利地接觸經修整的間隙物罩幕440之超過一個間隙物線的危險。
在形成一間隙物罩幕時,吾人希望不僅保留間隙物層與犧牲罩幕之側壁共形的部分。區塊保留區域可以在間隙 物罩幕形成期間被保留住。這樣的區塊保留區域能夠被用來形成接觸墊、變更尺寸的線、或朝兩方向行進的線(其無法從沿著犧牲核心周圍的間隙物來形成),例如T交叉線。第5A-D圖係繪示根據本發明一實施例之間隙物罩幕製造方法(包括區塊保留操作)之一連串操作的截面圖。
參照第5A圖,一間隙物層512被沉積成與犧牲罩幕510共形。間隙物層512係為將最終地變成間隙物罩幕以用於頻率倍增計畫(其包括區塊保留操作)的材料源。第5A圖對應前述的第3C圖。在用來將間隙物層512圖案化以形成間隙物罩幕的蝕刻製程之前,在間隙物層512上方沉積且圖案化一光阻層590。根據本發明之一實施例,光阻層590被圖案化是為了保留一部分之間隙物層512,否則該部分之間隙物層512會在間隙物罩幕形成蝕刻操作中被去除。在一實施例中,間隙物層512係對犧牲罩幕510在光阻層590之沉積與圖案化期間提供結構性支撐。光阻層590可以由任何材料組成,並且可以藉由任何關於第3E與3E’圖之光阻疊堆320的技術來圖案化。
參照第5B圖,間隙物層512被蝕刻以形成間隙物罩幕514。間隙物罩幕514包括一區塊保留部分592,區塊保留部分592會被保留住是因為光阻層590的保護。光阻層590接著被去除,並且間隙物罩幕514在一修整製程中(其包括在整個修整製程中保留犧牲罩幕510)被修整。此外,根據本發明之一實施例,區塊保留部分592也在修整製程中被保留住。參照第5C圖,去除犧牲罩幕510,僅留下了 具有區塊保留部分592之經修整的間隙物罩幕540。參照第5D圖,將具有區塊保留部分592之經修整的間隙物罩幕540的圖像轉移到一第二罩幕疊堆506,以形成一蝕刻罩幕570。根據本發明之一實施例,由於區塊保留製程,蝕刻罩幕570包含有寬度大於蝕刻罩幕570中最薄線的寬度的至少一特徵,如第5D圖所示。修整製程與區塊保留製程的先後可以不必取決於順序。根據本發明之一替代性實施例,修整製程係在區塊保留製程之前執行。
能夠一起使用區塊保留製程與間隙物罩幕製程,以在半導體層中最終地形成用於形成接觸的區域。第6A-B圖係繪示根據本發明一實施例之間隙物罩幕製造方法(包括區塊保留製程)的俯視圖。
參照第6A圖,一具有區塊保留區域692的間隙物罩幕614被形成在一犧牲罩幕610周圍,如同關於第5B圖之敘述。參照第6B圖,間隙物罩幕614被修整以形成具有區塊保留區域692之經修整的間隙物罩幕640,以及接著犧牲罩幕610被去除。區塊保留區域692可以提供更大的接觸所被形成於其上的區域。根據本發明之一實施例,一部分之間隙物層沒有在一間隙物罩幕形成蝕刻操作中被去除,而是在一區塊保留操作中被保留住。
本發明已經揭示用以製造半導體罩幕的方法。在一實施例中,一半導體疊堆係被提供,該半導體疊堆具有一犧牲罩幕與一間隙物罩幕。犧牲罩幕包含一系列的線,並且間隙物罩幕具有鄰接該系列線之側壁的多個間隙物線。在 修整間隙物罩幕後,犧牲罩幕被去除以提供一經修整的間隙物罩幕。在一實施例中,間隙物罩幕是藉由在半導體疊堆上方沉積與犧牲罩幕共形的一間隙物層來形成。間隙物層被蝕刻,以提供間隙物罩幕(其具有鄰接犧牲罩幕之該系列線之側壁的多個間隙物線)並且將犧牲罩幕的頂表面暴露出。進而,在間隙物罩幕與犧牲罩幕上方沉積且圖案化一光阻層,以暴露一部分的間隙物罩幕。間隙物罩幕的暴露部分係被蝕刻,以修整間隙物罩幕。最後,犧牲罩幕被去除,僅留下經修整的間隙物罩幕。在一特定實施例中,經修整的間隙物罩幕可以倍增犧牲罩幕之該系列線的頻率。
102‧‧‧半導體疊堆
104‧‧‧光阻層
106‧‧‧罩幕
108‧‧‧圖案化光阻層
110‧‧‧圖案化光阻層
200‧‧‧流程圖
202‧‧‧提供半導體疊堆
204‧‧‧在半導體疊堆上沉積且圖案化第一光阻層
206‧‧‧在半導體疊堆中形成犧牲罩幕
208‧‧‧形成鄰接犧牲罩幕的間隙物罩幕
210‧‧‧在犧牲罩幕與間隙物罩幕上沉積且圖案化第二光阻層
212‧‧‧修整間隙物罩幕
214‧‧‧去除犧牲罩幕
216‧‧‧將經修整的間隙物罩幕的圖像轉移到下方的層
300‧‧‧半導體疊堆
302‧‧‧圖案化光阻層
304‧‧‧第一罩幕疊堆
304A‧‧‧第一硬罩幕層
304B‧‧‧第一罩幕層
306‧‧‧第二罩幕疊堆
306A‧‧‧第二硬罩幕層
306B‧‧‧第二罩幕層
308‧‧‧半導體層
310‧‧‧犧牲罩幕
310A‧‧‧犧牲硬罩幕部分
310B‧‧‧犧牲罩幕部分
312‧‧‧間隙物層
314‧‧‧間隙物罩幕
316‧‧‧末端部分
320‧‧‧光阻疊堆
322‧‧‧BARC層
324‧‧‧光阻層
330‧‧‧視窗
340‧‧‧經修整的間隙物罩幕
370‧‧‧蝕刻罩幕
370A‧‧‧硬罩幕部分
370B‧‧‧罩幕部分
410‧‧‧犧牲罩幕
414‧‧‧間隙物罩幕
416‧‧‧末端區域
420‧‧‧圖案化光阻層
430‧‧‧視窗
440‧‧‧經修整的間隙物罩幕
480‧‧‧間隙物末端
506‧‧‧第二罩幕疊堆
510‧‧‧犧牲罩幕
512‧‧‧間隙物層
514‧‧‧間隙物罩幕
540‧‧‧經修整的間隙物罩幕
570‧‧‧蝕刻罩幕
590‧‧‧光阻層
592‧‧‧區塊保留部分
610‧‧‧犧牲罩幕
614‧‧‧間隙物罩幕
640‧‧‧經修整的間隙物罩幕
692‧‧‧區塊保留區域
本發明之實施例係透過附圖中的實例來說明,其不構成限制。
第1A-C圖係繪示根據習知技術之一傳統半導體微影製程的截面圖。
第2圖為一流程圖,其係繪示根據本發明一實施例之間隙物罩幕製造過程的示範性方法。
第3A-H圖係繪示根據本發明一實施例在應用於半導體疊堆時,表示第2圖流程圖之一連串製程的截面圖與俯視圖。
第4A-B圖係繪示根據本發明一實施例之間隙物罩幕製造過程的俯視圖。
第5A-D圖係繪示根據本發明一實施例之間隙物罩幕製造過程之示範性方法的截面圖。
第6A-B圖係繪示根據本發明一實施例之間隙物罩幕製造過程之示範性方法的俯視圖。
200‧‧‧流程圖
202‧‧‧提供半導體疊堆
204‧‧‧在半導體疊堆上沉積且圖案化第一光阻層
206‧‧‧在半導體疊堆中形成犧牲罩幕
208‧‧‧形成鄰接犧牲罩幕的間隙物罩幕
210‧‧‧在犧牲罩幕與間隙物罩幕上沉積且圖案化第二光阻層
212‧‧‧修整間隙物罩幕
214‧‧‧去除犧牲罩幕
216‧‧‧將經修整的間隙物罩幕的圖像轉移到下方的層

Claims (25)

  1. 一種用以製造一半導體罩幕的方法,其包含:提供一半導體疊堆,該半導體疊堆具有一犧牲罩幕與一間隙物罩幕,其中該犧牲罩幕由一系列的線組成,並且其中該間隙物罩幕包含鄰接該系列線之側壁的多個間隙物線;以及在修整該間隙物罩幕後,去除該犧牲罩幕。
  2. 如申請專利範圍第1項所述之方法,其中該些間隙物線之頻率是該犧牲罩幕之該系列線之頻率的兩倍。
  3. 如申請專利範圍第2項所述之方法,其中該犧牲罩幕之該系列線的節距係約4。
  4. 一種用以製造一半導體罩幕的方法,其包含:提供一半導體疊堆,該半導體疊堆具有一犧牲罩幕,該犧牲罩幕是由一系列的線組成;在該半導體疊堆上方沉積一與該犧牲罩幕共形的間隙物層;蝕刻該間隙物層,以提供一間隙物罩幕且暴露出該犧牲罩幕之頂表面,該間隙物罩幕包含鄰接該犧牲罩幕之該系列線之側壁的多個間隙物線;在該間隙物罩幕與該犧牲罩幕上方沉積且圖案化一光阻層,以暴露一部分之該間隙物罩幕; 蝕刻該間隙物罩幕之暴露部分,以修整該間隙物罩幕;以及在蝕刻該間隙物罩幕後,去除該犧牲罩幕,以提供一經修整的間隙物罩幕。
  5. 如申請專利範圍第4項所述之方法,其中該間隙物層實質上是由矽組成,其中該犧牲罩幕之頂部實質上是選自由氮化矽與氧化矽所構成之群組的材料所組成,並且其中蝕刻該間隙物層以提供該間隙物罩幕的步驟係包含使用藉由一氣體的乾式蝕刻製程,該氣體係選自由Cl2 與HBr所構成之群組。
  6. 如申請專利範圍第5項所述之方法,其中去除該犧牲罩幕以提供該經修整的間隙物罩幕的步驟係包含使用一蝕刻製程,該蝕刻製程係選自由熱H3 PO4 濕式蝕刻、氫氟酸水溶液濕式蝕刻、與SiCoNi蝕刻所構成之群組。
  7. 如申請專利範圍第4項所述之方法,其中該間隙物層實質上是由氧化矽組成,其中該犧牲罩幕之頂部實質上是選自由氮化矽與矽所構成之群組的材料所組成,並且其中蝕刻該間隙物層以提供該間隙物罩幕的步驟係包含使用藉由一氣體的乾式蝕刻製程,該氣體係選自由C4 F8 與CHF3 所構成之群組。
  8. 如申請專利範圍第7項所述之方法,其中去除該犧牲罩幕以提供該經修整的間隙物罩幕的步驟係包含使用一蝕刻製程,該蝕刻製程係選自由熱H3 PO4 濕式蝕刻、SiCoNi蝕刻、Cl2 電漿蝕刻、與CF4 /O2 電漿蝕刻所構成之群組。
  9. 如申請專利範圍第4項所述之方法,其中該間隙物層實質上是由氮化矽組成,其中該犧牲罩幕之頂部實質上是選自由氧化矽與矽所構成之群組的材料所組成,並且其中蝕刻該間隙物層以提供該間隙物罩幕的步驟係包含使用藉由一氣體的一乾式蝕刻製程,該氣體係選自由CH2 F2 與CHF3 所構成之群組。
  10. 如申請專利範圍第9項所述之方法,其中去除該犧牲罩幕以提供該經修整的間隙物罩幕的步驟係包含使用一蝕刻製程,該蝕刻製程係選自由氫氟酸水溶液濕式蝕刻、SiCoNi蝕刻、Cl2 電漿蝕刻、與CF4 /O2 電漿蝕刻所構成之群組。
  11. 如申請專利範圍第4項所述之方法,其中該些間隙物線之頻率是該犧牲罩幕之該系列線之頻率的兩倍。
  12. 如申請專利範圍第11項所述之方法,其中該犧牲罩幕之該系列線的節距係約4。
  13. 如申請專利範圍第4項所述之方法,更包含:將該經修整的間隙物罩幕的圖像轉移到一罩幕疊堆,其中該罩幕疊堆是在該半導體疊堆中而位於該犧牲罩幕下方,並且其中該罩幕疊堆包含一非晶碳膜層。
  14. 一種用以製造一半導體罩幕的方法,其包含:提供一半導體疊堆,該半導體疊堆具有一罩幕層;沉積且圖案化一第一光阻層,以在該罩幕層上方形成一圖像;蝕刻該罩幕層以形成一具有該圖像之犧牲罩幕,其中該犧牲罩幕由一系列的線組成;在該半導體疊堆上方沉積一與該犧牲罩幕共形的間隙物層;沉積且圖案化一第二光阻層,以在該間隙物層上方形成一區塊保留罩幕;蝕刻該間隙物層以提供一由多個間隙物區域與多個區塊保留區域組成之間隙物罩幕,其中該些間隙物區域係鄰接該犧牲罩幕之該系列線之側壁,並且其中蝕刻該間隙物層的步驟係暴露該犧牲罩幕之頂表面;在該間隙物罩幕與該犧牲罩幕上方沉積且圖案化一第三光阻層,以暴露該間隙物罩幕之該些間隙物區域的至少一部分;蝕刻該間隙物罩幕之該些間隙物區域的暴露部 分,以修整該間隙物罩幕;以及去除該犧牲罩幕。
  15. 如申請專利範圍第14項所述之方法,其中該間隙物層實質上是由矽組成,其中該犧牲罩幕之頂部實質上是選自由氮化矽與氧化矽所構成之群組的材料所組成,並且其中蝕刻該間隙物層以提供該間隙物罩幕的步驟係包含使用藉由一氣體的一乾式蝕刻製程,該氣體係選自由Cl2 與HBr所構成之群組。
  16. 如申請專利範圍第15項所述之方法,其中去除該犧牲罩幕的步驟係包含使用一蝕刻製程,該蝕刻製程係選自由熱H3 PO4 濕式蝕刻、氫氟酸水溶液濕式蝕刻、與SiCoNi蝕刻所構成之群組。
  17. 如申請專利範圍第14項所述之方法,其中該間隙物層實質上是由氧化矽組成,其中該犧牲罩幕之頂部實質上是選自由氮化矽與矽所構成之群組的材料所組成,並且其中蝕刻該間隙物層以提供該間隙物罩幕的步驟係包含使用藉由一氣體的一乾式蝕刻製程,該氣體係選自由C4 F8 與CHF3 所構成之群組。
  18. 如申請專利範圍第17項所述之方法,其中去除該犧牲罩幕的步驟係包含使用一蝕刻製程,該蝕刻製程係選自 由熱H3 PO4 濕式蝕刻、SiCoNi蝕刻、Cl2 電漿蝕刻、與CF4 /O2 電漿蝕刻所構成之群組。
  19. 一種用以製造一半導體罩幕的方法,其包含:提供一半導體疊堆,該半導體疊堆具有一罩幕層;沉積且圖案化一第一光阻層,以在該罩幕層上方形成一圖像;蝕刻該罩幕層以形成一具有該圖像之犧牲罩幕,其中該犧牲罩幕由一系列的線組成;在該半導體疊堆上方沉積一與該犧牲罩幕共形的間隙物層;在該間隙物層與該犧牲罩幕上方沉積且圖案化一第二光阻層,以暴露該間隙物層之該些間隙物區域的至少一部分;蝕刻該間隙物層之該些間隙物區域的暴露部分,以形成一經修整的間隙物層;沉積且圖案化一第三光阻層,以在該經修整的間隙物層上方形成一區塊保留罩幕;蝕刻該經修整的間隙物層,以提供一由多個間隙物區域與多個區塊保留區域組成的間隙物罩幕,其中該些間隙物區域係鄰接該犧牲罩幕之該系列線之側壁,並且其中蝕刻該經修整之間隙物層的步驟係暴露該犧牲罩幕之頂表面;以及去除該犧牲罩幕。
  20. 如申請專利範圍第19項所述之方法,其中該間隙物層實質上是由矽組成,其中該犧牲罩幕之頂部實質上是選自由氮化矽與氧化矽所構成之群組的材料所組成,並且其中蝕刻該間隙物層以提供該間隙物罩幕的步驟係包含使用藉由一氣體的一乾式蝕刻製程,該氣體係選自由Cl2 與HBr所構成之群組。
  21. 如申請專利範圍第20項所述之方法,其中去除該犧牲罩幕的步驟係包含使用一蝕刻製程,該蝕刻製程係選自由熱H3 PO4 濕式蝕刻、氫氟酸水溶液濕式蝕刻、與SiCoNi蝕刻所構成之群組。
  22. 如申請專利範圍第19項所述之方法,其中該間隙物層實質上是由氧化矽組成,其中該犧牲罩幕之頂部實質上是選自由氮化矽與矽所構成之群組的材料所組成,並且其中蝕刻該間隙物層以提供該間隙物罩幕的步驟係包含使用藉由一氣體的一乾式蝕刻製程,該氣體係選自由C4 F8 與CHF3 所構成之群組。
  23. 如申請專利範圍第22項所述之方法,其中去除該犧牲罩幕的步驟係包含使用一蝕刻製程,該蝕刻製程係選自由熱H3 PO4 濕式蝕刻、SiCoNi蝕刻、Cl2 電漿蝕刻、與CF4 /O2 電漿蝕刻所構成之群組。
  24. 如申請專利範圍第19項所述之方法,其中該間隙物層實質上是由氮化矽組成,其中該犧牲罩幕之頂部實質上是選自由氧化矽與矽所構成之群組的材料所組成,並且其中蝕刻該間隙物層以提供該間隙物罩幕的步驟係包含使用藉由一氣體的一乾式蝕刻製程,該氣體係選自由CH2 F2 與CHF3 所構成之群組。
  25. 如申請專利範圍第24項所述之方法,其中去除該犧牲罩幕的步驟係包含使用一蝕刻製程,該蝕刻製程係選自由氫氟酸水溶液濕式蝕刻、SiCoNi蝕刻、Cl2 電漿蝕刻、與CF4 /O2 電漿蝕刻所構成之群組。
TW97119767A 2007-06-01 2008-05-28 使用間隙物罩幕以倍增頻率之方法 TWI471903B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US93285807P 2007-06-01 2007-06-01
US11/875,250 US7807578B2 (en) 2007-06-01 2007-10-19 Frequency doubling using spacer mask

Publications (2)

Publication Number Publication Date
TW200905729A TW200905729A (en) 2009-02-01
TWI471903B true TWI471903B (zh) 2015-02-01

Family

ID=39739785

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97119767A TWI471903B (zh) 2007-06-01 2008-05-28 使用間隙物罩幕以倍增頻率之方法

Country Status (6)

Country Link
US (2) US7807578B2 (zh)
EP (1) EP1998363A3 (zh)
JP (1) JP5385551B2 (zh)
KR (1) KR100991295B1 (zh)
CN (1) CN103488041A (zh)
TW (1) TWI471903B (zh)

Families Citing this family (516)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US9953885B2 (en) * 2009-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. STI shape near fin bottom of Si fin in bulk FinFET
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
FR2960657B1 (fr) * 2010-06-01 2013-02-22 Commissariat Energie Atomique Procede de lithographie a dedoublement de pas
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US9117764B2 (en) * 2010-08-27 2015-08-25 Tokyo Electron Limited Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8309462B1 (en) 2011-09-29 2012-11-13 Sandisk Technologies Inc. Double spacer quadruple patterning with self-connected hook-up
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013062755A1 (en) * 2011-10-24 2013-05-02 Rolith, Inc. Lithography with reduced feature pitch using rotating mask techniques
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP5881569B2 (ja) * 2012-08-29 2016-03-09 株式会社東芝 パターン形成方法
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103779191B (zh) * 2012-10-26 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9275873B2 (en) 2013-09-26 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Masking process and structures formed thereby
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9416447B2 (en) 2014-02-07 2016-08-16 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9735256B2 (en) 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI638385B (zh) 2015-03-31 2018-10-11 聯華電子股份有限公司 半導體裝置的圖案化結構及其製作方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107437497B (zh) * 2016-05-27 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106847821B (zh) 2017-03-07 2018-09-14 长江存储科技有限责任公司 半导体结构及其形成方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN110010447B (zh) * 2018-01-05 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10796947B2 (en) * 2018-12-12 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing a semiconductor device
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP2022528697A (ja) * 2019-04-08 2022-06-15 アプライド マテリアルズ インコーポレイテッド フォトレジストプロファイルを修正し、限界寸法を調整するための方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046484A1 (en) * 2004-09-02 2006-03-02 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication
US20060240361A1 (en) * 2005-04-21 2006-10-26 Ji-Young Lee Method of forming small pitch pattern using double spacers

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03257825A (ja) * 1990-03-07 1991-11-18 Toshiba Corp 半導体装置の製造方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP3050965B2 (ja) * 1991-09-27 2000-06-12 沖電気工業株式会社 レジストパタンの形成方法
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
KR100354440B1 (ko) * 2000-12-04 2002-09-28 삼성전자 주식회사 반도체 장치의 패턴 형성 방법
DE10137575A1 (de) * 2001-07-31 2003-02-27 Infineon Technologies Ag Verfahren zur Erzeugung einer Maske sowie Verfahren zur Herstellung einer Halbleitervorrichtung
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
DE102004034572B4 (de) * 2004-07-17 2008-02-28 Infineon Technologies Ag Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
KR100752674B1 (ko) * 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US7846849B2 (en) * 2007-06-01 2010-12-07 Applied Materials, Inc. Frequency tripling using spacer mask having interposed regions
US20090017631A1 (en) * 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046484A1 (en) * 2004-09-02 2006-03-02 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication
US20060240361A1 (en) * 2005-04-21 2006-10-26 Ji-Young Lee Method of forming small pitch pattern using double spacers

Also Published As

Publication number Publication date
KR100991295B1 (ko) 2010-11-01
EP1998363A3 (en) 2010-02-10
CN103488041A (zh) 2014-01-01
US7807578B2 (en) 2010-10-05
EP1998363A2 (en) 2008-12-03
US20080299776A1 (en) 2008-12-04
JP5385551B2 (ja) 2014-01-08
US20110008969A1 (en) 2011-01-13
TW200905729A (en) 2009-02-01
JP2009004769A (ja) 2009-01-08
KR20080106063A (ko) 2008-12-04

Similar Documents

Publication Publication Date Title
TWI471903B (zh) 使用間隙物罩幕以倍增頻率之方法
US11348788B2 (en) Methods for device fabrication using pitch reduction
TWI356446B (en) Methods to reduce the critical dimension of semico
US7709396B2 (en) Integral patterning of large features along with array using spacer mask patterning process flow
US20090017631A1 (en) Self-aligned pillar patterning using multiple spacer masks
KR100879499B1 (ko) 피치 멀티플리케이션을 이용한 집적회로 제조방법
KR101449772B1 (ko) 효율적인 피치 멀티플리케이션 프로세스
TWI493598B (zh) 利用光阻模板遮罩的倍頻方法
JP5236996B2 (ja) 介挿領域を有するスペーサマスクを用いた頻度の3倍化
JP2009071306A (ja) 半導体素子の微細パターン形成方法
US20090305506A1 (en) Self-aligned dual patterning integration scheme
CN108074798B (zh) 一种自对准曝光半导体结构的制作方法
TWI567785B (zh) 半導體裝置圖案化結構之製作方法
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
KR20090044878A (ko) 반도체 소자의 미세패턴 형성방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees