TWI443750B - 以高效率轉移應力之形成接觸絕緣層之技術 - Google Patents

以高效率轉移應力之形成接觸絕緣層之技術 Download PDF

Info

Publication number
TWI443750B
TWI443750B TW095114837A TW95114837A TWI443750B TW I443750 B TWI443750 B TW I443750B TW 095114837 A TW095114837 A TW 095114837A TW 95114837 A TW95114837 A TW 95114837A TW I443750 B TWI443750 B TW I443750B
Authority
TW
Taiwan
Prior art keywords
forming
layer
transistor
outer spacer
spacer element
Prior art date
Application number
TW095114837A
Other languages
English (en)
Other versions
TW200731413A (en
Inventor
Thorsten Kammler
Andy Wei
Markus Lenski
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200731413A publication Critical patent/TW200731413A/zh
Application granted granted Critical
Publication of TWI443750B publication Critical patent/TWI443750B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Description

以高效率轉移應力之形成接觸絕緣層之技術
一般而言,本發明係有關於積體電路的形成,且更具體而言,有關於在製造場效電晶體的期間在有間隔體元件(spacer element)的情形下形成接觸絕緣層。
積體電路的製造需要根據指定的電路佈局在給定的晶片區上形成大量的電路元件。一般而言,目前實行多種製程技術,其中,就複雜的電路而言,例如微處理器、儲存晶片、及其類似者,由於在操作速度及/或耗電量方面有優異的特性,CMOS技術是目前最有效的方法。使用CMOS技術製造複雜的積體電路時,形成數百萬個互補電晶體(亦即,N型通道電晶體與P型通道電晶體)於包含結晶半導體層(crystalline semiconductor layer)的基板上。不論所考慮的是N型通道電晶體或是P型通道電晶體,MOS電晶體都包含所謂的PN接面(junction),該PN接面係藉由經高度摻雜之汲極區與源極區的界面以及配置於汲極區與源極區之間的反向摻雜通道區(inversely doped channel region)所形成。
藉由形成於通道區上且藉由薄的絕緣層使其與該通道區分開的閘極而控制該通道區的導電係數(conductivity),亦即,導電通道的驅動電流能力。在施加適當的控制電壓於閘極而形成導電通道後,通道區的導電係數取決於摻質濃度、多數電荷載子(majority charge carrier)的移動率(mobility)、以及(就該通道區在電晶體寬度方向中的延伸為給定的情形而言)源極區與汲極區之間的距離(也被稱作通道長度)。在此,在施加控制電壓於閘極後,結合在絕緣層下快速產生導電通道的能力,通道區的導電係數實質地決定MOS電晶體的效能。因此,縮短通道長度以及減少與其相關聯的通道電阻率(resistivity),使得通道長度成為增加積體電路之操作速度的主要設計準則。
不過,縮減電晶體的尺寸產生多個與此相關聯的問題而必須予以處理以免不當地抵消掉穩定減少MOS電晶體之通道長度所獲得的有利條件。在此方面的一主要問題為發展出增強的光微影及蝕刻策略以便可靠及可再生產地製造具有關鍵尺寸的電路元件(例如電晶體的閘極)供新一代的裝置使用。此外,在汲極區與源極區的垂直方向以及橫向(lateral direction)中,需要高度複雜的摻質分布(dopant profile)以提供與所欲之通道的可控制性相結合的低片電阻率(sheet resistivity)與低接觸電阻率。此外,以洩露電流的控制而言,PN接面相對於閘極絕緣層的垂直位置也是關鍵性的設計準則。在此,縮減通道長度也需要減少汲極區和源極區相對於閘極絕緣層及通道區所形成之界面的深度,從而需要複雜的佈植技術。根據其他的方法,以對該閘極有指定偏移(offset)的方式形成磊晶成長區(其係稱作加高的汲極區與源極區)以增加該加高之汲極區與源極區的導電係數,同時維持對閘極絕緣層有淺的PN接面。
不拘所使用的技術方法,複雜的間隔體技術都需要產生高度複雜的摻質分布且在閘極與汲極區及源極區中以自對齊(self-aligned)的方式形成金屬矽化物區時作為遮罩。由於連續減少關鍵尺寸的大小(亦即,電晶體的閘極長度),必需調整與上述製程步驟有關的製程技術甚至可能發展新的製程技術,已提出藉由增加給定通道長度之通道區內的電荷載子移動率來增強電晶體元件的裝置效能。原則上,可使用至少兩個機構,以組合或分開的方式,增加通道區內的電荷載子移動率。首先,可減少通道區內的摻質濃度,從而降低電荷載子的散射情況而使導電係數增加。不過,降低通道區內的摻質濃度明顯影響電晶體裝置的臨界電壓,從而使得降低摻質濃度變成較不具吸引力的方法,除非發展出可調整所欲之臨界電壓的其他機構。
第二,可修改通道區內的晶格結構(lattice structure),例如藉由產生拉伸應變(tensile strain)或壓縮應變(compressive strain),這會導致電子及電洞的移動率改變。例如,在通道區中產生拉伸應變增加電子的移動率,其中,取決於拉伸應變的大小,可得到移動率的增量達百分之20,接著,直接轉變為導電係數的對應增量。另一方面,通道區內的壓縮應力(compressive stress)可增加電洞的移動率,從而提供用來增強P型電晶體之效能的可能性。
結果,已提出在通道區中或下方導入,例如,矽/鍺層或矽/碳層以產生拉伸應力或壓縮應力。雖然藉由在通道區中或下方導入應力產生層可顯著增強電晶體效能,不過須大費工夫才能實現形成對應的應力層(stress layer)於習知且經充分證實的CMOS技術。例如,必須開發額外的磊晶成長技術且具體實施於製程流程內以便在通道區中或下方的適當位置形成含鍺或碳的應力層。因此,顯著增加製程複雜度,從而也增加生產成本以及生產良率降低的可能性。
另一種有效的方法是在絕緣層內產生應力,該絕緣層係形成於電晶體元件形成後以埋設該等電晶體且容納金屬接觸栓(contact)以提供電氣連接至電晶體的汲極/源極區和閘極。該絕緣層通常包含至少一蝕刻中止層或襯墊(etch stop layer or liner)與另一相對於該蝕刻中止層或襯墊可選擇性地加以蝕刻的介電層。在以下的說明中,此絕緣層會被稱作接觸層且對應的蝕刻中止層將以接觸襯墊層(contact liner layer)表示。為了得到用於在電晶體之通道區中產生應變的有效率之應力轉移機構(stress transfer mechanism),必須將在通道區附近的接觸襯墊層放置在靠近通道區。不過,在需要三重間隔體方法(triple spacer approach)以實現高度複雜之橫向摻質分布的先進電晶體架構中,是用間隔體“吸收”接觸襯墊層中大量的應力,從而使得習知的三重間隔體方法目前用來在先進電晶體的通道區中產生應變較不具吸引力,儘管相較於磊晶成長應力層具有製程複雜度上的優點。
鑑於上述情況,亟須一種能在通道區中產生應力的改良技術而不需要既複雜又昂貴的磊晶成長技術。
以下係提出本發明的精簡概要以供基本瞭解本發明的一些方面。此概要不是本發明的詳盡概觀,不是旨在識別本發明的關鍵性或重要元件或描述本發明的範疇。其唯一的目的是要以簡化的形式提出一些概念作為以下所述之較詳細之說明的前言。
一般而言,本發明係針對一種使得形成接近各個電晶體元件之通道區的接觸襯墊層(亦即,用來埋設電晶體元件以形成電氣接觸貫穿其中之介電層堆疊的蝕刻中止層)成為可能的技術。因此,可形成或處理該接觸襯墊層以呈現指定的內應力(internal stress),然後以高效率將該內應力轉移到通道區以便在那產生對應的應變,從而提供改善電荷載子移動率(mobitity)及因而提高電晶體元件的整體效能的可能性。
根據本發明之一示範具體實施例,提供一種方法,包含:形成包含閘極結構的電晶體元件,該閘極結構係包含至少一內間隔體元件與外間隔體元件。此外,隨後將該外間隔體元件移除以及在該電晶體元件上形成接觸襯墊層。
根據本發明之另一示範具體實施例,提供一種方法,包含:形成具有第一閘極結構的第一電晶體元件,該第一閘極結構包含至少一內與外間隔體元件。此外,形成第二電晶體元件,其具有包含至少一內與外間隔體元件的第二閘極結構。該方法更包含:移除該第一與第二閘極結構的外間隔體元件。此外,在該第一電晶體元件上形成具有第一內應力的第一接觸襯墊層以及在該第二電晶體元件上形成具有第二內應力的第二接觸襯墊層。
儘管本發明容許不同的修改以及替代性的形式,在此仍以附圖中的實施例圖示特定的具體實施例且詳述細節。不過,應瞭解,本文特定具體實施例的說明不是想要把本發明限定為本文所揭示的特定形式,反而是,本發明是要涵蓋落入如附上申請專利範圍所界定之本發明精神及範疇內的所有修改、等價物、及替代物。
以下描述本發明的示範具體實施例。為求清楚,本專利說明書中沒有描述實際具體實作的所有特徵。當然,應瞭解在開發任一此類的實際具體實施時,必須完成許多與具體實作有關的決策以達成開發人員的特定目標,例如遵循與系統有關以及與商業有關的限制,這些都會因具體實作不同而有所不同。此外,應瞭解致力於這種開發是即複雜又耗時的,決不是一般熟諳此藝者在得知本揭示內容即可進行的例行事務。
以下參考附圖描述本發明。示意地圖示於附圖的各種結構、系統、及裝置的目的只是用來做解釋且藉此使得熟諳此藝者所習知的細節不會混淆本發明。然而,為了描述及解釋本發明的示範實施例,仍內含附圖於說明中。應瞭解及解釋本文所使用之字詞及用語的意思是與熟諳相關技藝者所瞭解的字詞及用語一致。沒有特別定義的術語及用語(亦即,不同於熟諳此藝者所瞭解的一般及慣用的定義)想要用來表示本文中之術語或用語的一致用法。若想要使術語或用語具有特定意思的情形,亦即,不同於熟諳此藝者所瞭解的意思,這種特殊定義會在專利說明書中以直接不模擬兩可地提供該術語或用語之特殊定義的方式明白地提出。
一般而言,本發明係針對將應力有效率地由接觸襯墊層轉移到通道區的問題,同時仍然與習知製程保持高度的相容性。為此目的,根據佈植(implantation)及矽化物所要求的條件,將間隔體元件設置成具有一尺寸以便將植入物(implant)種類(例如,硼與磷)的大擴散率納入考慮,不過,可顯著減少始於汲極區與源極區的有效距離是在於在形成接觸襯墊層之前將最外面的間隔體移除。因此,可將最外面間隔體元件的移除製程設計成藉此不會不當地影響任何要形成於閘極與汲極區及源極區上的矽化物區(silicide region)。參考附圖,以下更詳細地描述本發明的進一步示範具體實施例。
第1a至1e圖係示意地圖示半導體裝置100的截面圖。該半導體裝置100包含基板101,其係表示任何適合用來形成積體電路之電路元件的基板。例如,該基板101可為塊體(bulk)矽基板、絕緣層上覆矽(SOI)基板、或任何其他有結晶半導體層(其係適合形成有電晶體元件於其中)形成於其上的適當基板。在中間的製造階段中形成於該基板101中及上的是電晶體元件150,其中該電晶體元件150包含形成於閘極絕緣層103上的閘極102,該閘極絕緣層103使該閘極102與通道區104分開,該通道區104可代表該基板101之部份或任何形成於該基板101上的適當半導體層之部份。就像在高度複雜以矽為基底的積體電路(例如,CPU、記憶體晶片、ASIC(特定應用積體電路)及其類似者)中會遭遇的,該電晶體元件150可代表任何類型的場效電晶體,例如有100nm及顯著更短之閘極長度(亦即,第1a圖中之閘極102的水平尺寸)的N型通道電晶體或P型通道電晶體。結果,該閘極絕緣層103可具有範圍約從1.2nm或更小到數nm的適當厚度,這取決於閘極102的整體尺寸。應瞭解,本發明極有利於結合具有閘極長度約為100nm甚或約50nm及更短的比例極精密之電晶體元件,然而,原則上,本發明也容易應用於較不複雜的電晶體元件。
該半導體裝置100更包含形成於閘極102之側壁上的偏移間隔體(offset spacer)105。該偏移間隔體105可由任何適當的介電材料構成,例如二氧化矽、氮化矽、氮氧化矽、及其類似者。根據用於形成在基板101內所形成之毗鄰於通道區104的延伸區106的橫向摻質分布的製程條件,選定該偏移間隔體105的寬度。該半導體裝置100可進一步包含:形成為毗鄰於該閘極102之側壁的內間隔體元件107,其中該內間隔體元件107可藉由襯墊108而與該偏移間隔體105分開,該襯墊108係由相對於內間隔體107之材料有中高蝕刻選擇性(etch selectivity)的介電材料構成。在一示範具體實施例中,該內間隔體107可由氮化矽構成,而襯墊108(也形成於基板101的水平部份以及閘極102之頂部上)可由二氧化矽構成。對於這樣的材料成分,已知有多種具有公認有效之高蝕刻選擇性的非等向性蝕刻配方。在其他具體實施例中,該內間隔體107可由二氧化矽或氮氧化矽構成,而該襯墊108可由氮化矽構成藉此對於公認有效之非等向性蝕刻配方也能呈現中高蝕刻選擇性。裝置100可進一步包含外間隔體元件109,其寬度係經選定以符合用於待隨後進行以形成毗鄰於延伸區106之深汲極區與源極區的離子佈植製程(ion implantation process)的製程條件。藉由蝕刻中止層110使該外間隔體元件109與該內間隔體107分開,該蝕刻中止層110也覆蓋襯墊108的水平部份且由對於外間隔體109之材料呈現中高蝕刻選擇性的材料構成。在一示範具體實施例中,該外間隔體109可由二氧化矽構成,而該蝕刻中止層110可由氮化矽構成。在其他具體實施例中,對於外間隔體109與蝕刻中止層110可提供不同的材料成分,只要保持這兩種材料之間有必要的蝕刻選擇性。例如,在一具體實施例中,該外間隔體109可由氮化矽構成,而該蝕刻中止層110可由二氧化矽構成。
如第1a圖所示,用於形成該半導體裝置100的典型製程流程可包含以下的製程。在形成適當的閘極絕緣材料層與閘極材料(例如,以二氧化矽、富氮之二氧化矽的形式用於閘極絕緣層104以及以預摻雜或未摻雜之多晶矽(polysilicon)的形式用於閘極102)之後,可基於先進的光微影及蝕刻技術進行公認有效之圖案化製程。在圖案化該閘極絕緣層104與該閘極102之後,可藉由沉積適當的介電材料(例如,二氧化矽、氮化矽、及其類似者)而形成該偏移間隔體105,其有預定義之厚度實質地對應於該偏移間隔體105的寬度。之後,可進行適當的非等向性蝕刻製程以移除裝置100之水平部份上的多餘材料,例如在閘極102的上表面與在基板101的暴露部份上。隨後,可進行離子佈植程序以形成該等延伸區106之部份,其中可進行其他的佈植循環以於基板101及/或暈圈區(halo region,未圖示)內形成預先非晶化區(pre-amorphized region,未圖示)以便得到用於形成該等延伸區106和深汲極區與源極區的所需要的佈植條件及摻質分布,隨後對此將予以說明。之後,可藉由沉積適當的材料形成該襯墊108,在一具體實施例中,該適當的材料可為可根據公認有效之電漿增強化學氣相沉積(PECVD)技術而沉積的二氧化矽。在其他具體實施例中,可以氮化矽的形式沉積該襯墊108。隨後,用PECVD技術可沉積用於內間隔體107的間隔體材料,其中選定該襯墊108的材料成分以相對於內間隔體107呈現高蝕刻選擇性。在一示範具體實施例中,當襯墊108實質由二氧化矽構成時,該內間隔體材料107可由氮化矽構成。在其他的具體實施例中,該內間隔體材料107可由二氧化矽或氮氧化矽構成,而該襯墊108可由氮化矽形成。
之後,公認有效之非等向性蝕刻配方可用來移除間隔體材料的多餘材料,從而形成該內間隔體107,而該非等向性蝕刻製程可靠地停止於該襯墊108之上與之中。之後,根據裝置需求,可進行另一適當的佈植製程以微調該等延伸區106的橫向摻質分布。接下來,例如,在一具體實施例中以氮化矽層的形式,可共形沉積(conformally deposit)該蝕刻中止層110,之後在此具體實施例中可沉積及非等向性蝕刻由二氧化矽構成的間隔體材料以形成該外間隔體元件109。在本技藝中對應的非等向性蝕刻配方都是公認有效的。在其他的示範具體實施例中,可將該蝕刻中止層110沉積為二氧化矽層,而由氮化矽層形成該外間隔體109。
第1b圖係示意地圖示處於另一先進製造階段的半導體裝置100。如圖所示,移除部份的蝕刻中止層110(其係形成於閘極102上以及基板101的暴露之水平部份上(第1a圖))。此時用110a表示剩餘的蝕刻中止層110。此外,在該等延伸區106旁邊形成深源極區與汲極區111。
可藉由蝕刻步驟形成圖示於第1b圖的裝置100,在特定具體實施例中可將該蝕刻步驟設計成為實質為非等向性的蝕刻製程用來選擇性移除蝕刻中止層110的暴露部份。因此,可使用公認有效的選擇性蝕刻配方,其中當蝕刻中止層110與襯墊108由呈現某一程度之蝕刻選擇性的不同材料形成時,該蝕刻製程能可靠地停止於該襯墊108之中及之上。由於此一蝕刻製程是用來移除蝕刻中止層110的暴露之水平部份,蝕刻中止層110a的側面延伸(lateral extension)(以110b表示)得以界定而實質與外間隔體元件109的寬度相對應。此外,在後續用於形成深汲極區與源極區111以及也用於進一步摻雜該閘極102的佈植期間,對應的層堆疊(包括第1a圖中之層110與108)減少,從而利於控制用來形成源極/汲極區111的離子佈植程序。在佈植後,可進行快速熱退火製程(rapid thermal anneal process)以活化在該等延伸區106與該深汲極/源極區111之內的摻質而且也使先前預先非晶化(pre-amorphization)以及其他佈植製程所造成之結晶損壞(crystalline damage)再結晶。
第1c圖係示意地圖示根據替代具體實施例之用於形成深源極/汲極區111以及用於測定蝕刻中止層110a之側面延伸110b的半導體裝置200。在第1c圖中,將用於形成蝕刻中止層110a的蝕刻製程組構成藉此也移除襯墊108的暴露之水平部份,從而形成殘留物108a。因此,將對應的蝕刻程序設計成可靠地停止於基板101的半導體材料上,在本發明的特定具體實施例中,該基板101實質由矽構成。結果,在後續用於形成深汲極/源極區111的佈植製程期間暴露閘極102以及基板101的對應區。之後,可進行快速熱退火製程,隨後對此也會參考第1b圖加以說明。
在高度先進電晶體元件中,通常高度摻雜區(例如,閘極102)以及深汲極/源極區111之接觸區的導電係數係藉由在該等區域的上部份上提供金屬化合物而增加,因為相較於更加高度摻雜的矽材料,金屬-矽化合物可能有較高的導電係數。例如,通常提供鈦、鈷、以及在高度先進的裝置中為鎳,以形成具有降低之電阻率的對應金屬矽化物區。在沉積任何適當的金屬例如鎳及其類似者之前,例如當由如第1b圖所示之半導體裝置100開始時,必須暴露對應的表面部份,及/或當在考慮中的表面部份已實質暴露時,通常可移除表面污染,如第1c圖所示。在襯墊108實質由二氧化矽構成的具體實施例中,可根據高選擇性蝕刻化學進行用來暴露相關表面部份及/或用來移除污染物(特別是,氧化物殘留物)的對應蝕刻製程,這不會實質影響閘極102和基板101以及蝕刻中止層110a。例如,可使用經稀釋的氫氟酸(fluoric acid,HF)對矽與氮化矽選擇性地移除氧化物及氧化物殘留物。
第1d圖係示意地圖示在可選擇性移除表面污染及/或暴露各個表面部份的對應蝕刻製程之後的半導體裝置100。此外,在一特定具體實施例中,此高度選擇性蝕刻製程也用來實質完全移除外間隔體元件109。如圖所示,該半導體裝置100包含襯墊108a,此時該襯墊108a藉由對應的選擇性蝕刻製程而進一步減少,從而產生襯墊108b。此外,由於該蝕刻製程之等向特性,在某些情況中,可能形成由蝕刻中止層110a垂直勾畫出輪廓的受蝕刻面積(under-etch area)。同樣地,在長時間持續的蝕刻製程期間可能暴露閘極102的上側壁部份102a,其中如果偏移間隔體105是由與襯墊108b實質相同的材料構成也可能使其減少。此時對應地減少之偏移間隔體以105a表示。在其他的具體實施例中,該襯墊108與該外間隔體109可由二氧化矽以外的介電材料構成,例如氮化矽,同時該蝕刻中止層110a可由二氧化矽構成。就此情形而言,可使用實質相同的製程流程以及適當的蝕刻化學(例如熱磷酸)以移除外間隔體109以及暴露相關的表面部份。
之後,根據公認有效的配方,藉由濺鍍(sputter deposition)可沉積適當的金屬。例如,基於裝置需求,可沉積鈷、鈦、鎳、或其他耐火金屬。在該金屬的濺鍍沈積(其係有中度方向性的沉積技術)期間,部份的蝕刻中止層110a也實質防止金屬沉積。結果,除了暴露的上側壁部份102a以外,由外間隔體元件109之尺寸實質決定的區域儘管被橫向尺寸110b移除,仍實質局部地限制金屬沉積。在後續用於開始沉積之金屬與矽之間的化學反應的熱處理期間,在暴露的矽部份(例如閘極102的上表面與上側壁部份102a以及基板101的暴露表面部份)上形成金屬矽化物較佳。
金屬矽化物在汲極/源極區111中的形成實質取決於蝕刻中止層110a的側面延伸110b,如果外間隔體109仍在適當的位置這是成立的,即使已產生受蝕刻區(under-etch region),在此情形下也可大幅阻礙金屬滲透(metal penetration)而且也可顯著減少朝向通道區104的金屬擴散。結果,金屬矽化物的形成被限制於汲極/源極區111初始由外間隔體109界定的部份(第1b與1c圖),同時可使待形成於金屬矽化物形成之後的接觸襯墊層的材料更加靠近通道區104,從而顯著增強用於在通道區104中產生所欲之應變的應力轉移機構。
第1e圖係示意地圖示完成上述製程序列之後的半導體裝置100。因此,該裝置100包含在該等汲極/源極區111內的金屬矽化物區113,彼等之位置及尺寸實質由外間隔體109界定,亦即,由蝕刻中止層110a及其側面延伸110b界定。此外,在閘極102的上部份(upper portion)上形成對應的金屬矽化物區114,其中減少之偏移間隔體105a(第1d圖)提供增加的表面積(亦即,上側壁部份102a),其能用來將矽轉換為金屬矽化物,從而使得較大部份的閘極102被轉換為高度導電的材料。此外,該裝置100包含形成於電晶體元件150上的接觸襯墊層115,該接觸襯墊層115可由例如氮化矽構成且有指定的內應力。如眾所周知者,在沉積氮化矽的PECVD製程期間,可選定沉積參數,例如壓力、溫度、偏壓、及其類似者,以便得到範圍從約1 GPa(十億巴斯卡)的拉伸應力至約1 GPa的壓縮應力的指定內應力。結果,可選定對應的內應力以便在通道區104中有效率地產生對應的應變,最終可增強電晶體的操作。此外,由於可選定PECVD製程的製程參數以得到高度無方向性的沉積行為(behavior),也可填滿(至少是部份)任何已形成的受蝕刻區,以實質避免在包圍著電晶體元件150的介電材料內有任何空洞(void)。
結果,藉由移除外間隔體109(這可在預清洗製程期間完成,如通常在形成金屬矽化物之前所要求者),可使接觸襯墊層115的相關部份更加靠近通道區104,從而顯著提高應力的移轉而使電荷載子移動率增加。同時,與習知製程技術保持高度的相容性而對延伸區106與汲極/源極區111的高度複雜橫向摻質分布的形成沒有負面影響。此外,增加閘極102在移除外間隔體109期間暴露的表面積,亦即,上側壁部份102a,提高了電極導電係數,這對電晶體150效能的增加也有貢獻。
第2圖係示意地圖示根據本發明之另一示範具體實施例之半導體裝置200的截面圖。該半導體裝置200可包含形成於基板201上的第一電晶體元件250與第二電晶體元件260。關於該基板201的架構,所用的準則可與之前解釋基板101時所用的相同。此外,該第一與該第二電晶體元件250、260包含的組件實質與在說明之前第1e圖時所描述者相同。亦即,第一與第二電晶體元件250、260可包含含有閘極202的閘極結構,該閘極202上形成有藉由襯墊208b而與內間隔體207分開的偏移間隔體205a。在該內間隔體207上可形成有對應的蝕刻中止層210a。就一致性而言,將第一與第二電晶體元件250、260的間隔體207稱作“內”間隔體元件,雖然在此製造階段將不再提供“外”間隔體元件。此外,第一與第二電晶體元件250、260可包含藉由閘極絕緣層203而與閘極202分開的通道區204。可提供延伸區206和深源極/汲極區211,其中係形成各自的金屬矽化物區(例如鎳矽化物區213)於該深汲極/源極區211內。在該閘極202的上部份可形成對應的金屬矽化物區214。在用於形成對應延伸區206、源極/汲極區211、以及通道區204的摻質類型上,第一與第二電晶體元件250、260彼此可不相同,使得例如第一電晶體250可為N型通道電晶體,而第二電晶體260可為P型通道電晶體。在其他具體實施例中,額外地或替換地,在其他的電晶體特性(例如閘極長度、該等閘極絕緣層203的厚度、及其類似者)上,第一與第二電晶體250、260可不相同。此外,在第一與第二電晶體元件250、260上形成接觸襯墊層215。最後,可用阻劑遮罩216覆蓋該第一電晶體元件250。
如第2圖所示,用來形成半導體裝置200的典型製程流程所包含的製程實質與先前參考半導體裝置100時所描述者相同,其中在形成延伸區206與源極/汲極區211期間,以及在任何之前所進行之以在各個通道區204中產生適當垂直摻質分布的佈植程序中,可進行適當的遮罩步驟(masking step)以便將不同類型的摻質導入第一與第二電晶體元件250、260。在形成裝置200期間,在用來形成源極/汲極區的對應佈植之前可提供外間隔體元件,在形成金屬矽化物區214、213之後及之前可移除該等外間隔體元件,如同之前在說明第1d與1e圖時所描述者。此外,可根據適當的沉積技術而形成接觸襯墊層215以具有指定的內應力,其可適當地予以選定以便增加第一電晶體元件250的效能。例如,當此電晶體元件為N型通道電晶體時,接觸襯墊層215的內應力可為具有適當大小的拉伸應力以提供拉伸應變於第一電晶體元件250的通道區204,因為拉伸應變可增加電子移動率。在形成接觸襯墊層215後,基於可用來形成不同類型之延伸區206和源極/汲極區211的任何光微影遮罩也可形成該阻劑遮罩216。之後,該裝置200可經受處理(treatment)217,該處理217係經設計成在第二電晶體元件260上形成接觸襯墊層部份215a,其所呈現的內應力會與形成於第一電晶體元件250上的接觸襯墊層215的不同。
在一示範具體實施例中,該處理217可包含用任何適當的離子種類,例如氙、氬、及其類似者,之離子佈植製程,其可改變所沈積之接觸襯墊層215的內部結構,從而產生某一程度的應力鬆弛(relaxation)。例如,拉伸應力對P型通道電晶體之通道區中的電洞移動率有負面影響,因此藉由施加用於應力鬆弛的處理217,使層215的初始產生應力實質不會影響第二電晶體元件260的通道區204。在其他具體實施例中,可以固有的(inherent)壓縮應力形成層215,例如當第一電晶體元件250為P型通道電晶體時,且隨後用處理217鬆弛壓縮應力藉此消除或至少降低壓縮應力對第二電晶體元件260(可能為N型通道電晶體)之通道區204的影響。因此,由於帶有應力之層215緊鄰於各自的通道區204,故可最有效地提高P型通道電晶體250的效能,然而可根據裝置需求調整壓縮應力對N型通道電晶體260的影響。特別是,藉由適當地控制處理217可控制應力鬆弛以便在電晶體250與260的操作期間實現增強的對稱程度。
在其他的示範具體實施例中,處理217可包含:以任何適當的蝕刻製程移除部份215a,之後,用另一具有所欲之內應力的接觸襯墊層取代部份215a以大幅改善第二電晶體元件260的效能。因此,也可將該另一接觸襯墊層沉積於第一電晶體元件250上,從而有可能減弱初始所沉積之接觸襯墊層215的影響,然而,這在調整初始所沉積之接觸襯墊層215的固有應力(inherent stress)大小的時候才納入考慮。
結果,本發明提供一種用於把應力由接觸襯墊層移轉到電晶體元件中之通道區的改良技術,其中係藉由移除用來產生適當橫向摻質分布的外間隔體元件而實現緊鄰於通道區的接觸襯墊層。此外,在形成金屬矽化物區之前可進行該移除製程,從而可得到與習知製程流程相容的高度相容性,同時在金屬沉積之前進行的預清洗製程能有利地也用來移除該外間隔體。此外,外間隔體的移除製程可能也暴露閘極的增加部份,從而額外提高該閘極中的金屬矽化物形成,這可使彼之導電係數增加。外間隔體的移除結合金屬矽化物預清洗製程的進行是在前段製程(front end of line;FEoL)中,因而可防止任何金屬交叉污染。
以上所揭示的特定具體實施例係只供圖解說明,顯然對熟諳此藝者而言,在獲得本文的教導內容後,可以不同但等效的方式修改和實施本發明。例如,可以不同的順序進行以上所提出的製程步驟。此外,除了以下申請專利範圍中所描述的內容以外,不希望本發明受限於本文所描述的構造或設計中的細節。因此,顯然可改變及修改以上所揭示的特定具體實施例,而且所有此類的變化體都視為仍在本發明的範疇及精神內。因此,在此聲請保護以下所提出的申請專利範圍。
100...半導體裝置、裝置
101...基板
102...閘極
102a...上側壁部份
103...閘極絕緣層
104...通道區
105...偏移間隔體
105a...減少之偏移間隔體
106...延伸區
107...內間隔體元件
108...襯墊
108a...殘留物
108b...襯墊
109...外間隔體元件
110...蝕刻中止層
110a...剩餘的蝕刻中止層、蝕刻中止層
110b...側面延伸
111...深源極區與汲極區、深汲極/源極區
113...金屬矽化物區
114...金屬矽化物區
115...接觸襯墊層
150...電晶體元件
200...導體裝置
201...基板
202...閘極
203...閘極絕緣層
204...通道區
205a...偏移間隔體
206...延伸區
207...內間隔體、間隔體
210a...蝕刻中止層
211...源極/汲極區
214...金屬矽化物區
215...接觸襯墊層、層
215a...接觸襯墊層部份、部份
216...阻劑遮罩
217...處理
250...第一電晶體元件、電晶體
260...第二電晶體元件、電晶體
參考以下結合附圖的說明可瞭解本發明,其中類似的元件用類似的元件符號表示,其中:第1a至1e圖為電晶體元件的截面圖,其係根據進一步示範具體實施例示意地圖示形成接近通道區之接觸襯墊層的各種製程階段;以及第2圖為包含兩個電晶體元件的半導體裝置的截面圖,其係根據本發明之更進一步示範具體實施例示意地圖示該兩個電晶體元件係容納接近各自之通道區的接觸襯墊層且該接觸襯墊層的各個部份有不同的內應力。
100...半導體裝置、裝置
101...基板
102...閘極
104...通道區
105a...減少之偏移間隔體
106...延伸區
107...內間隔體元件
110a...蝕刻中止層
111...深汲極/源極區
113...金屬矽化物區
114...金屬矽化物區
115...接觸襯墊層

Claims (24)

  1. 一種形成積體電路之方法,包含下列步驟:形成包含閘極結構的電晶體元件,該閘極結構係包含至少一內間隔體元件與外間隔體元件;移除該外間隔體元件;以及在該電晶體元件上形成接觸襯墊層。
  2. 如申請專利範圍第1項之方法,其中形成該電晶體元件的步驟係包含:在半導體區上形成閘極;以毗鄰於該閘極之側壁的方式形成該至少一內間隔體元件;形成蝕刻中止層以使該至少一內間隔體元件與該外間隔體元件分開;以及使用該等內與外間隔體元件作為佈植遮罩而形成汲極/源極區。
  3. 如申請專利範圍第1項之方法,其中形成該接觸襯墊層的步驟係包含:使用沉積參數沉積介電材料以便在該接觸襯墊層中實現預定義的內應力。
  4. 如申請專利範圍第2項之方法,更包含:在形成該接觸襯墊層之前在該閘極及該汲極/源極區上形成矽化物區,其中在形成該矽化物區之前移除該外間隔體元件。
  5. 如申請專利範圍第4項之方法,其中形成該外間隔體元件的步驟係包含:沉積該蝕刻中止層、沉積間隔體材料層、非等向性蝕刻該間隔體材料層以形成該外間 隔體元件、以及使用該外間隔體元件作為蝕刻遮罩而蝕刻該蝕刻中止層。
  6. 如申請專利範圍第4項之方法,更包含:在形成該矽化物區之前進行清洗製程。
  7. 如申請專利範圍第6項之方法,其中該清洗製程的進行係基於經組構成相對於該蝕刻中止層可選擇性地蝕刻該外間隔體元件的蝕刻化學。
  8. 如申請專利範圍第7項之方法,其中控制該清洗製程以便實質地完全移除該外間隔體元件。
  9. 如申請專利範圍第1項之方法,更包含:在形成該等內與外間隔體元件之前形成毗鄰於該閘極之該等側壁的偏移間隔體元件。
  10. 如申請專利範圍第9項之方法,更包含:在形成該內間隔體元件之前形成襯墊,該襯墊係經組構成在該內間隔體元件的形成期間作為蝕刻中止層。
  11. 如申請專利範圍第2項之方法,其中該內間隔體元件係由二氧化矽與氮化矽中之一種構成。
  12. 如申請專利範圍第2項之方法,其中該外間隔體元件係由氮化矽與二氧化矽中之一種構成,而且該蝕刻中止層係由該氮化矽與該二氧化矽中之另一種構成。
  13. 一種形成積體電路之方法,包含下列步驟:形成具有第一閘極結構的第一電晶體元件,該第一閘極結構包含至少一內與外間隔體元件;形成具有第二閘極結構的第二電晶體元件,該第 二閘極結構包含至少一內與外間隔體元件;移除該第一與第二閘極結構的該等外間隔體元件;以及在該第一電晶體元件上形成具有第一內應力的第一接觸襯墊層,以及在該第二電晶體元件上形成具有第二內應力的第二接觸襯墊層。
  14. 如申請專利範圍第13項之方法,其中該第一與第二內應力不相同。
  15. 如申請專利範圍第13項之方法,其中形成該第一與第二接觸襯墊層的步驟係包含:在該第一與第二電晶體元件上沉積具有該第一內應力的接觸襯墊層、以及選擇性鬆弛形成於該第二電晶體元件上的該接觸襯墊層以得到該第二內應力。
  16. 如申請專利範圍第13項之方法,其中形成該第一與第二接觸襯墊層的步驟係包含:在該第一與第二電晶體元件上沉積具有該第一內應力的接觸襯墊層、選擇性移除在該第二電晶體元件上之該接觸襯墊層的部份、以及在該第一與該第二電晶體元件上沉積另一具有該第二內應力的接觸襯墊層。
  17. 如申請專利範圍第13項之方法,其中形成該第一與第二電晶體元件的步驟係包含:在半導體區上形成第一與第二閘極;以毗鄰於該第一與第二閘極之側壁的方式形成該至少一內間隔體元件;形成蝕刻中止層以使該至少一內間隔體元件與該 外間隔體元件分開;以及使用該等內與外間隔體元件作為佈植遮罩而形成汲極/源極區。
  18. 如申請專利範圍第13項之方法,其中形成該接觸襯墊層的步驟係包含:使用沉積參數沉積介電材料以便在該接觸襯墊層中實現預定義的內應力。
  19. 如申請專利範圍第13項之方法,更包含:在形成該接觸襯墊層之前在該第一與第二電晶體元件的該等第一與第二閘極及汲極/源極區上形成矽化物區,其中在形成該等矽化物區之前移除該等外間隔體元件。
  20. 如申請專利範圍第19項之方法,其中形成該等外間隔體元件的步驟係包含:沉積該蝕刻中止層、沉積間隔體材料層、非等向性蝕刻該間隔體材料層以形成該等外間隔體元件、以及使用該外間隔體元件作為蝕刻遮罩而蝕刻該蝕刻中止層。
  21. 如申請專利範圍第20項之方法,更包含:在形成該等矽化物區之前進行清洗製程。
  22. 如申請專利範圍第21項之方法,其中該清洗製程的進行係基於經組構成相對於該蝕刻中止層可選擇性地蝕刻該等外間隔體元件的蝕刻化學。
  23. 如申請專利範圍第22項之方法,其中控制該清洗製程以便實質地完全移除該等外間隔體元件。
  24. 如申請專利範圍第18項之方法,更包含在形成該等內與外間隔體元件之前形成偏移間隔體元件。
TW095114837A 2005-04-29 2006-04-26 以高效率轉移應力之形成接觸絕緣層之技術 TWI443750B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102005020133A DE102005020133B4 (de) 2005-04-29 2005-04-29 Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
US11/288,673 US7354838B2 (en) 2005-04-29 2005-11-29 Technique for forming a contact insulation layer with enhanced stress transfer efficiency

Publications (2)

Publication Number Publication Date
TW200731413A TW200731413A (en) 2007-08-16
TWI443750B true TWI443750B (zh) 2014-07-01

Family

ID=37111335

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095114837A TWI443750B (zh) 2005-04-29 2006-04-26 以高效率轉移應力之形成接觸絕緣層之技術

Country Status (5)

Country Link
US (1) US7354838B2 (zh)
JP (1) JP5204645B2 (zh)
CN (1) CN101167169B (zh)
DE (1) DE102005020133B4 (zh)
TW (1) TWI443750B (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7402476B2 (en) * 2005-06-15 2008-07-22 Freescale Semiconductor, Inc. Method for forming an electronic device
KR100618908B1 (ko) * 2005-08-12 2006-09-05 삼성전자주식회사 게이트 저항을 개선한 반도체 소자 및 제조 방법
JP4829591B2 (ja) * 2005-10-25 2011-12-07 パナソニック株式会社 半導体装置及びその製造方法
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
JP2007324391A (ja) * 2006-06-01 2007-12-13 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US8338245B2 (en) * 2006-12-14 2012-12-25 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing stress-engineered spacers
US20080206973A1 (en) * 2007-02-26 2008-08-28 Texas Instrument Inc. Process method to optimize fully silicided gate (FUSI) thru PAI implant
US7888194B2 (en) * 2007-03-05 2011-02-15 United Microelectronics Corp. Method of fabricating semiconductor device
US8119470B2 (en) * 2007-03-21 2012-02-21 Texas Instruments Incorporated Mitigation of gate to contact capacitance in CMOS flow
DE102007025342B4 (de) * 2007-05-31 2011-07-28 Globalfoundries Inc. Höheres Transistorleistungsvermögen von N-Kanaltransistoren und P-Kanaltransistoren durch Verwenden einer zusätzlichen Schicht über einer Doppelverspannungsschicht
US7799650B2 (en) * 2007-08-08 2010-09-21 Freescale Semiconductor, Inc. Method for making a transistor with a stressor
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
DE102008016512B4 (de) * 2008-03-31 2009-12-03 Advanced Micro Devices, Inc., Sunnyvale Erhöhen der Verspannungsübertragungseffizienz in einem Transistor durch Verringern der Abstandshalterbreite während der Drain- und Source-Implantationssequenz
US20090289284A1 (en) * 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
JP4744576B2 (ja) * 2008-09-10 2011-08-10 パナソニック株式会社 半導体装置の製造方法
JP5668277B2 (ja) 2009-06-12 2015-02-12 ソニー株式会社 半導体装置
US8222100B2 (en) * 2010-01-15 2012-07-17 International Business Machines Corporation CMOS circuit with low-k spacer and stress liner
US8598006B2 (en) 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
KR101815527B1 (ko) * 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102544098B (zh) * 2010-12-31 2014-10-01 中国科学院微电子研究所 Mos晶体管及其形成方法
US8987104B2 (en) * 2011-05-16 2015-03-24 Globalfoundries Inc. Method of forming spacers that provide enhanced protection for gate electrode structures
KR20130007283A (ko) * 2011-06-30 2013-01-18 삼성디스플레이 주식회사 박막 트랜지스터, 이를 구비한 표시 장치, 및 그 제조 방법
US8669170B2 (en) 2012-01-16 2014-03-11 Globalfoundries Inc. Methods of reducing gate leakage
KR20140108982A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 메모리 장치 및 그 제조 방법
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
KR20180088187A (ko) * 2017-01-26 2018-08-03 삼성전자주식회사 저항 구조체를 갖는 반도체 소자
CN109830433B (zh) * 2017-11-23 2021-03-30 联华电子股份有限公司 制作半导体元件的方法
US11653498B2 (en) * 2017-11-30 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device with improved data retention
US11309402B2 (en) 2020-03-05 2022-04-19 Sandisk Technologies Llc Semiconductor device containing tubular liner spacer for lateral confinement of self-aligned silicide portions and methods of forming the same

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5372960A (en) * 1994-01-04 1994-12-13 Motorola, Inc. Method of fabricating an insulated gate semiconductor device
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5763311A (en) * 1996-11-04 1998-06-09 Advanced Micro Devices, Inc. High performance asymmetrical MOSFET structure and method of making the same
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6087271A (en) * 1997-12-18 2000-07-11 Advanced Micro Devices, Inc. Methods for removal of an anti-reflective coating following a resist protect etching process
US6066567A (en) * 1997-12-18 2000-05-23 Advanced Micro Devices, Inc. Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
US5994747A (en) * 1998-02-13 1999-11-30 Texas Instruments-Acer Incorporated MOSFETs with recessed self-aligned silicide gradual S/D junction
US6136636A (en) * 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6271133B1 (en) * 1999-04-12 2001-08-07 Chartered Semiconductor Manufacturing Ltd. Optimized Co/Ti-salicide scheme for shallow junction deep sub-micron device fabrication
US6294480B1 (en) * 1999-11-19 2001-09-25 Chartered Semiconductor Manufacturing Ltd. Method for forming an L-shaped spacer with a disposable organic top coating
JP2001168323A (ja) * 1999-12-06 2001-06-22 Mitsubishi Electric Corp 半導体装置の製造方法
US6372589B1 (en) * 2000-04-19 2002-04-16 Advanced Micro Devices, Inc. Method of forming ultra-shallow source/drain extension by impurity diffusion from doped dielectric spacer
US7115954B2 (en) * 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
KR100441682B1 (ko) * 2001-06-14 2004-07-27 삼성전자주식회사 엘디디형 소오스/드레인 영역을 갖는 반도체 장치 및 그제조 방법
US6555865B2 (en) * 2001-07-10 2003-04-29 Samsung Electronics Co. Ltd. Nonvolatile semiconductor memory device with a multi-layer sidewall spacer structure and method for manufacturing the same
KR100396895B1 (ko) * 2001-08-02 2003-09-02 삼성전자주식회사 L자형 스페이서를 채용한 반도체 소자의 제조 방법
US6818504B2 (en) * 2001-08-10 2004-11-16 Hynix Semiconductor America, Inc. Processes and structures for self-aligned contact non-volatile memory with peripheral transistors easily modifiable for various technologies and applications
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
KR100423904B1 (ko) * 2002-03-26 2004-03-22 삼성전자주식회사 모스 트랜지스터에 접속되는 콘택을 가진 반도체 장치의제조방법
KR100476887B1 (ko) * 2002-03-28 2005-03-17 삼성전자주식회사 소오스 및 드레인 영역의 실리사이드층이 확장된 모스트랜지스터 및 그 제조방법
US6777298B2 (en) * 2002-06-14 2004-08-17 International Business Machines Corporation Elevated source drain disposable spacer CMOS
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6677201B1 (en) * 2002-10-01 2004-01-13 Texas Instruments Incorporated Method of fabricating thermal CVD oxynitride and BTBAS nitride sidewall spacer for metal oxide semiconductor transistors
US6815355B2 (en) * 2002-10-09 2004-11-09 Chartered Semiconductor Manufacturing Ltd. Method of integrating L-shaped spacers in a high performance CMOS process via use of an oxide-nitride-doped oxide spacer
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
US6969646B2 (en) * 2003-02-10 2005-11-29 Chartered Semiconductor Manufacturing Ltd. Method of activating polysilicon gate structure dopants after offset spacer deposition
JP4557508B2 (ja) * 2003-06-16 2010-10-06 パナソニック株式会社 半導体装置
KR100546369B1 (ko) * 2003-08-22 2006-01-26 삼성전자주식회사 콘택 마진을 확보할 수 있는 실리사이드막을 구비한고집적 반도체 소자 및 그 제조방법
US7022596B2 (en) * 2003-12-30 2006-04-04 Advanced Micro Devices, Inc. Method for forming rectangular-shaped spacers for semiconductor devices
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
DE102004026142B3 (de) * 2004-05-28 2006-02-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement

Also Published As

Publication number Publication date
CN101167169B (zh) 2011-11-23
JP5204645B2 (ja) 2013-06-05
US20060246641A1 (en) 2006-11-02
US7354838B2 (en) 2008-04-08
TW200731413A (en) 2007-08-16
JP2008539591A (ja) 2008-11-13
CN101167169A (zh) 2008-04-23
DE102005020133A1 (de) 2006-11-09
DE102005020133B4 (de) 2012-03-29

Similar Documents

Publication Publication Date Title
TWI443750B (zh) 以高效率轉移應力之形成接觸絕緣層之技術
TWI420602B (zh) 用於形成nmos與pmos電晶體中之凹陷之受應變之汲極/源極區之技術
JP4937253B2 (ja) コンタクト絶縁層および異なる特性を有するシリサイド領域を形成するための技法
TWI438847B (zh) 阻止電晶體閘電極之預非晶化
JP5795735B2 (ja) チャネル領域への減少させられたオフセットを有する埋め込みSi/Ge材質を伴うトランジスタ
JP4890448B2 (ja) 相異なるチャネル領域に相異なるよう調整された内在応力を有するエッチストップ層を形成することによって、相異なる機械的応力を生成するための技術
JP5149301B2 (ja) 引張歪みおよび圧縮歪みを生成するための埋め込みSi/Ge材料を含むNMOSトランジスタおよびPMOSトランジスタを有する半導体デバイス
KR101148138B1 (ko) 리세스된 드레인 및 소스 영역을 갖는 nmos 트랜지스터와 드레인 및 소스 영역에 실리콘/게르마늄 물질을 갖는 pmos 트랜지스터를 포함하는 cmos 디바이스
JP5544367B2 (ja) トランジスタにおいて進歩したシリサイド形成と組み合わされる凹型のドレイン及びソース区域
US7122410B2 (en) Polysilicon line having a metal silicide region enabling linewidth scaling including forming a second metal silicide region on the substrate
JP2006332337A (ja) 半導体装置及びその製造方法
US20150031179A1 (en) Method of forming a semiconductor structure including silicided and non-silicided circuit elements
US20090001479A1 (en) Transistor having reduced gate resistance and enhanced stress transfer efficiency and method of forming the same
US20100078735A1 (en) Cmos device comprising nmos transistors and pmos transistors having increased strain-inducing sources and closely spaced metal silicide regions
TWI511286B (zh) 具有縮減長度之汲極和源極區及與其毗鄰之受力介電材料的soi電晶體
KR100414735B1 (ko) 반도체소자 및 그 형성 방법
KR101229526B1 (ko) 개선된 스트레스 전달 효율을 가지는 컨택 절연층 형성 기술
KR20060054407A (ko) 축소된 게이트 공핍을 갖는 도핑된 게이트 전극을 구비한전계 효과 트랜지스터와 이 트랜지스터의 형성방법