TWI432913B - 微影系統、元件製造方法、設定點資料最佳化方法及產生最佳化設定點資料的裝置 - Google Patents

微影系統、元件製造方法、設定點資料最佳化方法及產生最佳化設定點資料的裝置 Download PDF

Info

Publication number
TWI432913B
TWI432913B TW096146539A TW96146539A TWI432913B TW I432913 B TWI432913 B TW I432913B TW 096146539 A TW096146539 A TW 096146539A TW 96146539 A TW96146539 A TW 96146539A TW I432913 B TWI432913 B TW I432913B
Authority
TW
Taiwan
Prior art keywords
substrate
array
dose pattern
radiation
component
Prior art date
Application number
TW096146539A
Other languages
English (en)
Other versions
TW200839461A (en
Inventor
Kars Zeger Troost
Jason Douglas Hintersteiner
Patricius Aloysius Jacobus Tinnemans
Wenceslao A Cebuhar
Ronald P Albright
Bernardo Kastrup
Martinus Hendricus Hendricus Hoeks
Original Assignee
Asml Netherlands Bv
Asml Holding Nv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv, Asml Holding Nv filed Critical Asml Netherlands Bv
Publication of TW200839461A publication Critical patent/TW200839461A/zh
Application granted granted Critical
Publication of TWI432913B publication Critical patent/TWI432913B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70791Large workpieces, e.g. glass substrates for flat panel displays or solar panels
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

微影系統、元件製造方法、設定點資料最佳化方法及產生最佳化設定點資料的裝置
本發明係關於一微影系統,一製造元件之方法及一用於最佳化對於一個別可控元件陣列之設定點資料的裝置及方法。
微影裝置係一種將所要之圖案施加至基板或基板之一部分上的機器。微影裝置可用於(例如)平板顯示器、積體電路(IC)及其他包含精細結構之元件的製造中。在習知裝置中,可稱為光罩或主光罩之圖案化元件可用以產生與平板顯示器(或其他元件)之個別層對應之電路圖案。藉由將圖案成像至提供於基板上之一輻射敏感材料(例如,抗蝕劑)層上,可將該圖案轉印至基板(例如,玻璃板)之全部或部分上。
替代電路圖案,圖案化元件可用以產生其他圖案,例如彩色濾光片圖案或點矩陣。替代光罩,該圖案化元件可為一包含一個別可控元件陣列之圖案化陣列。與基於光罩之系統相比,圖案可在此系統中可更快速且更低成本地得到改變。
平板顯示器基板之形狀通常為矩形。經設計以曝光該類基板之微影裝置可提供一曝光區域,其覆蓋該矩形基板之整個寬度或覆蓋該寬度之一部分(例如,該寬度之一半)。可在該曝光區域下方掃描該基板,同時經由一光束同步掃描光罩或主光罩。以此方式,圖案被轉印至該基板。若該 曝光區域覆蓋該基板之整個寬度,則可使用單次掃描來完成曝光。若該曝光區域覆蓋(例如)該基板之一半寬度,則可在第一次掃描後橫向移動該基板,且通常執行再一次掃描以曝光該基板之剩餘部分。
晶片布局工具或類似設計器械可用以界定使用者期望產生於基板上之目標元件結構。該目標元件結構可以基於標準向量之格式(諸如GDSII或OASIS)自此器械輸出。
界定於基於向量之格式的輸出檔案中之目標元件結構具有無限尖銳的邊緣及角度。其未慮及將投影經圖案化之輻射光束之投影系統中之限制,亦未慮及將用以處理被輻照之基板以形成元件結構之後處理步驟中之限制。
繞射效應將導致投影系統具有有限的(空間)頻率回應,從而尤其導致低通濾波器行為(在空間頻率域中)。後處理步驟可具有類似效應,從而關於由曝光至基板上之輻射劑量圖案界定的劑量-清晰性輪廓而在寬範圍上使元件結構模糊。
用於校正此等效應之一方法為修改該基於向量之格式的輸出檔案以併入校正特徵,亦稱作"光學近接校正''(OPC)。
OPC可藉由對系統進行理論建模而導出。此可包括對藉由使用對應於基於向量之格式的輸出檔案之光罩與特定投影系統及後處理策略而得到的元件結構進行之計算。OPC接著可添加至光罩且可對於不同OPC而反覆地重複該計算,直至所計算的元件結構與基於向量之格式的輸出檔案之間的差異落在可接受的界限內。
在使用無光罩系統仿照實體系統之情況中,可使用針對基於光罩之系統所計算的相同OPC,但需注意確保無光罩系統中之個別可控元件陣列以與實體光罩相同的方式作用。歸因於與實體光罩相比的個別可控元件陣列中之實體差異以及個別可控元件陣列與輻射光束互動之方式,可能難以達成準確的模擬。
因此,需要一種改良由無光罩微影術系統形成之元件結構之準確度的系統及方法。
在本發明之一實施例中,提供一種產生最佳化設定點資料之方法,該最佳化設定點資料用於控制一個別可控元件陣列之元件之致動,該個別可控元件陣列經組態以調變一無光罩微影術系統中之一輻射光束。該無光罩微影術系統具有一經組態以調節該輻射光束之照明系統及一經組態以將該經調變之輻射光束投影至一基板上的投影系統。該方法包含:a)獲得起始設定點資料;b)估計藉由將該起始設定點資料應用於該經組態以調變一輻射光束之個別可控元件陣列將產生之元件結構;c)比較在步驟(b)中所估計之該元件結構與一將形成於一基板上之目標元件結構以判定一元件結構誤差;d)修改該設定點資料及視需要使用該經修改之設定點資料而非該起始設定點資料重複步驟(b)及(c)直至該元件結構誤差落在一預定臨限值以下;及e)輸出該使該元件結構誤差低於該預定臨限值之經修改設定點資料為最佳化設定點資料。
在本發明之另一實施例中,提供一種產生最佳化設定點資料之裝置,該最佳化設定點資料用於控制一個別可控元件陣列之元件之致動,該個別可控元件陣列經組態以調變一無光罩微影術系統中之一輻射光束。該無光罩微影術系統具有一經組態以調節該輻射光束之照明系統及一經組態以將該經調變之輻射光束投影至一基板上的投影系統。該裝置包含元件結構估計元件及設定點資料最佳化器。該元件結構估計元件用於估計藉由將給定設定點資料應用於該經組態以調變一輻射光束之個別可控元件陣列將產生之元件結構。該設定點資料最佳化器經配置以使用該元件結構估計元件及不同設定點資料來反覆估計該元件結構直至該估計元件結構與一將形成於一基板上之目標元件結構之間的一差異小於一預定臨限值,因此判定最佳化設定點資料。
本發明之其他實施例、特徵及優勢以及本發明之各實施例之結構及操作將在下文參考隨附圖式進行詳細描述。
本說明書揭示了併入有本發明之特徵之一或多個實施例。所揭示之該(等)實施例僅例示本發明。本發明之範疇並非限於所揭示之該(等)實施例。本發明藉由附隨之專利申請範圍界定。
所描述之該(等)實施例及本說明書中對"一個實施例"、"一實施例"、"一實例實施例"等之參考指示所描述之該(等)實施例可包括一特定特徵、結構或特性,但每個實施 例可未必包括該特定特徵、結構或特性。此外,此等短語未必參考同一實施例。另外,當結合一實施例描述一特定特徵、結構或特性時,應瞭解,無論是否明確描述,結合其他實施例來實現該特徵、結構或特性均屬於熟習此項技術者所瞭解之範圍。
本發明之實施例可以硬體、韌體、軟體或其任何組合來實施。本發明之實施例亦可實施為儲存於機器可讀媒體上之指令,該等指令可由一或多個處理器讀取或執行。一機器可讀媒體包括用於以可由一機器(例如,電腦)讀取之形式儲存或傳輸資訊之任何機構。舉例而言,機器可讀媒體包括;唯讀記憶體(ROM);隨機儲存記憶體(RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體設備;電學、光學、聲學或其他形式之傳播信號(例如,載波、紅外信號、數位信號等);等等。另外,本文可將韌體、軟體、常式、指令描述為執行某些動作。然而,應瞭解,此等描述僅為方便目的且此等動作實際上來自於計算設備、處理器、控制器或執行韌體、軟體、常式、指令等的其他設備。
圖1示意性地描繪本發明之一實施例的微影裝置1。該裝置包含一照明系統IL、一圖案化元件PD、一基板台WT,及一投影系統PS。該照明系統(照明器)IL經組態以調節一輻射光束B(例如,UV輻射)。
應瞭解,儘管本描述內容係針對微影術,但圖案化元件PD可形成於顯示器系統(例如,LCD電視或投影器)中,而 不脫離本發明之範疇。因此,所投影之經圖案化光束可投影至許多不同類型之物件上,例如基板,顯示器設備等。
該基板台WT經建構以支撐一基板(例如,塗佈有抗蝕劑之基板)W且連接至一經組態以根據特定參數精確地定位該基板的***PW。
投影系統(例如,折射型投影透鏡系統)PS經組態以將由個別可控元件陣列調變之輻射光束投影至目標部分C(例如,包含一或多個晶粒)上。應將本文中所使用之術語"投影系統"廣義解釋為包含任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統或其任何組合,只要其適合於所使用之曝光輻射或適合於諸如浸液之使用或真空之使用的其他因素。可認為本文中對術語"投影透鏡"之任何使用與更通用之術語"投影系統"同義。
該照明系統可包括用於引導、成形或控制輻射之各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件或其任何組合。
該圖案化元件PD(例如,主光罩或光罩或個別可控元件之陣列)調變該光束。一般而言,該個別可控元件陣列之位置將相對於該投影系統PS而固定。然而,其可替代地連接至一經組態以根據特定參數精確地定位該個別可控元件陣列之***。
應將本文中所使用之術語"圖案化元件"或"對比元件"廣泛解釋為指代可用以調變輻射光束之橫截面(諸如)以在基板之目標部分中產生圖案的任何元件。該等元件可為靜態 圖案化元件(例如,光罩或主光罩)或動態(例如,可程式化元件陣列)圖案化元件。為簡潔起見,大多數描述內容將就動態圖案化元件而展開,然而應瞭解,在不脫離本發明之範疇的情況下亦可使用靜態圖案化元件。
應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂的輔助特徵,則該圖案可能不會精確對應於基板之目標部分中的所要圖案。類似地,最終產生於基板上的圖案可能並不對應於在任一瞬間形成於個別可控元件陣列上的圖案。該情況可發生於如下配置中:形成於基板之每一部分上的最終圖案係建立於當個別可控元件陣列上之圖案及/或基板之相對位置有所改變的一給定時間段內或一給定數目之曝光期間。
通常,產生於基板之目標部分上的圖案將對應於產生於目標部分中之元件(諸如,積體電路或平板顯示器)中的一特定功能層(例如,平板顯示器中的彩色濾光片層或平板顯示器中的薄膜電晶體層)。此等圖案化元件之實例包括主光罩、可程式化鏡面陣列、雷射二極體陣列、發光二極體陣列、空間光調變器、光柵光閥及LCD陣列。
在電子構件(例如,電腦)之協助下其圖案可程式化之圖案化元件(諸如,包含複數個可程式化元件的圖案化元件,例如,在先前描述中提及之除主光罩外的所有元件)在本文中被總稱為"對比元件"。該圖案化元件包含至少10、至少100、至少1,000、至少10,000、至少100,000、至少1,000,000、或至少10,000,000個可程式化元件。
可程式化鏡面陣列可包含一具有一黏彈性控制層及一反射表面的矩陣可定址表面。此裝置之基本原理在於(例如)該反射表面之經定址區域將入射光反射為繞射光,而未經定址區域將入射光反射為非繞射光。藉由使用一適當空間濾波器,可自反射光束中濾出該非繞射光,從而僅留存繞射光到達基板。以此方式,光束根據矩陣可定址表面之定址圖案而經圖案化。
應瞭解,作為一替代,該濾波器可濾出繞射光,從而留存非繞射光到達基板。
亦可以一對應方式使用繞射光學MEMS設備(微機電系統設備)陣列。在一實例中,繞射光學MEMS設備包含複數個反射帶,該等反射帶可相對於彼此變形以形成一將入射光反射為繞射光的光柵。
可程式化鏡面陣列之另一替代實例使用微型鏡面之一矩陣配置,每一微型鏡面可藉由施加一適當局部化電場或藉由使用壓電致動構件而個別地圍繞一軸線傾斜或平移(pistoned)。該等鏡面亦為矩陣可定址的,以使得經定址鏡面反射在不同於未經定址鏡面之方向上的入射輻射光束;以此方式,反射光束可根據該等矩陣可定址鏡面之定址圖案而圖案化。可使用適當電子構件執行所要求之矩陣定址。
另一實例PD為一可程式化LCD陣列。
微影裝置可包含一或多個對比元件。舉例而言,微影裝置可具有個別可控元件之複數個陣列,每一個別可控元件 為彼此獨立可控的。在此種配置中,該等個別可控元件陣列中的一些或全部可具有普通照明系統(或照明系統之一部分)、用於該等個別可控元件陣列之普通支撐結構及/或普通投影系統(或投影系統之一部分)中的至少一者。
在一實例中(諸如,圖1中描繪之實施例),基板W具有大體上為圓形之形狀,視情況沿其周邊之一部分具有一凹口及/或一扁平邊緣。在另一實例中,該基板具有多邊形形狀,例如,矩形形狀。
基板具有大體上為圓形形狀之實例包括基板具有至少25mm、至少50mm、至少75mm、至少100mm、至少125mm、至少150mm、至少175mm、至少200mm、至少250mm或至少300mm之直徑的實例。或者,基板具有至多500mm、至多400mm、至多350mm、至多300mm、至多250mm、至多200mm、至多150mm、至多100mm或至多75mm之直徑。
基板為多邊形(例如,矩形)之實例包括基板之至少一邊、至少兩邊或至少三邊具有至少5cm、至少25cm、至少50cm、至少100cm、至少150cm、至少200cm或至少250cm之長度的實例。
基板之至少一邊具有至多1000cm、至多750cm、至多500cm、至多350cm、至多250cm、至多150cm或至多75cm之長度。
在一實例中,基板W為一晶圓,例如,半導體晶圓。晶圓材料可選自由以下項組成之群:Si、SiGe、SiGeC、 SiC、Ge、GaAs、InP及InAs。晶圓可為:III/V族化合物半導體晶圓、矽晶圓、陶瓷基板、玻璃基板或塑膠基板。以肉眼觀之,基板可為透明、彩色或無色的。
基板之厚度可變化且在一定程度上可取決於(例如)基板材料及/或基板尺寸。該厚度可為至少50μm、至少100μm、至少200μm、至少300μm、至少400μm、至少500μm或至少600μm。或者,基板之厚度可為至多5000μm、至多3500μm、至多2500μm、至多1750μm、至多1250μm、至多1000μm、至多800μm、至多600μm、至多500μm、至多400μm或至多300μm。
可在曝光之前或之後在(例如)一軌道(通常將抗蝕劑層塗覆至基板且顯影所曝光之抗蝕劑的工具)、一度量工具及/或一檢驗工具中處理本文中所指之基板。在一實例中,抗蝕劑層提供於基板上。
投影系統可將圖案成像至個別可控元件陣列上以使得該圖案相干地形成於基板上。或者,投影系統可使將個別可控元件陣列中之元件充當快門之次級源成像。在此方面,投影系統可包含諸如微透鏡陣列(已知為MLA)或費涅(Fresnel)透鏡陣列之聚焦元件陣列,以形成次級源及將光點成像至基板上。聚焦元件陣列(例如,MLA)包含至少10個聚焦元件、至少100個聚焦元件、至少1,000個聚焦元件、至少10,000個聚焦元件、至少100,000個聚焦元件或至少1,000,000個聚焦元件。
圖案化元件中之個別可控元件之數目等於或大於聚焦元 件陣列中之聚焦元件的數目。聚焦元件陣列中之聚焦元件的一或多者(例如,1,000個或更多、大多數或每一個)可視情況與個別可控元件陣列中之個別可控制元件的一或多者相關聯,與個別可控元件陣列中之個別可控元件的2個或更多、3個或更多、5個或更多、10個或更多、20個或更多、25個或更多、35個或更多或50個或更多者相關聯。
MLA可至少在一方向上朝向基板及遠離基板而移動(例如,藉由使用一或多個致動器)。能夠朝向基板及遠離基板而移動MLA使得允許(例如)在無需移動基板的情況下進行焦距調節。
如本文在圖1及圖2中所描繪,該裝置為反射類型(例如,使用個別可控元件之反射陣列)。或者,該裝置可為透射類型(例如,使用個別可控元件之透射陣列)。
微影裝置可為具有兩個(雙平臺)或多個基板台之類型。在該等"多平臺"機器中,可並行使用額外基板台,或可在一或多個台上執行預備步驟同時使用一或多個其他台進行曝光。
微影裝置亦可為以下類型:其中基板之至少一部分可被一具有相對較高的折射率之"浸液"(例如,水)所覆蓋,以填充投影系統與基板之間的空間。亦可將浸塗覆至微影裝置中之其他空間,例如,在圖案化元件與投影系統之間的空間。浸沒技術在此項技術中係熟知的以用於增加投影系統之數值孔徑。本文中所使用之術語"浸沒"並不意謂必須將諸如基板之結構淹沒於液體中,而僅意謂在曝光期間液 體位於投影系統與基板之間。
再次參看圖1,照明器IL自一輻射源SO接收一輻射光束。該輻射源提供具有至少5nm、至少10nm、至少11-13nm、至少50nm、至少100nm、至少150nm、至少175nm、至少200nm、至少250nm、至少275nm、至少300nm、至少325nm、至少350nm或360nm之波長的輻射。或者,由輻射源SO提供之輻射具有至多450nm、至多425nm、至多375nm、至多360nm、至多325nm、至多275nm、至多250nm、至多225nm、至多200nm或至多175nm之波長。該輻射可具有包括436nm、405nm、365nm、355nm、248nm、193nm、157nm、及/或126nm之波長。
舉例而言,當輻射源為準分子雷射器時,該輻射源與微影裝置可為獨立之實體。在該等情形中,不認為輻射源形成微影裝置之部分,且輻射光束借助於包含(例如)合適之引導鏡面及/或光束放大器的光束傳遞系統BD而自輻射源SO處傳遞至照明器IL。在其他情形中,舉例而言,當輻射源為一水銀燈時,該輻射源可為微影裝置之一整體部分。輻射源SO及照明器IL連同(若需要)光束傳遞系統BD可稱為一輻射系統。
照明器IL可包含一用於調節輻射光束之角強度分布的調節器AD。一般而言,至少可調節照明器之瞳孔平面中之強度分布的外部徑向範圍及/或內部徑向範圍(通常分別稱作σ-外(σ-outer)及σ-內(σ-inner))。此外,照明器IL可包含 諸如積光器IN及聚光器CO之各種其他組件。照明器可用以調節輻射光束以在該輻射光束之橫截面中具有所要均一性及強度分布。照明器IL或與其相關聯之額外組件亦可經配置以將輻射光束劃分為複數個子光束,該等子光束可各自(例如)與個別可控元件陣列中的一個或複數個個別可控元件相關聯。可使用二維繞射光柵來(例如)將輻射光束劃分為子光束。在本描述內容中,術語"輻射之光束"及"輻射光束"包含(但不限於)光束包含輻射之複數個此等子光束的情形。
輻射光束B入射至圖案化元件PD(例如,個別可控元件之陣列)上且由該圖案化元件進行調變。在由該圖案化元件PD反射後,輻射光束B穿過投影系統PS,投影系統PS將光束聚焦至基板W之目標部分C上。借助於***PW及位置感測器IF(例如,干涉量測元件、線性編碼器、電容性感測器或其類似物),可準確地移動基板台WT以便(例如)在輻射光束B之路徑中定位不同目標部分C。在被使用時,用於個別可控元件陣列之定位構件可用以(例如)在掃描期間準確地校正圖案化元件PD相對於光束B之路徑的位置。
在一實例中,基板台WT之移動可借助於圖1中未確切描繪之長衝程模組(粗定位)及短衝程模組(精定位)來實現。在另一實例中,未出現短衝程平臺。亦可使用類似系統來定位個別可控元件陣列。應瞭解,光束B(另外/其他)可為可移動的,同時物件台及/或個別可控元件陣列可具有一 固定位置以提供所要求之相對移動。此種配置可有助於限制裝置之大小。如可(例如)應用於平板顯示器之製造中的另一替代例,基板台WT及投影系統PS之位置可固定且基板W可經配置以關於基板台WT移動。舉例而言,基板台WT可具備一用於以大體上恆定之速度在基板W上掃描該基板W之系統。
如圖1中所示,可藉由光束分光器BS將輻射光束B引導至圖案化元件PD,光束分光器BS經組態以使得光束起始由該光束分光器反射且引導至圖案化元件PD。應認識到,輻射光束B亦可在不使用光束分光器之情況下被引導至圖案化元件。可使用在0與90∘之間、5∘與85∘之間、15∘與75∘之間、25∘與65∘之間或35∘與55∘之間的角度(圖1中所示之實施例為90∘角)將輻射光束引導至該圖案化元件。圖案化元件PD調變輻射光束B且將其反射回光束分光器BS,光束分光器BS將該經調變之光束傳輸至投影系統PS。然而,應瞭解,可使用替代配置來將輻射光束B引導至該圖案化元件PD且隨後將其傳輸至投影系統PS。詳言之,若使用透射型圖案化元件,則可不要求諸如圖1中所示之配置。
可以如下若干模式使用所描繪之裝置:1.在步進模式中,當將一被賦予至輻射光束之整個圖案一次性投影至一目標部分C上時,使個別可控元件陣列及基板保持基本上靜止(亦即,單次靜態曝光)。接著使基板台WT在X方向及/或Y方向上移位以使得可對一不同之目標部分C進行曝光。在步進模式中,曝光場之最大大小限 制了在單次靜態曝光中成像之目標部分C的大小。
2.在掃描模式中,當將一被賦予至輻射光束之圖案投影至一目標部分C上時,對個別可控元件陣列及基板進行同步掃描(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特徵來判定基板相對於個別可控元件陣列之速度及方向。在掃描模式中,曝光場之最大大小限制了在單次動態曝光中之目標部分的寬度(在非掃描方向上),而掃描運動之長度決定了目標部分之高度(在掃描方向上)。
3.在脈衝模式中,個別可控元件陣列大體上保持靜止且使用一脈衝式輻射源將整個圖案投影至基板W之目標部分C上。以基本上恆定的速度移動基板台WT以使光束B掃描基板W上之線。視需要在輻射系統之脈衝之間更新個別可控元件陣列上之圖案且對脈衝計時以使得將連續目標部分C曝光於基板W上之所需位置。因此,光束B可在基板W上掃描以針對基板帶曝光完整圖案。重複該過程直至已逐線曝光完整基板W為止。
4.連續掃描模式基本上與脈衝模式相同,除了以一大體上恆定之速度相對於經調變之輻射光束B掃描基板W且在光束B在基板W上掃描且將基板W曝光時更新個別可控元件陣列上的圖案之外。可使用大體上恆定之輻射源或脈衝式輻射源,其同步於個別可控元件陣列上之圖案的更新。
5.在可使用圖2之微影裝置執行之像素柵格成像模式 中,形成於基板W上的圖案係藉由後續曝光被引導至圖案化元件PD上的由光點產生器形成之光點來實現的。在基板W上,該等光點大體上被印刷於一柵格中。在一實例中,光點大小大於經印刷之像素柵格的間距,但遠小於曝光光點柵格。藉由變化經印刷之光點的強度,圖案得以實現。在曝光閃光之間,光點上之強度分布變化。
亦可使用上述使用模式之組合及/或變體或完全不同之使用模式。
在微影術中,將圖案曝光於基板上之一抗蝕劑層上。隨後使抗蝕劑顯影。隨後,對基板執行額外處理步驟。該等後續處理步驟對基板之每一部分的效應取決於對抗蝕劑之曝光。詳言之,該等製程經調諧以使得基板之接收到高於一給定劑量臨限值之輻射劑量的部分與基板之接收到低於該劑量臨限值之輻射劑量的部分以不同方式進行回應。舉例而言,在蝕刻製程中,基板之接收到高於該臨限值之輻射劑量的區域藉由經顯影之抗蝕劑層而免受蝕刻。然而,在後曝光顯影中,該抗蝕劑之接收到低於該臨限值之輻射劑量的部分被移除且因此彼等區域並不免受蝕刻。因此,可蝕刻出所要圖案。詳言之,圖案化元件中之個別可控元件經設定以使得傳輸至基板上一圖案特徵內之一區域的輻射具有足夠高的強度以使該區域在曝光期間接收到高於劑量臨限值的輻射劑量。藉由設定對應個別可控元件以提供零或相當低之輻射強度,該基板上之剩餘區域接收到低於該劑量臨限值之輻射劑量。
實務上,即使個別可控元件經設定以在特徵邊界之一側上提供最大輻射強度且在另一側上提供最小輻射強度,圖案特徵之邊緣處之輻射劑量亦不會自一給定最大劑量突然改變至零劑量。實情為,歸因於繞射效應,輻射劑量之位準跨越一過渡區而下降。最終由經顯影之抗蝕劑形成的圖案特徵之邊界位置由所接收之劑量下降至輻射劑量臨限值以下的位置來判定。可藉由設定向基板上之位於圖案特徵邊界處或其附近之點提供輻射之個別可控元件,來更精確地控制輻射劑量在過渡區上的下降輪廓且因此更精確地控制圖案特徵邊界的精確位置。此等輻射劑量不僅可達到最大或最小強度位準,而且亦可達到在最大強度位準與最小強度位準之間的強度位準。此通常稱為"灰度階"。
灰度階提供比微影術系統中所可能提供之更大的對圖案特徵邊界位置的控制,在該微影術系統中由一給定個別可控元件提供至基板之輻射強度僅可被設定為兩個值(例如,僅最大值及最小值)。至少3個、至少4個輻射強度值、至少8個輻射強度值、至少16個輻射強度值、至少32個輻射強度值、至少64個輻射強度值、至少128個輻射強度值、至少256個輻射強度值、至少512個輻射強度值或至少1024個輻射強度值可投影至基板上。
應瞭解,灰度階可用於除上述以外之額外或替代目的。舉例而言,可調諧對曝光後之基板之處理以使得存在兩個以上的基板區域潛在回應,此取決於所接收之輻射劑量位準。舉例而言,基板之接收到低於第一臨限值之輻射劑量 的一部分以一第一方式回應;基板之接收到高於該第一臨限值但低於一第二臨限值之輻射劑量的一部分以一第二方式回應;且基板之接收到高於該第二臨限值之輻射劑量的一部分以一第三方式回應。因此,灰度階可用以在具有兩個以上所要劑量位準之基板上提供一輻射劑量輪廓。輻射劑量輪廓具有至少2個所要劑量位準、至少3個所要輻射劑量位準、至少4個所要輻射劑量位準、至少6個所要輻射劑量位準或至少8個所要輻射劑量位準。
應進一步瞭解,可藉由不同於僅控制基板上之每一點處所接收之輻射強度(如上所述)的方法來控制輻射劑量輪廓。舉例而言,基板上之每一點所接收之輻射劑量可(另外或其他)藉由控制該點曝光之持續時間來控制。如另一實例,該基板上之每一點可潛在地接收複數個連續曝光中之輻射。因此,每一點所接收之輻射劑量可(另外或其他)藉由使用該複數個連續曝光中的一選定子集來曝光該點而加以控制。
圖2描繪根據本發明之可用於(例如)平板顯示器之製造中之裝置的配置。以相同參考數字描繪對應於圖1中所示之組件的組件。同樣,對各種實施例之上述描述(例如,基板、對比元件、MLA、輻射光束等之各種組態)仍保持適用。
如圖2中所示,投影系統PS包括一光束放大器,其包含兩個透鏡L1、L2。第一透鏡L1經配置以接收經調變之輻射光束B且經由孔徑光闌AS中之一孔徑將其聚焦。另一透 鏡AL可位於該孔徑中。輻射光束B隨後發散且由第二透鏡L2(例如,場透鏡)進行聚焦。
投影系統PS進一步包含一透鏡陣列MLA,其經配置以接收經放大經調變之輻射B。經調變之輻射光束B之不同部分(對應於圖案化元件PD中之個別可控元件之一或多者)穿過透鏡陣列MLA中之各別不同透鏡ML。每一透鏡使得將經調變之輻射光束B之各別部分聚焦至處於基板W上之一點。以此方式,輻射光點S之陣列曝光於基板W上。應瞭解,儘管僅展示所說明之透鏡陣列14中的八個透鏡,但該透鏡陣列可包含數十萬透鏡(用作圖案化元件PD之個別可控元件陣列之情況亦如此)。
圖3示意性地說明根據本發明之一實施例如何使用圖2之系統產生基板W上之圖案。填充圓代表由投影系統PS中之透鏡陣列MLA投影至基板W上的光點S之陣列。在將一系列曝光體曝光於基板W上時使基板W相對於投影系統PS而在Y方向上移動。開放圓代表先前已曝光於基板W上之光點曝光體SE。如所示,由投影系統PS中之透鏡陣列投影至基板上之每一光點將一光點曝光體列R曝光於基板W上。基板之完整圖案藉由每一光點S所曝光之光點曝光體SE之所有列R的總和而產生。此配置通常稱作"像素柵格成像",如上文所論述。
可見,輻射光點S之陣列經配置而相對於基板W成角度θ(基板之邊緣平行於X及Y方向)。如此配置使得當基板在掃描方向(Y方向)上移動時,每一輻射光點將通過基板之 不同區域,藉此允許整個基板被輻射光點陣列15覆蓋。角度θ可至多20∘、至多10∘、至多5∘、至多3∘、至多1∘、至多0.5∘、至多0.25∘、至多0.10∘、至多0.05∘或至多0.01∘。或者,角度θ為至少0.001∘。
圖4示意性地展示根據本發明之一實施例可如何使用複數個光學引擎來在單次掃描中曝光整個平板顯示器基板W。在所展示之實例中,輻射光點S之八個陣列SA由八個光學引擎(未圖示)產生,該八個陣列SA以"棋盤"組態配置於兩個列R1、R2中,使得輻射光點(例如,圖3中之光點S)之一陣列的邊緣與輻射光點之相鄰陣列的邊緣略微重疊(沿掃描方向Y)。在一實例中,該等光學引擎配置於至少3個列中,例如,4個列或5個列。以此方式,一輻射帶延伸而跨越基板W之寬度,此允許在單次掃描中執行整個基板之曝光。應瞭解,可使用任意適當數目之光學引擎。在一實例中,光學引擎之數目為至少1個、至少2個、至少4個、至少8個、至少10個、至少12個、至少14個或至少17個。或者,光學引擎之數目為少於40個、少於30個或少於20個。
每一光學引擎可包含如上文所述之獨立照明系統IL、圖案化元件PD及投影系統PS。然而,應瞭解,兩個或兩個以上之光學引擎可共用照明系統、圖案化元件及投影系統中之一或多者之至少一部分。
如本描述內容之介紹性部分所提及,歸因於繞射效應,投影系統PS本身為帶寬受限的。有效地,由投影系統PS產 生於基板W上之影像為圖案化元件PD(無論是基於光罩之系統中的光罩還是無光罩系統中之個別可控元件陣列)所界定之影像的低通濾波版本。低通臨限值由投影系統PS之特性及提供輻射之照明系統IL之組態來界定,且尤其視以下四個參數而定:輻射波長(λ)、投影光學器件之數值孔徑(NA)、照明器輪廓之大小(σ)及瞳孔形狀。舉例而言,瞳孔形狀可為圓、圓環或片段圓或圓環,諸如雙極片段、四極片段圓或圓環等。確切的瞳孔形狀確定了為影像之方位的函數的低通臨限值。一次曝光僅可解決之最小尺寸CD(多次曝光可使得超過此極限)對於完全相干照明(僅填充瞳孔之中央部分)係由k1=0.5給出,且對於完全非相干照明(完全填充瞳孔)k1可小達0.25。為影像之方位的函數的確切低通臨限值由可在照明器IL中變化的確切瞳孔填充率而確定。實例包括低-σ(相干照明)、習知(部分均勻地填充瞳孔)、圓環(呈環形部分地填充瞳孔)及若干習知及圓環片段機構(雙極、四極等)及上述組合。照明系統之組態可變化以調諧為影像之方位的函數的用於成像的低通臨限值及高通臨限值。
若不加考慮,則高頻資訊之丟失將導致在處理經曝光之基板W之後形成的元件圖案中產生誤差,該等誤差期望被最小化或被避免。
後曝光處理自身亦將對最終形成於基板W上之元件結構產生影響。後曝光烘焙、抗蝕劑酸擴散、顯影、硬烘焙及蝕刻均將影響在曝光於基板W上之劑量圖案與所呈現之元 件結構之間的關係。顯影步驟涉及活化抗蝕劑中之酸。顯影後抗蝕劑之特性作為酸濃度之函數而改變。實務上,預期後曝光處理將具有廣泛類似於投影系統PS之低通濾波之效應,因為其傾向於導致所形成之結構的解析度減小。
如上文所述,此等因素中之至少一些可藉由計算待添加至光罩圖案之OPC而得到至少部分地校正。OPC可使用基於多邊形之OPC演算法而導出,此等演算法包含步驟:估計一(可變)柵格之OPC品質及最佳化所要圖案與實際圖案之間的對應性(例如,在應用OPC之後使用被應用於設計圖案之光學內核的Hopkins形式迴旋(Hopkins formalism convolution)來估計)。此為調整OPC及估計影像結果的反覆過程。
或者,可使用基於像素之OPC演算法以使其在大塊並行計算平臺上執行及對一固定柵格執行,且使用類似形式估計OPC品質及減少與所要成像圖案之偏差。亦再使用反覆方法。
上文兩種OPC產生機制均假定使用具有均勻特性之實體光罩(例如,透射對於"清晰"型為100%、對於6%-att-PSM為-6%、對於alt-PSM為-100%、對於Cr、CPL為0%)。藉由使得"均勻",而在此上下文中意謂使用透射/相位組合之離散數字。"6%-att-PSM"要求恆定的-6%之強度背景位準。在-100%-att-PSM下,使用+1或-1的透射/強度使圖案著色。此減小了所產生之頻率的範圍及改良了解析度。
"CPL"光罩類型包含具有相等振幅之正負相位特徵(如alt- PSM),及具有有效調諧局部光罩透射率之子解析度"斑紋化(zebraing)"層之鉻層。
在無光罩系統中,光罩由個別可控元件之陣列(例如,通常2至1/2臨界尺寸(CD)大小之傾斜或平移鏡面,或傾斜與平移之組合)取代且其中(例如)相位步進可出現在傾斜鏡面中。此等鏡面可藉由以下項而不同於實體光罩:(1)連續的振幅或相位可調性,及(2)諸如來自鏡面之間的縫隙的反射率之寄生效應、鉸合效應(例如,歸因於鉸合區域中之變形的反常反射)、其調變特性之偏振相依性、傾斜鏡面之變化之高度(=相位)或平移鏡面之變化之傾斜率(等效於能量調變,其歸因於瞳孔平面中之傅立葉變換之轉換)、反射率變化、形狀變化等。非反射性或部分反射性的"無作用"(無回應)像素亦可出現在此陣列上。其他可能的缺陷包括元件具有有限的作用範圍及陣列形狀的變化。陣列之效能亦可取決於輻射至陣列上之輻射的特定入射角(或其範圍)。
在使用無光罩系統來模擬實體光罩之情況下,可使用相同OPC。然而,為使此最為行之有效,需要使個別可控元件陣列PD中之所有寄生效應足夠小以接近均勻光罩,且陣列PD之某些靈活性(例如,連續的相位或振幅調整)因此不能得到充分利用。
本發明之一實施例提供一種獲得設定點資料(即,饋給個別可控元件陣列PD以便定義該陣列PD之元件之致動狀態序列之資料)之方法,設定點資料例如對應於個別元件 之特定移位程度(諸如,傾斜角度及/或縱向移位)之電壓,該方法整合對校正資料(OPC)之計算與對所需來產生給定目標元件結構(例如,GDSII OASIS檔案)之設定值的計算。即,該實施例之方法計算所需來直接自基於向量之格式的輸出檔案(代表單一擬合常式(fitting routine)中之目標元件結構)產生目標元件結構之設定點資料,而無需獨立判定基於實體光罩之系統的OPC。擬合常式自適應地慮及在基於實體光罩之系統的明確OPC計算中將考慮到的投影系統PS之相同物理特性(低通濾波等)、照明設定及後曝光處理之效應。然而,因為個別可控元件陣列PD不必模擬將OPC添加上去之實體光罩之效能,所以其原則上可全力操作以利用連續的相位及/或振幅調變。此方法提供了寬得多的參數空間以解決OPC問題且OPC問題因此可更加準確地被解決。此外,個別可控元件陣列PD之詳細物理特性亦可加以考慮且在擬合常式中加以校正。
擬合常式可慮及與一特定類型之圖案化陣列PD相關聯之物理特性(即,應付該類型之所有陣列所共有的效應,諸如上文所提及之寄生效應)。另外或其他,擬合常式可慮及陣列PD之實際例項(instance)的物理特性,諸如像素高度變化、無作用像素及其他缺陷。
因此,可提供一種包含以下步驟之方法。估計藉由對個別可控元件陣列PD應用給定設定點資料(例如,該方法可開始於"種子"設定點資料且隨後處理不斷最佳化之設定點資料)將產生的元件結構。其間考慮以下因素,該等因素 包括通常藉由光學近接校正來解決之因素中之至少一些(例如,投影系統之低通特徵、後曝光處理)。比較因此而估計得的元件結構與目標元件結構,來判定元件結構誤差。修改設定點資料(執行此之方式將取決於待實施之特定擬合常式,但目標將在於減少元件結構誤差),且(視需要)以所修改之設定點資料重複估計及比較步驟,直至元件結構誤差落在預定臨限值以下。使元件結構誤差低於預定臨限值之經修改的設定點資料可輸出為"最佳化設定點資料"。
以上方法無需使用對被模擬之實體光罩所計算的OPC資料,因為對OPC所對應之物理因素(physical factor)的補償是在使設定點資料擬合於目標元件結構時執行的。其結果為個別可控元件陣列不必模擬在光罩層級下之OPC實體光罩之操作。因此,不需要為了對應於有限的光罩能力而限制設定點資料。因此,可利用諸如連續的相位及/或振幅控制之特徵。
以上擬合常式可用近似設定點資料為種子值,近似設定點資料(例如)是在未慮及諸如投影系統PS之低通濾波特徵、後曝光處理或圖案化元件特性之詳細效應的情況下自目標元件結構獲得。可使用圖案化元件之簡化模型。舉例而言,可將圖案化元件模型化為如同每一鏡面均表現為可被調變之完全平方像素。或者,擬合常式可簡單地用隨機圖案或諸如全1或全0之某些其他易於產生之圖案為種子值。
估計步驟可包含估計輻射劑量圖案,輻射劑量圖案將由於對個別可控元件陣列PD應用設定點資料而被曝光至基板W上(例如,使用個別可控元件陣列PD之物理特徵(physical characteristics)之模型及/或投影系統PS之物理特性之模型)。另外或其他,估計步驟可包含估計在經估計之輻射劑量圖案之曝光之後對基板W進行的後曝光處理所得的元件結構。
比較步驟可涉及在估計步驟中所估計之預期元件結構與目標元件結構之直接比較或其可涉及在估計步驟中所計算之預期輻射劑量圖案與與目標元件結構相關聯之目標輻射劑量圖案(例如,期望產生目標元件結構之輻射劑量圖案)之比較。如另一變體,比較步驟可涉及藉由對輻射劑量圖案進行之算術運算所導出之算術實體的比較。舉例而言,比較可在輻射劑量圖案之第一階或更高階空間導數或輻射劑量圖案之NILS(正規化影像對數斜率)之間進行。算術運算亦可包括方向相依性,方向相依性可用於突出某些方向。無論選擇哪個(或哪些)量,反覆式常式均將適合於最小化比較之結果。
另外或其他,比較步驟可涉及與藉由後曝光處理一劑量圖案所得之元件結構(或與該劑量圖案自身,或與如上所述藉由對輻射劑量圖案進行算術運算所導出的算術實體)的比較,該劑量圖案係針對基於光罩之特定類型的微影術系統中之OPC光罩所預測。藉由最小化與此基於光罩之圖案的偏差,可以高準確度達成無光罩微影術系統之元件結 構(或相關聯之劑量圖案)與來自於具有基於光罩之OPC的基於光罩之系統的元件結構之間的匹配。此方法有助於確保兩個獨立系統之間的等效成像(已知為"成像透明度(imaging transparency)")。表示劑量圖案之相異程度的優化函數(merit function)可輸出為基於光罩之系統與無光罩系統之間的透明度的量度。
對輻射劑量圖案之估計可包含使用個別可控元件之參考陣列的算術模型(即,標準陣列之理想化版本,無諸如無作用像素或其他變異之缺陷)。此方法將有用於解決給定類型或給定類型範圍之個別可控元件陣列所共有之物理特性。該算術模型可提供一用以將待應用於該陣列之設定點資料轉換為該陣列之預期輻射輸出輪廓之方式。
舉例而言,該參考陣列之算術模型可慮及來自於元件之間的縫隙的反射、元件反射率、偏振相依性及鉸合效應。更一般而言,該模型可藉由計算來自該參考陣列之繞射的麥克斯韋(Maxwell)方程式的顯解而操作。麥克斯韋方程式之漸進逼近亦可用於此目的。校準量測亦可用於判定該參考陣列之特性。
對輻射劑量圖案之估計可包含使用個別可控元件陣列之特定例項之算術模型。除該參考陣列之算術模型可慮及之陣列的一般特性之外,此方法亦允許慮及特定陣列中之缺陷,諸如無作用像素或元件致動及/或中立位置中之局部化變化。亦可使用校準量測來判定陣列特定例項之特性。
對輻射劑量圖案之估計可包含使用投影系統PS之算術模 型。此算術模型(例如)將慮及由繞射造成之低通特徵。其亦適合於慮及投影系統PS之其他一般特性且可選擇性地解決特定投影系統中之特定缺陷。
對輻射劑量圖案之估計亦可慮及照明機構之相關細節,例如確切的瞳孔形狀(具有指定內-σ及外-σ之習知、圓環、多極、習知/圓環的形狀(諸如具有指定片段圓環寬度之雙極、四極、六極等)或任何組合),或(例如)藉由定製繞射型光學元件而達成之任何瞳孔填充物。估計亦可慮及製程窗(在任一時間被曝光之基板上之區域)之大小及方位及最終劑量圖案自個別曝光平鋪在一起之方式。在相鄰鋪片之間的重疊區域中之劑量圖案非相干地組合,此情況需在計算最終劑量圖案時被慮及。舉例而言,可能需要為每一鋪片個別計算來自投影系統之低通效應。
對將自後曝光處理得到之元件結構之估計亦可使用代表各種不同因素之效應的多個算術模型中之一者而達成。此等算術模型總體代表被曝光至基板W上之最終劑量圖案與將藉由後曝光處理形成之元件結構之間的預期關係。該等算術模型(例如)可慮及多個以下製程中之一者:後曝光烘焙、抗蝕劑酸擴散、顯影、硬烘焙、沈積、植入、浸沒(即,在曝光期間將基板淹沒於浸液中之情況)及蝕刻。
圖5展示微影裝置之一實例實施例。提供一個別可控元件陣列PD以用於調變一待由投影系統PS投影至基板台WT上之基板W上的輻射光束。經由設定點資料最佳化器50提供根據本發明之一實施例之方法的經最佳化之設定點資 料。
圖6及圖7更詳細展示如何根據兩個替代實施例而組態設定點資料最佳化器50。
根據圖6之實施例,設定點資料最佳化器50包含一元件結構估計元件52,其用於估計藉由對個別可控元件陣列PD應用給定設定點資料將產生之元件結構,且處理曝光後所得的經曝光基板W。元件結構估計元件52可包含輻射劑量估計器54,其經組態以估計藉由對個別可控元件陣列PD應用給定設定點資料而曝光至基板W上的輻射劑量。另外或其他,元件結構估計元件52可包含後曝光處理估計器56,其經組態以估計藉由後曝光處理被曝光有給定輻射劑量圖案之基板W將得到的元件結構。
根據圖7之實施例,設定點資料最佳化器50包含劑量圖案估計元件70,其用於估計藉由對個別可控元件陣列PD應用給定設定點資料將得到的劑量圖案。
設定點資料最佳化器50可進一步包含比較器60,其比較輸出自元件結構估計元件52(圖6)或劑量圖案估計元件70(圖7)之估計元件結構或估計劑量圖案與由使用者輸入之目標元件結構58(例如為GDSII檔案)或目標劑量圖案72。可藉由估計預期來自基於光罩之微影術系統的劑量圖案而導出目標劑量圖案72,該微影術系統使用添加OPC校正之固定光罩,如上文所論述。估計元件結構與目標元件結構之間的差異或估計劑量圖案與目標劑量圖案之間的差異分別用於判定元件結構誤差或劑量圖案誤差。設定點資料最 佳化器50比較元件結構誤差或劑量圖案誤差與預定臨限值,以判定元件結構誤差或劑量圖案誤差是否在可接受的界限內(即,充分接近目標元件結構或目標劑量圖案)。若元件結構誤差或劑量圖案誤差過大,則反覆控制器以不同設定點資料反覆地重複該製程,直至元件結構誤差或劑量圖案誤差落在預定臨限值以下。使誤差為可接受之設定點資料可輸出為"最佳化設定點資料"62。可使用優化函數修改設定點資料,例如,使用最小平方法。可在空間域或頻率域中評估該優化函數。該優化函數亦可包括對焦及不對焦資料及/或此等資料之加權平均,以求出在某一焦點範圍內為最佳之解,其相對於在焦點內為最佳且穿過焦點則更快速降級之解。類似地,越過劑量限制的優化資料可用於求出越過劑量限制而更穩健之解,其相對於對某一劑量為最佳且越過穿過該劑量限制則更快降級之解。典型的聚焦窗為若干倍的100nm至1000nm(隨NA增高而降級)。典型的劑量窗為10-15%。
儘管本文中可特定參考微影裝置在製造特定元件(例如,積體電路或平板顯示器)中之使用,但應瞭解,本文中所描述之微影裝置可具有其他應用。應用包括(但不限於)積體電路、積體光學系統之製造、用於磁疇記憶體之引導及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭、微機電系統設備(MEMS)、發光二極體(LED)等。同樣,例如在平板顯示器中,本裝置可用於輔助建立各個層,例如,薄膜電晶體層及/或彩色濾光片層。
儘管上文中已特別參考在光學微影術之情境下對本發明之實施例的使用,但應瞭解本發明可用於其他應用(例如,壓印微影術)中,且在情境允許時不限於光學微影術。在壓印微影術中,圖案化元件中之構形界定了形成於基板上之圖案。可將圖案化元件之構形壓入提供至基板上的抗蝕劑層中,在該基板上抗蝕劑藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後將圖案化元件移出抗蝕劑之外,藉此在其中留下一圖案。
總結
儘管上文已描述本發明之各實施例,但應瞭解,該等實施例僅借助於實例而陳列,而非限制性的。熟習此項技術者顯見,可在其中進行形式及細節方面的改變而不會脫離本發明之精神及範疇。因此,本發明之幅度及範疇不應受上述例示性實施例中任一者的限制,而應僅根據以下申請專利範圍及其均等物來界定。
應瞭解,實施方式部分(而非發明內容及摘要部分)意欲用於闡述申請專利範圍。發明內容及摘要部分可陳述發明家所涵蓋之本發明的例示性實施例中之一或多個而並非全部,且因此其絕非意欲限制本發明及隨附申請專利範圍。
1‧‧‧微影裝置
50‧‧‧設定點資料最佳化器
52‧‧‧元件結構估計元件
54‧‧‧輻射劑量估計器
56‧‧‧後曝光處理估計器
58‧‧‧目標元件結構
60‧‧‧比較器
62‧‧‧最佳化設定點資料
70‧‧‧劑量圖案估計元件
72‧‧‧目標劑量圖案
AD‧‧‧調節器
AL‧‧‧另一透鏡
AS‧‧‧孔徑光闌
B‧‧‧輻射光束
BD‧‧‧光束傳遞系統
BS‧‧‧光束分光器
C‧‧‧目標部分
CO‧‧‧聚光器
IF‧‧‧位置感測器
IL‧‧‧照明系統
IN‧‧‧積光器
L1‧‧‧透鏡
L2‧‧‧透鏡
ML‧‧‧透鏡
MLA‧‧‧透鏡陣列
PD‧‧‧圖案化元件
PS‧‧‧投影系統
PW‧‧‧***
R‧‧‧光點曝光體列
R1‧‧‧輻射光點陣列之列
R2‧‧‧輻射光點陣列之列
S‧‧‧輻射光點
SA‧‧‧輻射光點陣列
SE‧‧‧光點曝光體
SO‧‧‧輻射源
W‧‧‧基板
WT‧‧‧基板台
θ‧‧‧角度
圖1及圖2描繪根據本發明之各種實施例的微影裝置。
圖3描繪根據如圖2中所示之本發明之一實施例的將一圖案轉印至一基板的模式。
圖4描繪根據本發明之一實施例之光學引擎的配置。
圖5描繪具有一個別可控元件陣列及一設定點資料最佳化器之微影系統。
圖6及圖7描繪根據替代實施例之設定點資料最佳化器。
現將參看附圖描述本發明之一或多個實施例。在圖式中,相同參考數字可指示相同的或功能類似之元件。此外,參考數字最左邊之數字可指示首次出現該參考數字之圖式。
50‧‧‧設定點資料最佳化器
52‧‧‧元件結構估計元件
54‧‧‧輻射劑量估計器
56‧‧‧後曝光處理估計器
58‧‧‧目標元件結構
60‧‧‧比較器
62‧‧‧最佳化設定點資料

Claims (28)

  1. 一種產生最佳化設定點資料之方法,該最佳化設定點資料用於控制一個別可控元件陣列之元件之致動,該個別可控元件陣列經組態以調變一無光罩微影術系統中之一輻射光束,該無光罩微影術系統具有一經組態以調節該輻射光束之照明系統及一經組態以將該經調變之輻射光束投影至一基板上的投影系統,該方法包含:a)獲得代表一理想元件結構之起始設定點資料;b)估計藉由將該起始設定點資料應用於該經組態以調變一輻射光束之個別可控元件陣列將產生之該元件結構,其中該估計另包含:b1)估計由於將該設定點資料應用於該個別可控元件陣列而將被曝光於該基板上之輻射劑量圖案;b2)估計藉由在該經估計之輻射劑量圖案之曝光之後對該基板進行一後曝光處理將得到之元件結構;c)比較在步驟(b)中所估計之該元件結構與將形成於一基板上之一目標元件結構,以判定一元件結構誤差;d)修改該設定點資料及視需要使用該經修改之設定點資料而非該起始設定點資料重複步驟(b)及(c),直至該元件結構誤差落在一預定臨限值以下;及e)輸出使該元件結構誤差低於該預定臨限值之該經修改設定點資料為最佳化設定點資料,藉此基於該經修改之設定點資料致動該個別可控元件陣列。
  2. 如請求項1之方法,其中該估計步驟(b)利用以下因素中 之至少一者:該投影系統之低通特徵、該照明系統之一組態,及製程窗特性。
  3. 如請求項1之方法,其中該起始設定點資料係基於該目標元件結構而獲得。
  4. 如請求項1之方法,其進一步包含:估計預期藉由在一參考微影術元件中曝光一基板所得之元件結構;及將因此估計而得之該元件結構用作該目標元件結構。
  5. 如請求項4之方法,其中該參考微影術元件為一基於光罩之微影術元件,其使用一包含光學近接校正之光罩。
  6. 如請求項1之方法,其中步驟(b1)包含:使用一代表個別可控元件之一參考陣列的效能的算術模型,該參考陣列為一特定設計之陣列的一理想化無誤差版本的一算術構造。
  7. 如請求項6之方法,其中該算術模型利用該參考陣列之以下特性:來自於該等元件之間的縫隙之反射、元件反射率、偏振相依性、鉸合效應、非平面效應,或輻射至該參考陣列上之輻射之特定入射角。
  8. 如請求項7之方法,其中該算術模型係基於計算對於該參考陣列之繞射行為的麥克斯韋(Maxwell)方程式之一至少近似解。
  9. 如請求項1之方法,其中步驟(b1)包含:使用一代表一個別可控元件陣列之一特定例項的效能的算術模型,該算術模型利用歸因於該特定例項中之缺 陷而與該參考陣列之偏差。
  10. 如請求項9之方法,其中該算術模型利用:元件高度變化、無回應元件、具有有限作用範圍之元件、元件表面之變化、陣列形狀之變化、元件反射率之變化、相位步進之銳度,或製造元件之材料的特定物理特性。
  11. 如請求項1之方法,其中步驟(b1)包含:使用一代表一參考投影系統之效能的算術模型,該參考投影系統為一特定設計之投影系統的一理想化無誤差版本的一算術構造。
  12. 如請求項11之方法,其中步驟(b1)包含:使用一代表一投影系統之一特定例項的效能的算術模型,該算術模型利用歸因於該特定例項中之缺陷而與該參考投影系統之偏差。
  13. 如請求項1之方法,其中步驟(b1)包含:使用一代表一參考照明系統之效能的算術模型,該參考照明系統為一特定設計之照明系統的一理想化無誤差版本的一算術構造。
  14. 如請求項13之方法,其中步驟(b1)包含:使用一代表一照明系統之一特定例項的效能的算術模型,該算術模型利用歸因於該特定例項中之缺陷而與該參考照明系統之偏差。
  15. 如請求項1之方法,其中步驟(b2)包含使用該後曝光處理之一算術模型。
  16. 如請求項15之方法,其中該算術模型代表介於被曝光至 該基板上之該輻射劑量圖案與預期在該後曝光處理之後出現之一元件結構之間的一關係。
  17. 如請求項16之方法,其中該算術模型利用以下效應中之至少一者:後曝光烘焙、抗蝕劑酸擴散、顯影、硬烘焙、沈積、植入、浸沒及蝕刻。
  18. 如請求項1之方法,其中步驟(c)中之該比較係基於一與該估計元件結構相關聯之估計輻射劑量圖案及一與該目標元件結構相關聯之目標輻射劑量圖案。
  19. 如請求項18之方法,其中與該目標元件結構相關聯之該估計輻射劑量圖案為來自一基於光罩之微影術元件之一估計輻射圖案,該基於光罩之微影術元件使用一包含光學近接校正之光罩。
  20. 如請求項1之方法,其中在步驟(d)中該設定點資料係使用一優化函數而得以修改或最佳化。
  21. 如請求項20之方法,其中在基板層級下,在一特定焦點處或穿過一焦點範圍或在一特定劑量處或穿過一劑量範圍而在空間域或頻率域中經由一最小平方法導出該優化函數。
  22. 一種元件製造方法,其包含:獲得代表一目標元件結構之起始設定點資料;估計藉由將該起始設定點資料應用於一經組態以調變一輻射光束之個別可控元件陣列將產生之一所得元件結構,其中該估計另包含:估計由於將該設定點資料應用於該個別可控元件 陣列而將被曝光於該基板上之輻射劑量圖案;估計藉由在該經估計之輻射劑量圖案之曝光之後對該基板進行一後曝光處理將得到之元件結構;及比較在該估計中所估計之該所得元件結構與將形成於一基板上之一目標元件結構,以判定一元件結構誤差;修改該設定點資料;使用該經修改之設定點資料而非該起始設定點資料重複該估計、比較與修改,直至該元件結構誤差落在一預定臨限值以下;輸出使該元件結構誤差低於該預定臨限值之該經修改設定點資料為最佳化設定點資料;使用藉由使用該最佳化設定點資料而致動之該個別可控元件陣列來調變該輻射光束;及將該經調變之輻射光束投影至一基板上。
  23. 一種產生最佳化設定點資料之裝置,該最佳化設定點資料係用於控制一個別可控元件陣列之元件之致動,該個別可控元件陣列經組態以調變一無光罩微影術系統中之一輻射光束,該無光罩微影術系統具有一經組態以調節該輻射光束之照明系統及一經組態以將該經調變之輻射光束投影至一基板上的投影系統,該裝置包含:一元件結構估計元件,其經組態以估計藉由將給定設定點資料應用於該經組態以調變該輻射光束之個別可控元件陣列將產生之一元件結構,該給定設定點資料代表該元件結構,其中該估計另包含: 估計由於將該設定點資料應用於該個別可控元件陣列而將被曝光於該基板上之輻射劑量圖案;估計藉由在該經估計之輻射劑量圖案之曝光之後對該基板進行一後曝光處理將得到之元件結構;及一設定點資料最佳化器,其經配置以使用該元件結構估計元件及不同設定點資料來反覆估計該元件結構,直至該估計元件結構與將形成於一基板上之一目標元件結構之間的一差異小於一預定臨限值,因此判定最佳化設定點資料。
  24. 一種微影系統,其包含:一照明系統,其經組態以調節一輻射光束;一個別可控元件陣列,其能夠調變該輻射光束;一投影系統,其經組態以將該經調變之輻射光束投影至一基板上;及一用於產生最佳化設定點資料之裝置,其包含:一元件結構估計元件,其經組態以估計藉由將給定設定點資料應用於該經組態以調變該輻射光束之個別可控元件陣列將產生之一元件結構,該給定設定點資料代表該元件結構,其中該估計另包含:估計由於將該設定點資料應用於該個別可控元件陣列而將被曝光於該基板上之輻射劑量圖案;估計藉由在該經估計之輻射劑量圖案之曝光之後對該基板進行一後曝光處理將得到之元件結構;及一設定點資料最佳化器,其經配置以使用該元件結 構估計元件及不同設定點資料來反覆估計該元件結構,直至該估計元件結構與將形成於一基板上之一目標元件結構之間的一差異小於一預定臨限值,因此判定最佳化設定點資料。
  25. 一種產生最佳化設定點資料之方法,該最佳化設定點資料係用於控制一個別可控元件陣列之元件之致動,該個別可控元件陣列經組態以調變一無光罩微影術系統中之一輻射光束,該無光罩微影術系統具有一經組態以調節該輻射光束之照明系統及一經組態以將該經調變之輻射光束投影至一基板上的投影系統,該方法包含:a)獲得代表一輻射劑量圖案之起始設定點資料;b)估計藉由將該起始設定點資料應用於該經組態以調變一輻射光束之個別可控元件陣列將產生之在該基板上之一劑量圖案,其中該估計另包含:b1)估計由於將該設定點資料應用於該個別可控元件陣列而將被曝光於該基板上之輻射劑量圖案;b2)估計藉由在該經估計之輻射劑量圖案之曝光之後對該基板進行一後曝光處理將得到之元件結構;c)比較在步驟(b)中所估計之該劑量圖案與一目標劑量圖案,以判定一劑量圖案誤差;d)修改該設定點資料及視需要使用該經修改之設定點資料而非該起始設定點資料重複步驟(b)及(c),直至該劑量圖案誤差落在一預定臨限值以下;及e)輸出使該劑量圖案誤差低於該預定臨限值之該經修 改設定點資料為最佳化設定點資料,藉此基於該經修改之設定點資料致動該個別可控元件陣列,且其中該目標劑量圖案係藉由估計由一基於光罩之微影術元件將產生之一劑量圖案而導出,該基於光罩之微影術元件使用一包含光學近接校正之光罩。
  26. 一種元件製造方法,其包含:a)獲得代表一目標劑量圖案之起始設定點資料;b)估計藉由將該起始設定點資料應用於一經組態以調變一輻射光束之個別可控元件陣列將產生之一所得劑量圖案,其中該估計另包含:b1)估計由於將該設定點資料應用於該個別可控元件陣列而將被曝光於該基板上之輻射劑量圖案;及b2)估計藉由在該經估計之輻射劑量圖案之曝光之後對該基板進行一後曝光處理將得到之劑量圖案;c)比較在步驟(b)中所估計之該所得劑量圖案與該目標劑量圖案,以判定一劑量圖案誤差;d)修改該設定點資料及視需要使用該經修改之設定點資料而非該起始設定點資料重複步驟(b)及(c)直至該劑量圖案誤差落在一預定臨限值以下;e)輸出使該劑量圖案誤差低於該預定臨限值之該經修改設定點資料為最佳化設定點資料;f)使用藉由使用該最佳化設定點資料而致動之該個別可控元件陣列來調變該輻射光束;及g)將該經調變之輻射光束投影至一基板上,其中: 該目標劑量圖案係藉由估計由一基於光罩之微影術元件將產生之一劑量圖案而導出,該基於光罩之微影術元件使用一包含光學近接校正之光罩。
  27. 一種產生最佳化設定點資料之裝置,該最佳化設定點資料係用於控制一個別可控元件陣列之元件之致動,該個別可控元件陣列經組態以調變一無光罩微影術系統中之一輻射光束,該無光罩微影術系統具有一經組態以調節該輻射光束之照明系統及一經組態以將該經調變之輻射光束投影至一基板上的投影系統,該裝置包含:一劑量圖案估計元件,其經組態以估計藉由將給定設定點資料應用於該經組態以調變該輻射光束之個別可控元件陣列將產生之一劑量圖案,該給定設定點資料代表該元件結構,其中該估計另包含:估計由於將該設定點資料應用於該個別可控元件陣列而將被曝光於該基板上之輻射劑量圖案;估計藉由在該經估計之輻射劑量圖案之曝光之後對該基板進行一後曝光處理將得到之劑量圖案;及一設定點資料最佳化器,其經配置以使用該劑量圖案估計元件及不同設定點資料來反覆估計該劑量圖案,直至該估計劑量圖案與一目標劑量圖案之間的一差異小於一預定臨限值,因此判定最佳化設定點資料,其中:該目標劑量圖案為對由一基於光罩之微影術元件將產生之一劑量圖案之一估計,該基於光罩之微影術元件使用一包含光學近接校正之光罩。
  28. 一種微影系統,其包含:一照明系統,其經組態以調節一輻射光束;一個別可控元件陣列,其能夠調變該輻射光束;一投影系統,其經組態以將該經調變之輻射光束投影至一基板上;及一用於產生最佳化設定點資料之裝置,其包含:一劑量圖案估計元件,其經組態以估計藉由將給定設定點資料應用於該經組態以調變該輻射光束之個別可控元件陣列將產生之一劑量圖案,該給定設定點資料代表該劑量圖案,其中該估計另包含:估計由於將該設定點資料應用於該個別可控元件陣列而將被曝光於該基板上之輻射劑量圖案;估計藉由在該經估計之輻射劑量圖案之曝光之後對該基板進行一後曝光處理將得到之劑量圖案;及一設定點資料最佳化器,其經配置以使用該劑量圖案估計元件及不同設定點資料來反覆估計該劑量圖案直至該估計劑量圖案與一目標劑量圖案之間的一差異小於一預定臨限值,因此判定最佳化設定點資料,其中:該目標劑量圖案為對由一基於光罩之微影術元件將產生之一劑量圖案之一估計,該基於光罩之微影術元件使用一包含光學近接校正之光罩。
TW096146539A 2006-12-14 2007-12-06 微影系統、元件製造方法、設定點資料最佳化方法及產生最佳化設定點資料的裝置 TWI432913B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/638,675 US8259285B2 (en) 2006-12-14 2006-12-14 Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data

Publications (2)

Publication Number Publication Date
TW200839461A TW200839461A (en) 2008-10-01
TWI432913B true TWI432913B (zh) 2014-04-01

Family

ID=39273060

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096146539A TWI432913B (zh) 2006-12-14 2007-12-06 微影系統、元件製造方法、設定點資料最佳化方法及產生最佳化設定點資料的裝置

Country Status (6)

Country Link
US (1) US8259285B2 (zh)
EP (1) EP1933205A1 (zh)
JP (1) JP4777968B2 (zh)
KR (1) KR100923931B1 (zh)
CN (1) CN101206688B (zh)
TW (1) TWI432913B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
KR101068321B1 (ko) * 2008-12-19 2011-09-28 주식회사 하이닉스반도체 취약 지점 검출 방법
CN102460633B (zh) * 2009-05-20 2014-12-17 迈普尔平版印刷Ip有限公司 用于光刻***的图案数据转换器
US9354502B2 (en) 2012-01-12 2016-05-31 Asml Netherlands B.V. Lithography apparatus, an apparatus for providing setpoint data, a device manufacturing method, a method for providing setpoint data and a computer program
KR101675044B1 (ko) * 2012-02-10 2016-11-22 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 시스템, 리소그래피 장치를 캘리브레이션하는 방법, 그리고 디바이스 제조 방법
KR102120624B1 (ko) 2013-04-04 2020-06-10 삼성디스플레이 주식회사 Glv를 이용한 디지털 노광기 및 dmd를 이용한 디지털 노광기
KR102171301B1 (ko) 2013-07-09 2020-10-29 삼성디스플레이 주식회사 Dmd를 이용한 디지털 노광기 및 그 제어 방법
US10418324B2 (en) * 2016-10-27 2019-09-17 Asml Netherlands B.V. Fabricating unique chips using a charged particle multi-beamlet lithography system
EP3355337B8 (en) * 2017-01-27 2024-04-10 IMS Nanofabrication GmbH Advanced dose-level quantization for multibeam-writers
US10915024B2 (en) * 2017-07-18 2021-02-09 Korea Institute Of Science And Technology Method for manufacturing pattern for electronic devices, and fiber-type electronic device comprising the pattern for electronic devices
KR102090019B1 (ko) * 2017-07-18 2020-03-17 한국과학기술연구원 전자소자용 패턴의 제조 방법 및 전자소자용 패턴을 포함하는 섬유형 전자소자
JP7184166B2 (ja) * 2019-03-29 2022-12-06 株式会社ニコン 決定方法、決定装置、露光装置およびプログラム

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) * 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US6219015B1 (en) * 1992-04-28 2001-04-17 The Board Of Directors Of The Leland Stanford, Junior University Method and apparatus for using an array of grating light valves to produce multicolor optical images
JP3224041B2 (ja) * 1992-07-29 2001-10-29 株式会社ニコン 露光方法及び装置
US5729331A (en) * 1993-06-30 1998-03-17 Nikon Corporation Exposure apparatus, optical projection apparatus and a method for adjusting the optical projection apparatus
JP3339149B2 (ja) * 1993-12-08 2002-10-28 株式会社ニコン 走査型露光装置ならびに露光方法
US5677703A (en) * 1995-01-06 1997-10-14 Texas Instruments Incorporated Data loading circuit for digital micro-mirror device
US5530482A (en) * 1995-03-21 1996-06-25 Texas Instruments Incorporated Pixel data processing for spatial light modulator having staggered pixels
WO1997034171A2 (en) * 1996-02-28 1997-09-18 Johnson Kenneth C Microlens scanner for microlithography and wide-field confocal microscopy
JP4126096B2 (ja) 1997-01-29 2008-07-30 マイクロニック レーザー システムズ アクチボラゲット 感光性被覆を有する基板上に集束レーザ放射により構造物を製作する方法と装置
US6177980B1 (en) * 1997-02-20 2001-01-23 Kenneth C. Johnson High-throughput, maskless lithography system
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
US5982553A (en) * 1997-03-20 1999-11-09 Silicon Light Machines Display device incorporating one-dimensional grating light-valve array
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
KR100827874B1 (ko) * 2000-05-22 2008-05-07 가부시키가이샤 니콘 노광 장치, 노광 장치의 제조 방법, 노광 방법, 마이크로 장치의 제조 방법, 및 디바이스의 제조 방법
JP3563384B2 (ja) * 2001-11-08 2004-09-08 大日本スクリーン製造株式会社 画像記録装置
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
KR100545297B1 (ko) 2002-06-12 2006-01-24 에이에스엠엘 네델란즈 비.브이. 리소그래피장치 및 디바이스 제조방법
US6870554B2 (en) * 2003-01-07 2005-03-22 Anvik Corporation Maskless lithography with multiplexed spatial light modulators
US6956692B2 (en) * 2003-04-24 2005-10-18 Micronic Laser Systems, Ab Method and apparatus for controlling exposure of a surface of a substrate
EP1482373A1 (en) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2005073807A1 (en) 2004-01-29 2005-08-11 Kla-Tencor Technologies Corporation Computer-implemented methods for detecting defects in reticle design data
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7177012B2 (en) * 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7494753B2 (en) * 2005-01-28 2009-02-24 Asml Masktools B.V. Method, program product and apparatus for improving calibration of resist models used in critical dimension calculation

Also Published As

Publication number Publication date
CN101206688B (zh) 2011-06-22
TW200839461A (en) 2008-10-01
KR100923931B1 (ko) 2009-10-29
JP4777968B2 (ja) 2011-09-21
CN101206688A (zh) 2008-06-25
EP1933205A1 (en) 2008-06-18
KR20080055701A (ko) 2008-06-19
US8259285B2 (en) 2012-09-04
JP2008153663A (ja) 2008-07-03
US20080143982A1 (en) 2008-06-19

Similar Documents

Publication Publication Date Title
TWI432913B (zh) 微影系統、元件製造方法、設定點資料最佳化方法及產生最佳化設定點資料的裝置
JP4637147B2 (ja) 段差付きミラーを利用したパターニング用デバイス、及びそれを使用する方法
US7936445B2 (en) Altering pattern data based on measured optical element characteristics
US7548315B2 (en) System and method to compensate for critical dimension non-uniformity in a lithography system
JP2007305979A (ja) 干渉パターンを低減するための屈折光学器に対するビームの移動
JP4057847B2 (ja) リソグラフィ投影装置の較正方法、パターニング装置、及びデバイス製造方法
JP4964192B2 (ja) テレセントリック性の制御を瞳の充填に使用するリソグラフィ装置及びデバイス製造方法
US8937705B2 (en) Lithographic apparatus and device manufacturing method with radiation beam inspection using moveable reflecting device
US7768627B2 (en) Illumination of a patterning device based on interference for use in a maskless lithography system
JP5060226B2 (ja) リソグラフィシステム、及びデバイス製造方法
US20080304034A1 (en) Dose control for optical maskless lithography
JP2008235909A (ja) マスクレスリソグラフィにおける均一なバックグラウンド放射
US8896808B2 (en) Lithographic apparatus and method
US7630136B2 (en) Optical integrators for lithography systems and methods
WO2012060099A1 (ja) 光源調整方法、露光方法、デバイス製造方法、照明光学系、及び露光装置
TWI450051B (zh) 微影裝置中控制圖案化元件的方法、元件製造方法及微影裝置
JP2011509401A (ja) 折返し光学エンコーダ及びその用途

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees