TWI390652B - 用於半導體製程室之氣體擋板與分配器 - Google Patents

用於半導體製程室之氣體擋板與分配器 Download PDF

Info

Publication number
TWI390652B
TWI390652B TW096145244A TW96145244A TWI390652B TW I390652 B TWI390652 B TW I390652B TW 096145244 A TW096145244 A TW 096145244A TW 96145244 A TW96145244 A TW 96145244A TW I390652 B TWI390652 B TW I390652B
Authority
TW
Taiwan
Prior art keywords
gas
concave
gas distributor
concave surface
flange
Prior art date
Application number
TW096145244A
Other languages
English (en)
Other versions
TW200834783A (en
Inventor
Soonam Park
Farhan Ahmad
Hemant Mungekar
Sanjay Kamath
Young S Lee
Siqing Lu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200834783A publication Critical patent/TW200834783A/zh
Application granted granted Critical
Publication of TWI390652B publication Critical patent/TWI390652B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

用於半導體製程室之氣體擋板與分配器
本發明主要涉及半導體製造領域。更確切的說,本發明涉及在積體電路的形成中,用於傳送氣體的氣體擋板和的製程。
現代半導體元件製造中的一個主要步驟 是在半導體基板上形成諸如氧化矽的一種薄膜。在半導體元件的製造過程中,氧化矽廣泛用作電介質層。衆所周知,可通過熱化學氣相沈積(thermal chemical-vapor deposition,CVD)製程,或通過電漿輔助式化學氣相沈積(plasma-enhanced chemical-vapor deposition,PECVD)製程沈積氧化矽薄膜。在傳統的熱化學氣相沈積製程中,係於基板的表面提供反應氣體,而基板表面發生熱誘導化學反應,以產生所需的薄膜。在傳統電漿沈積製程中,則形成受控的電漿,以分解和/或供給反應物種能量,進而產生所需的薄膜。
自幾十年前首次發明半導體元件以來,元件的外形尺寸已顯著減小,且仍在繼續減小。這種元件外形比例上的持續減小,導致裝配在半導體基板上,積體電路中所形成的電路元件及相互連接之密度的急劇增加。在設計和製造這種密集封裝的積體電路時,半導體製造商不斷面臨的挑戰是要防止電路元件之間的假***互作用(spurious interaction),這正是隨著外形比例不斷減小需不斷創新的目標。
通常,用電介質材料填充鄰近的元件之間的空間,來防止不必要的交互,從而在電性上和物理上隔離元件。在 此,通常將這種空間稱為「間隙」或「隧道」,而且用於填充這種空間的製程在技術上普遍稱為「空隙填充」製程。因此,特定製程其產生能完全填充該間隙之薄膜的能力,通常稱為製程的「空隙填充能力」,並將薄膜描述為「空隙填充層」或「空隙填充薄膜」。隨著具有較小特徵尺寸的電路密度增加,這些空隙的寬度隨之減小,從而導致它們的縱深比增加,該縱深比定義為空隙的高度與其深度的比值。使用傳統CVD技術很難完全填充高縱深比的間隙,因傳統CVD具有相對較差的空隙填充能力。普遍於金屬層間電介質層(intermetal dielectric,IMD)應用、金屬前介質層(permetal dielectric,PMD)應用、以及淺溝槽隔離(shallow-trench-isolation,STI)應用等之填充空隙使用的電介質薄膜一族,是氧化矽(有時也稱為「矽玻璃」或「矽酸鹽玻璃」)。
一些積體電路製造商在沈積氧化矽空隙填充層中已轉向使用高密度電漿CVD(high-density plasma CVD,HDP-CVD)系統。這種系統形成密度大於約1011 離子/cm3 的電漿,其比由標準電容性耦合電漿CVD系統提供的電漿密度提高約兩個數量級。電感耦合電漿(inductively coupled plasma,ICP)系統是HDP-CVD系統的實例。能夠使由HDP-CVD技術所沈積之薄膜具有改善空隙填充特性的一個因素是濺射的發生係與金屬沈積同時進行。濺射是一種通過擠壓來噴射材料的機械製程,並由HDP-CVD製程中高離子密度的電漿推動。因此,HDP沈積的濺射成份緩慢沈積在某種特徵上,如在凸起表面的拐角處,從而有助於提高空隙填充能力。
即使使用HDP和ICP製程,要達到預定的沈積特性仍 然存在很多持續性的挑戰。這些挑戰包括需要管理製程腔室中電漿的熱性質,尤其是對於會產生損壞腔室結構且引起污染之溫度的高功率製程。例如,高溫與AlF3 的形成和昇華相關聯,並腐蝕暴露在這種高溫下的系統元件,以及在基板上鋁雜質的沈積。氟具有高腐蝕性,經常在腔室中作為清潔氣體,以從腔室壁上腐蝕地去除材料,也將其作為蝕刻氣體。例如,可以將解離後的NF3 從擋板的背面引入腔室中,以清潔腔室,或作為腔室中使用NF3 電漿之沈積-蝕刻-沈積配方的蝕刻成份。
另外,通常希望提供均勻於整個晶圓的沈積製程。非均勻性會導致元件性能的不一致,其係由多個不同的因素引起。晶圓上方不同點上的沈積特性乃因多個不同影響因素複雜的相互作用。例如,氣體引入腔室的方式、用於離子化前驅物種(precursor species)的功率等級、用以引導離子之電場的使用等,將最終影響覆蓋晶圓的沈積特性的均勻性。另外,這些影響因素表現的方式取決於腔室的物理形狀和尺寸,例如,通過在腔室中提供不同的,會影響離子分佈的擴散效果。
與本發明的實施例相關的工作說明現今的系統和方法可能不盡理想。例如,由於半導體電路及電路元件之間的相關空隙縮短,由小顆粒引起的污染將成為問題,尤其當粒子尺寸與空隙尺寸接近的區域。而且,空隙填充層中的金屬污染,例如Al,會減弱電介質空隙填充層的電絕緣特性。這種污染物會導致產量減小、材料浪費以及在一些場合中的故障電路。因此,用於淺溝槽隔離的HDP-CVD製程薄膜的一個規格是薄膜中Al的含量。
防止晶圓污染的一種方法是在腔室中放置晶圓之前, 已經使用保護塗層處理該腔室。例如,經常使用諸如SiH4 的沈積氣體處理腔室,在腔室內部(例如在腔室壁上)沈積保護塗層,以防止污染並保護腔室不被清潔氣體腐蝕。然而,以保護塗層處理腔室的過程耗費時間,並且通常處理時間接近於120秒的倍數。因此,生產量(即在給定時間內處理的晶圓的數量)減小了,而且目前半導體製程系統的生產量低於理想值。與本發明相關的工作說明,可通過減少處理晶圓所需的時間來提高晶圓產品的生產量,例如,通過減小處理時間。第1圖示出了現有技術的用於半導體處理腔室中氣體擋板的實施例,並示出了上面所述的至少部分缺點。
因此,仍待改良的系統和方法,以提供具有降低來自金屬原子(諸如鋁原子)污染的沈積均勻性,並提高HDP和ICP製程的產量。
本發明的實施例提供用於處理半導體的方法和裝置。更確切的說,本發明的實施例提供一種在處理腔室中,用於分佈氣體(例如清潔氣體和/或沈積氣體)的氣體分佈器。
在本發明的一個實施例中,用於半導體處理腔室中的氣體分佈器包括一個主體。該主體包括一具有氣體偏轉表面的擋板,以將氣流從第一方向轉為第二方向。氣體偏轉表面包括凹入部分。凹入部分至少包含氣體偏轉表面的表面區域的75%。
在本發明的另一實施例中,基板處理腔室包括一腔體與一基板架,該腔體具有頂和側壁,該基板架適合支撐基板。氣體分佈器位於基板架上方的中心處。氣體分佈器由 包含一具有擋板的主體。擋板具有上部暴露的表面,其適於將氣體向外引導離主體並導向腔體的側壁。該上部暴露的表面包括凹入部分,並且該凹入部分至少包括擋板的上部暴露表面區域的約75%。分佈器包括位於上部表面下方的下部表面,並與基板架隔離開。下部表面適於向腔室中注入沈積氣體。
在本發明的又一實施例中,用於氣體處理腔室中的氣體分佈器包括主體。該主體包括能夠使氣體轉向的擋板。該擋板包括凹入表面,以使氣體從第一方向偏轉到第二方向。該擋板也包括置於凹入表面週邊的凸起表面。轉換表面置於凹入表面和凸起表面之間,以提供從凹入表面到凸起表面的轉換。凸起表面包含貫穿擋板的最大寬度。轉換表面延伸不超過最大寬度的約20%。
在本發明的又一實施例中,提供了一種半導體製程中氣體的偏轉方法。以第一方向將清潔氣體引向氣體分佈器。氣體分佈器包括凹入表面。氣體大致藉由凹入表面從第一方向偏轉到第二方向。第二方向橫穿第一方向。
在本發明的另一實施例中,提供了一種在半導體處理腔室中處理半導體晶圓的方法。該方法包括使用清潔氣體清潔腔室。對腔室進行大約25至60秒的處理。晶圓係放置在腔室中,以將電介質層塗覆晶圓上。通過HDP製程和/或CVD製程使用電介質層塗覆晶圓。電介質層所具有的金屬原子不超過每平方釐米約2x1012 個。將已塗覆的晶圓從腔室中移除。
在本發明的又一實施例中,提供了一種基板處理元件。該元件包括具有頂和側壁的腔體。基板架適於在腔體中支撐半導體基板。高密度電漿沈積系統適於將高密度層 離子體傳送到基板,進而在基板上形成電介質層。氣體分佈器位於基板架上方的中心處。氣體傳輸系統適於控制將氣體傳輸到氣體分佈器。將處理器連接到氣體傳輸系統和電漿沈積系統,以處理處理腔室並將電介質層施加到晶圓。擋板和腔體適於為約25至60秒的處理時間的高功率製程,在該層上提供每平方釐米不多於1.5x1012 的金屬原子。
本發明可達到很多傳統技術所不及的優勢。本發明的實施例使用凹入表面偏轉氣體,並引導氣體朝向腔室側壁以減小晶圓污染,例如減少鋁的污染。同時,本發明的實施例以較少的處理時間,減少空隙填充層的金屬原子污染,例如鋁原子的污染,進而減少處理半導體晶圓所需的時間總量。部分該些優勢中將由下述的本發明之實施例提供。
本發明的實施方式提供處理半導體的方法和設備。更確切的說,本發明的實施方式提供一種在處理腔室中,用於分佈清潔氣體和分佈沈積氣體的氣體分佈器。
第1圖示出了先前所熟知的氣體分佈器。氣體分佈器100具有氣體偏轉表面102和氣體分布面104。氣體偏轉表面102在腔室清潔製程中,為清潔氣體提供路徑。將清潔氣體引導至腔室側壁,而不是位於氣體分佈器正下方的基板支撐元件。氣體分佈器100在近端部分106與腔室側壁連接。在CVD製程中,在近端108將沈積氣體提供給氣體分佈器100。這種沈積氣流經氣體分佈器100,從孔110流出,並流到位於基板支撐元件上的基板上。如第1圖所 示,孔110設置在階梯112一凸起表面處之氣體分佈器面104上。
1.示例性的ICP腔室
發明者已經使用Santa Clara, California(加利福尼亞州,聖克拉拉)的Applied Materials, Inc.(應用材料股份有限公司)製造的ULTIMATM 系統實現了本發明的實施方式,在共同申請的美國專利Nos.5,994,662;6,170,428和6,450,117以及美國專利申請Nos.10/963030和11/075527中有所描述,這裏引入這些專利和申請的全部內容作為參考。參照第2圖,提供了ICP反應器的接線圖。第2圖示意性示出了在一個實施方式中的HDP-CVD系統210示例結構。系統210包括:腔室213、真空系統270、源電漿系統280A、偏壓電漿系統280B、氣體傳輸系統233以及遠端的電漿清潔系統250。儘管示出的遠端的電漿清潔系統在系統的下方部分,但是其他位置也是可行的,例如在美國申請No.10/963030中所描述的腔室頂部附近,在此引入其全部內容作為參考。
腔室213的上面部分包括:由陶瓷介電質材料(例如氧化鋁或氮化鋁、藍寶石、SiC或石英)製成的圓頂214。加熱板223和冷卻台224與圓頂214熱耦合。加熱板223和冷卻板224可以將圓頂的溫度控制在大約攝氏100至200度±10℃的範圍內。圓頂214界定電漿處理區域216的上部邊界。電漿處理區域216的底部邊界由基板217的上部表面和基板支架元件218界定。
腔室213的下面部分包括:將腔室連接到真空系統的主體元件222。基板支撐元件218的基底部分221則安裝在主體元件222上,並與主體元件222形成連續的內部表 面。由機械刀片(未示出)通過***/移除腔室213側邊的開口(未示出),使得基板在腔室213內部和外部轉移。在發動機(未示出)控制下升起並隨後落下升降杆(未示出),以將基板從上部載入位置257的機械刀片處移至下部處理位置256處,其中基板設置在基板支撐元件218的基板接收部分219上。基板接收部分219包括在基板處理中,將基板固定在基板支撐元件218的靜電夾盤220。在較佳實施例中,基板支撐元件218由氧化鋁或鋁陶質材料製成。
真空系統270包括節氣門225,節氣門225中放置雙片節流閥226,並黏附在閘閥227和渦輪分子泵228上。應當注意到節氣門225提供最小的氣流阻礙,並可以對稱抽吸。閘閥227可以將泵228與節氣門225隔離,並且當節流閥226完全打開時,也能通過限制排氣流的能力,來控制腔室壓力。節流閥、閘閥以及渦輪分子泵的排列能夠精確並穩定的將腔室壓力控制在約1毫托至約2托之間。
氣體傳輸系統233經由氣體傳輸通路238(只示出其中一部分),從幾個氣源(234A-234E腔室),提供氣體,以處理基板。本領域技術人員應該能夠理解,用於氣源234A-234E中的實際氣源以及傳輸通路238到腔室213的實際連接,係根據腔室213內所執行的沈積和清潔製程而變化。氣體通過氣體環237和/或氣體分佈器211引入到腔室213中。
在一個實施例中,第一和第二氣源234A和234B,以及第一和第二氣流控制器235 A'和235B',將氣體通過氣體傳輸通路238(只有部分示出)提供給氣環237中的環增壓部(ring plenum)。氣環237具有多個在基板上方提供均勻的氣流的源氣噴嘴239(為了解釋目的,只示出其中之 一)。可以改變噴嘴長度和噴嘴角度,以修飾在單個腔室中,特定製程的輪廓均勻性與氣體利用效率。在較佳實施例中,氣體環237具有12個由陶瓷氧化鋁製造的源氣體噴嘴。
氣環237也具有多個氧化劑氣體噴嘴240(只示出其中一個),其在較佳實施例中與源氣體噴嘴239共平面,並短於源氣體噴嘴239,並在一個實施例中從主體增壓部接收氣體。在一些實施例中,希望在將氣體注入腔室213之前,源氣體和氧化劑氣體不混合。在另一實施例中,在注入腔室213前,藉由在主體增壓部(body plenum)和氣環增壓部(gas ring plenum)之間的孔(未示出)將氧化劑氣體和源氣體混合。在一個實施例中,第三、第四和第五氣源,234C、234D和234D'以及第三和第四氣流控制器235C和235D'通過氣體傳輸通路238向主體增壓部提供氣體。附加閥,例如243B(其他閥未示出),則關閉來自氣流控制器的氣體,使其無法進入腔室。
在使用易燃、有毒或腐蝕性的氣體的實施例中,希望除去在沈積後仍留在氣體傳輸管道中的氣體。此可利用三向閥(例如氣閥243B)來將腔室213從傳輸通路238A隔離,並將傳輸通路238A通向真空管線(vacuum foreline)244。如第2圖所示,其他類似的氣閥(諸如243A和243C)可併入其他氣體傳輸管道。
再參考第2圖,腔室213也具有氣體分佈器211和頂部通風口246。氣體分佈器211和頂部通風口246實現了頂部氣流和側面氣流的獨立控制,從而提高了薄膜均勻性,並實現了薄膜沈積和摻雜參數的微調。頂部通風口246是圍繞氣體分佈器211的環形開口。根據本發明的實施例 的氣體分佈器211,在階梯中含有多個孔,用於改進氣體分佈器。在一實施例中,第一氣源234A提供源氣體噴嘴239和氣體分佈器211。源噴嘴MFC235 A'控制傳輸到源氣噴嘴239的氣體總量,而頂部噴嘴MFC 235A控制傳輸至氣體分佈器211的氣體總量。類似地,可以使用兩個MFCs235B和235B'控制從單個氧氣源(例如氣源234B)到頂部通風口246和氧化劑氣體噴嘴240的氧氣流。在氣體流入腔室213之前,提供給氣體分佈器211和頂部通風口246的氣體是保持分開的,或者氣體流入腔室213之前,可以在頂部增壓部248中混合。相同氣體的獨立氣源可用於腔室的不同部分。
系統控制器260控制系統210的運行。在較佳實施例中,控制器260包括含有可觸媒體的記憶體262,可觸媒體例如為硬碟驅動、軟碟驅動(未示出)以及與處理器261連接的卡板櫃(未示出)。卡板櫃包括單板電腦(SBC)(未示出)、類比和數位輸入/輸出板(未示出)、介面板(未示出)以及步進電機控制板(未示出)。系統控制器符合匯流排模組歐州標準(Versa Modular European,VME),該標準定義了板、插件箱(card cage)以及連接器的維度和類型。VME標準也定義了匯流排結構,即是具有16位元資料匯流排和24位元位址匯流排。系統控制器260在存儲於可觸媒體上的電腦程式(諸如硬碟驅動)控制下,或經由其他電腦程式(諸如存儲在移動硬碟上的程式)而運行。電腦程式指示,例如時間、氣體的混合、RF功率級別以及其他特定製程的參數。用戶和系統控制器之間經由監測器(諸如陰極射線管(cathode ray tube,CRT)和光筆)作為介面。
系統控制器260控制腔體的處理時間及用於處理製程 腔室的氣體、用於清潔腔室的氣體和清潔時間,以及HDPCVD製程中的電漿的應用。為了到達這種控制,系統控制器260連接到系統210的多個元件上。例如,系統控制器260連接到真空系統270、源電漿系統280A、偏置電漿系統280B、氣體傳輸系統233以及遠端電漿清潔系統250。系統控制器260通過線路263連接到真空系統270。系統控制器260通過線路264A連接到源電漿系統280,並通過線路264B連接到偏置電漿系統280B。系統控制器260通過線路265連接到氣體傳輸系統233。系統控制器260通過線路266連接到遠端電漿清潔系統250。線路263、264A、264B、265以及266分別將控制信號從系統控制器260傳輸至真空系統270、源電漿系統280A、偏置電漿系統280B、氣體傳輸系統233以及遠端電漿清潔系統250。應該理解系統控制器260可包括幾個分散式處理器以控制系統210的元件。
2.擋板特性
此述本發明實施例,使用擋板凹入表面在HDP-CVD製程中,充分偏轉並引導清潔氣體,使其水平地朝向腔室側壁。藉由使用凹入表面使得清潔氣體偏轉,並使用凹入表面引導清潔氣體朝向腔室側壁,從而熱量很容易地從擋板的氣體偏轉表面傳導。熱傳導乃因與凹入氣體偏轉表面相關聯的擋板形狀所致。使用凹入氣體偏轉表面,充分偏轉並引導清潔氣體大致水平地朝向腔室側壁,亦能在不使用充分延長的凸緣的情形下,允許清潔氣體偏轉和引導。
認為至少有兩個機制促成了Al晶圓污染。一個機制是是氟化鋁(AlF3 )的形成,擋板通常是由單片氮化鋁(AlN)或氧化鋁(Al2 O3 )製成的,而這些材料中的任一種都可以與 氟發生反應形成氟化鋁。在擋板上AlF3 的形成與擋板在清潔/蝕刻過程中的溫度有關。另一個促成晶圓污染的機制是AlF3 的昇華。由於這兩種機制,AlF3 通過化學反應在擋板上形成,並隨後通過昇華將其去除,從而使得更多的AlN或Al2 O3 與氟發生反應。在清潔蝕刻步驟期間,較低溫度的擋板將產生較少的AlF3 的昇華。由於昇華的AlF3 係沈積在半導體晶圓基板上,較少的AlF3 昇華將在以空隙填充製程形成的薄膜上,產生較少的Al。
偏轉和指引清潔氣體朝向具有凹入表面的腔室壁可減少經處理晶圓其空隙填充層上的Al污染。通常,來自金屬原子的晶圓污染包括沈積在晶圓中,主要種類的金屬原子。晶圓中這些主要種類的金屬原子對應於氣體分佈器中主要種類的金屬原子。例如來自AlN氣體分佈器中的Al金屬原子。通過減少擋板上的昇華和化學反應,從而減少在沈積製程中,從擋板上移除的金屬離子的總數,並減少沈積在晶圓上的金屬原子的個數。因此,由於擋板上較薄的防護塗層可提供較低的Al污染,從而可縮短處理時間。因此,增加半導體晶圓產量,同時也產生較低的Al晶圓污染。
本發明的實施例可用於低、中等和高功率HDP/CVD製程。高功率製程通常具有約15至18kW範圍的功率。中等功率製程通常具有約8至12kW範圍的功率。低功率製程通常在約8kW以下。儘管本發明的實施例可以處理其他晶圓尺寸(諸如200mm晶圓和450mm晶圓),本發明的多個實施例亦可用於處理300mm的晶圓。
第3A圖為根據本發明實施例的氣體分佈器的橫截面圖。該圖僅為示例性的,在此不應將其視為對申請專利範 圍的限制。本領域的一般技術人員應該理解,其他變型、改進和替換。如所示,本發明提供了一種用於將氣體引入半導體處理腔室的氣體分佈器300。氣體分佈器300由氮化鋁(AlN)製成,並可由諸如氧化鋁(礬土、Al2 O3 )、碳化矽(SiC)、鋯、石英、藍寶石等任何合適的材料製成。在這種實施例中,氣體分佈器300是單一件。
氣體分佈器300具有氣體偏轉表面302和氣體分佈表面304。氣體偏轉表面302在腔室清潔製程期間,為清潔氣體提供路徑。將清潔氣體引導至腔室壁,而不是引導到位於氣體分佈器正下面的基板支撐元件。將氣體分佈器300在鄰近部分306處連接到腔室壁。在CVD製程期間,在近端308處將沈積氣體提供給氣體分佈器300。邊緣338則延伸環繞近端308。該沈積氣體流經氣體分佈器300,從孔310流出,並流至基板支撐元件上的基板上。
將孔310設置在階梯312處的氣體分佈器面304(其為一升起表面)上。階梯312可在氣體分佈器面304上形成具有預定直徑的橢圓平臺,或者更較佳地,形成圓形平臺。該直徑可在約0.01英吋至約3.00英吋範圍內。階梯312具有約0.60英吋至約0.75英吋範圍內的垂直高度,並且具有約90度到約15度範圍內的坡度。階梯312改善氣體分佈器300的氣體分佈。尤其是,由於階梯312,沈積氣體可被分散的更遠,直至基板支撐元件的週邊。階梯312的坡度的減小將使氣體進一步向外分散。雖然氣體分佈器300示出了一種階梯和孔的實施例,其他實施例也是可行的,例如,在2005年3月7日提交的美國申請號No.11/075527的描述,在此引用其全部內容作為參考。
在特定實施例中,氣體分佈器300可具有4、6、8或 更多的孔310。這些孔310沿階梯312的圓周均勻分佈,或者可選地,集中到其中的一個特定部分。孔310的放置位置和數量可根據具體應用進行調節,以達到基板上沈積氣體的均勻分佈。同樣,孔310的直徑也是可調節的。直徑可在約0.005英吋至約0.250英吋範圍內。在特定實施例中,孔310的直徑為0.060英吋。
形成擋板320以偏轉清潔氣體。擋板320包括氣體偏轉表面302。氣體偏轉表面302包括凹入表面322以及凸起表面346的一部分。氣體偏轉表面302和擋板320繞著軸314對稱旋轉。形成凹入表面322,以符合半徑324。凹入表面322包括上面部分328和下面部分329,以使凹入表面322從上部邊界322a延伸到下部邊界322b。半徑324的弧326從上部邊界322a延伸到下部邊界322b。氣體偏轉表面302在下部邊界322b處從凹入表面322轉變為凸起表面346。凸起表面346在突緣330中形成。
形成凸起表面346,以匹配半徑348的弧線路徑。排列凸起表面346和凹入表面322,以使氣體偏轉表面302在下部邊界322b處,以一連續表面從凹入表面322轉換到凸起表面346。凹入表面322與凸起表面346的交界處具有小角度,從而隨著下部邊界322b,提供一平滑連續的表面轉換。在可選擇的實施例中,這種平滑的轉換是藉由將凹入和凸起表面在下部邊緣322處的斜率設置為零而達成,因而當凹入表面的角度與凸起表面的角度在轉換處相匹配時,從凹入表面到凸起表面的轉換是完全平滑的。在又一實施例中,凸起表面與凹入表面的交界處為存在實際的角度,以在從凹入表面到凸起表面的轉換處,提供具有微小彎曲的連續轉換表面。
氣體分佈器300具有橫越氣體分佈器的最大寬度340。最大寬度340與橫越凸起表面346的直徑相對應。最大寬度346可在約1.4英吋至2.0英吋的範圍內,通常為約1.7英吋。
參照氣體分佈器的下部表面,在氣體分佈器面304與凸起表面346間的轉換處形成的平滑轉換,與從凹入表面322到凸起表面346的平滑轉換相類似。凸緣330具有厚度342。厚度342是半徑348的兩倍。
第3B圖為根據本發明的實施例,位於半導體處理腔室中的氣體分佈器的橫截面圖。將氣體分佈器300設計為設置在半導體氣體處理腔室中。當位於腔室中時,氣體偏轉表面302對應於氣體分佈器的上部暴露表面,氣體分布面304對應於氣體分佈器的下部暴露表面。氣體分佈器300由環狀結構360支撐。環狀結構360具有形成於其中的溝道362,以用於傳送沈積氣體。邊緣338接合環形結構360,且適於與環形結構360形成一密封件。螺紋336與環形結構360上的螺紋相匹配。側翼334位於氣體分佈器上,鄰近凹入氣體偏轉表面的上方部分328。側翼334與在環形結構360中所形成的對應表面相匹配。環形結構360具有外部表面364,該外部表面364與凹入氣體分佈器表面的上面部分328相匹配,以從環形支撐結構到氣體分佈器表面,提供平滑的表面轉換。頂部通風口246包括進入到腔室的環狀開口368。
擋板用以將清潔氣體流從第一方向偏轉到第二方向,其中第二方向橫向於第一方向。頂部通風口246沿氣體偏轉表面的上面部分328,以第一方向350向下引導清潔氣體。凹入表面322沿凹入表面322的下面部分329,以第 二方向352水平地指引清潔氣體,並且第二方向352橫向於第一方向350。同時,凹入表面322還以第三方向354水平地指引清潔氣體,並且第三方向354橫向於第一方向350。凹入部分322包含約90%的氣體偏轉表面302之暴露表面區域。氣體偏轉表面302沿第二方向352延伸,其延伸的距離小於凸緣330的厚度342。
第3C圖示出了根據本發明實施例的第3A圖中的氣體分佈器的俯視圖。如第3C圖所示,第3A圖所示的多數結構為環狀,並旋轉對稱。氣體分佈器300的外部邊緣332為圓形。凸緣330為環形。下部邊界322b為圓形。氣體偏轉表面的凹入表面322為環形。凹入表面322的上部邊界322a為圓形。側翼334為環形。螺線336為環形。邊緣338為環形。所繪示之軸318係作為參考。
參照第3A和3C圖,應該理解,凹入表面322包含第一環面的一部分,並且凸起表面346包含第二環面的一部分。凹入表面322係藉由繞軸314旋轉的半徑324而定義出,進而定義出第一環面。凸起表面346係藉由繞軸318旋轉的半徑348而定義出,進而定義出第二環面。因此,下部邊界322b是由兩個環面之表面的交界處所定義的邊界,符合從第一環面表面到第二環面表面的平滑轉換。在另一可選的實施例中,不是凹入表面就是凸起表面,或是兩者,都是由非環面形狀(例如由橢圓曲線表面、立方條(cubic Spline)等所定義的形狀)定義的。同時,可使用一些小階梯的階梯表面來定義凹入表面和凸起表面。
第4圖為根據本發明一實施例,具有截短的氣體偏轉表面的氣體分佈器400橫截面圖。擋板420具有一氣體偏轉表面402形成於其上。氣體偏轉表面402包括上述之凹 入表面322,凹入表面322包含上面部分328和下面部分329。氣體偏轉表面402終止於圓環邊緣482。凸緣430包括圓柱狀外部表面432。橫越擋板的最大寬度440與橫越圓柱狀外部表面432的直徑對應。環狀邊緣482將氣體偏轉表面與圓柱狀外部表面432連接在一起。將環狀邊緣482作成圓形,以合於彎曲的短半徑,且環狀邊緣482包含一個凸起的環面表面。環狀邊緣484將氣體分布面304與圓柱狀外部表面432連接在一起。凸緣430的厚度442,與橫越凸緣430的距離對應,其從下面部分329到環狀邊緣484附近之氣體分布面304的環狀部分。
第5圖為依照本發明實施例,氣體分佈器500的橫截面圖,該氣體分佈器500具有一環狀平面轉換部分,延伸於凹入和凸起的氣體偏轉表面之間。凹入表面和凸起表面如上述為環面的表面。氣體分佈器500包括一擋板520,擋板520具有氣體偏轉表面502形成於其上。凹入部分522包含約75%的氣體偏轉表面502之暴露表面區域。氣體分佈器500包括一氣體分佈器面504,其適於如上述與孔310和階梯312一同分佈沈積氣體。氣體偏轉表面502包括入陷表面322、環狀平面轉換部分564以及凸起表面546的上面部分。凹入表面322在上部邊界322a和下部邊界322b之間延伸,並且如上述包括上面部分328和下面部分329。環狀平面轉換部分564從凹入表面322到凸起表面546提供平滑轉換。
凸起表面546包括半徑548及氣體分佈器的其他屬性。凸起表面546在上部邊界546a和下部邊界546b之間延伸。凸起表面546包括外部邊緣532。橫越氣體分佈器的最大寬度540與橫越由外部邊緣532定義之分佈器的直 徑相對應。環狀平面轉換部分564在凹入表面322的下部邊界322b和凸起表面546上部邊界546a之間延伸。下部邊界546b位於凸起表面546與氣體分布面504之間。凸緣530包括環狀平面轉換部分564和凸起表面546。凸緣厚度542是半徑548的兩倍。
環狀平面轉換部分564的維度與氣體分佈器的其他維度相關聯。例如,如第5圖所示,環狀平面轉換部分564沿最大寬度540的距離不大於最大寬度的10%。在一些實施例中,環狀平面轉換部分的這段距離不大於最大寬度的20%。在另一些實施例中,環狀平面轉換部分的這段距離不大於最大寬度的5%。在一些實施例中,無平面環狀轉換部分,如上面的第3A和3C圖所示,凹入部分沿凸起部分與凹入部分之間的邊界直接轉換到凸起部分。
第6圖為說明依照本發明實施例,以降低的處理時間和Al污染處理晶圓之方法600的流程圖。清潔腔室步驟610係清潔如上述具有氣體擋板的腔室,如第3A至3C圖中所示。清潔腔室所需的時間將取決於所用的半導體製程的特性、處理時間、清潔氣體和/或所用的氣體以及溫度。典型的清潔時間在約2分鐘至7分鐘範圍內,通常是3至5分鐘之間,例如3至4分鐘。處理腔室步驟620係使用沈積氣體處理腔室,以提供上述的防護塗層,例如矽烷係用於沈積一防護SiO2 層。處理腔室的時間小於60秒,例如從25至60秒,通常是在從約25秒至45秒,最優為從約25至35秒。***晶圓步驟630係將半導體晶圓***腔室中,以使晶圓位於上面所述的位置。將HDP-CVD空隙填充層施加到晶圓的步驟640係使用上述的HDP-CVD製程,將空隙填充層舖在晶圓上。HDP-CVD製程可以是低功 率製程、中功率製程和高功率製程中的任意一種。典型情況下,空隙填充製程大約為60秒。空隙填充製程中所使用的空隙填充層的厚度通常從約40000Å (4000nm)至8000 Å (800nm),例如從4000 Å (400nm)至6000 Å (600nm),典型為約5000 Å (500nm)。儘管經處理之晶圓的空隙填充層中,鋁污染的實際數量取決於實際選擇的參數,通常Al原子的數量小於每平方釐米2x1012 個原子,例如每平方釐米約0.3x1012 至2.0x1012 個原子,並可為每平方釐米約0.3x1012 至1.5x1012 個原子,而且,較佳為每平方釐米約0.3x1012 至1.0x1012 個原子。移除晶圓步驟650係將晶圓從腔室中移開,從而晶圓可於腔室外進行其他處理步驟。在移除晶圓步驟650之後,清潔腔室,並重復步驟610至650來處理額外的晶圓。
可以選擇腔室清潔時間、處理時間以及處理功率的任何組合,以提供預期的金屬原子污染等級。隨著處理時間的增加,金屬原子污染隨之降低,且選擇的處理時間係用以提供低於預定最大容許量的金屬離子污染。為了最佳化晶圓生產量,將選擇最短且提供低於預期數量之金屬原子污染的處理時間。例如,30秒的處理時間可提供每平方釐米1.2x1012 個Al原子的金屬離子污染,其低於每平方釐米1.5x1012 個AL原子的最大容許數量。使用30秒的處理時間,則清潔腔室、將晶圓放置於腔室中、在腔室中處理晶圓並從腔室中移除晶圓的全部時間,符合每小時至少約8個晶圓的生產量。
應該理解,第6圖所示的具體步驟提供了根據本發明的實施例處理晶圓的詳細方法。根據替代的實施例也可按照其他順序執行這些步驟。例如,本發明的替代實施例可 以按照不同的順序執行上述步驟。此外,第6圖中示出的單個步驟可以包括多重子步驟,該些子步驟將以適合該單個步驟的多種順序執行。此外,也可以依據特定應用添加或去除額外的步驟。本領域的一般技術人員可以理解多種變型、修改和替代。
3、實施例結果
對本發明的實施例的初步測試顯示,可以在降低Al污染和提高腔室中所處理的晶圓產量方面取得顯著進步。本發明實施例的測試通常包括由模具和NF3 清潔氣體形成的單件AlN(氮化鋁)氣體分佈器,儘管也可以使用其他氣體分佈器材料,例如氧化鋁以及其他清洗氣體(諸如F2 )。如一例示,使用高功率製程的實施例之初步測試顯示,可以將基板上的Al污染,從使用如第1圖所示的習知擋板,以120秒的處理時間情況下的每平方釐米1.3x1013 個原子,降至使用第3圖所示的擋板,以30秒的處理時間條件下的每平方釐米1.2x1012 個原子。測試的塗層的厚度為大約8000Å。因而,當使用高功率製程中將處理時間從120秒降至30秒時,可以將Al污染降低約一個數量級。隨著在晶圓處理過程中腔室經過數次的處理,將腔室處理時間從120秒降至30秒,可使每小時處理的晶圓數從7個增加至8個。
第7圖示出了第1圖中習知氣體分佈器和依照本發明實施例的第3圖中氣體分佈器的清潔端部的比較。每個經測試實施例的處理時間是相同的。第7圖示出了任意單元中的清潔信號與時間的對比關係。清潔信號710和712分別代表習知分佈器和經測試實施例。使用傅立葉轉換紅外線光譜(FTIR)測量清潔信號。兩種分佈器的清潔信號幾 乎相同,並且示出無本質差別。因此,本發明的實施例可提供與經測試的習知擋板幾乎相同的清潔特性,故經測試的實施例與使用習知擋板的系統相容,而且,經測試的實施例可作為使用現有擋板之系統的升級。
第8圖示出了具有第1圖中習知氣體分佈器和依照本發明實施例之第3圖氣體分佈器的處理腔室中,Al污染的比較。用於兩種擋板製程的處理時間都設置為120秒,以評估擋板對Al污染的影響。製程A為使用約10kW功率,以及含有氣體NF3 、He、SiH4 以及O2 之製程氣體的中等功率製程。由於製程A係使用NF3 和中等功率,因此與氟相關的化學反應被認為是引起腔室污染的主要原因。製程B為使用約18kW的功率及含有氣體NF3 、H2 、SiH4 以及O2 之製程氣體的高功率製程。由於製程B為高功率製程、熱反應,因此例如昇華認為是引起腔室污染的主要原因。參照製程A,經測試的習知擋板的Al污染為每平方釐米約200x1010 個Al原子,而經測試的實施例之Al污染為每平方釐米約70x1010 個Al原子。施加到製程A中的塗層的厚度約為4000Å。參照製程B,習知擋板的Al污染為每平方釐米約1000x1010 個Al原子,同時,經測試實施例的Al污染為每平方釐米約30x1010 個Al原子。施加到製程B的塗層的厚度約為8000Å。因此,使用中等功率製程的經測試實施例提供約3倍的改善,而使用高功率製程則提供約30倍的改善。
上述設備和方法的安排僅為本發明原理示意性應用,而且在不背離申請專利範圍所限定之本發明精神和範圍的情況下,可設計其他實施例和改進。因此,不應參照上述內容決定本發明的範圍,而應參照所附申請專利範圍與其 全部均等物範圍來決定。
100‧‧‧氣體分佈器
102‧‧‧氣體偏轉表面
104‧‧‧和氣體分布面
106‧‧‧近端部分
108‧‧‧近端
110‧‧‧孔
112‧‧‧階梯
210‧‧‧系統
211‧‧‧氣體分佈器
213‧‧‧腔室
214‧‧‧圓頂
216‧‧‧電漿製程區域
217‧‧‧基板
218‧‧‧基板支架元件
219‧‧‧基板接收部分
220‧‧‧靜電夾盤
221‧‧‧基底部分
222‧‧‧主體元件
223‧‧‧加熱板
224‧‧‧冷卻台
225‧‧‧節氣門
226‧‧‧節流閥
227‧‧‧閘閥
228‧‧‧泵
233‧‧‧氣體傳輸系統
234A‧‧‧第一氣源
234B‧‧‧第二氣源
234C‧‧‧第三氣源
234D‧‧‧第四氣源
234D'‧‧‧第五氣源
234E‧‧‧氣源
235A‧‧‧第一氣流控制器
235B'‧‧‧第二氣流控制器
235C‧‧‧第三氣流控制器
235D'‧‧‧第四氣流控制器
235A‧‧‧頂部噴嘴MFC
235B‧‧‧MFC
237‧‧‧氣環
238‧‧‧氣體傳輸通路
238A‧‧‧傳輸通路
239‧‧‧源氣噴嘴
240‧‧‧氧化劑氣體噴嘴
243A‧‧‧閥
243B‧‧‧閥
243C‧‧‧閥
244‧‧‧真空管線
246‧‧‧項部通風口
248‧‧‧頂部增壓部
250‧‧‧電漿清潔系統
256‧‧‧下部製程位置
257‧‧‧上部載入位置
260‧‧‧系統控制器
261‧‧‧處理器
262‧‧‧記憶體
264A‧‧‧線路
264B‧‧‧線路
265‧‧‧線路
266‧‧‧線路
270‧‧‧真空系統
280A‧‧‧源電漿系統
280B‧‧‧偏置電漿系統
280‧‧‧源電漿系統
300‧‧‧氣體分佈器
302‧‧‧氣體偏轉表面
304‧‧‧氣體分佈器面
306‧‧‧鄰近部分
308‧‧‧近端
310‧‧‧孔
312‧‧‧階梯
314‧‧‧軸
318‧‧‧軸
320‧‧‧擋板
322‧‧‧凹入表面
322a‧‧‧上部邊界
322b‧‧‧下部邊界
322‧‧‧凹入表面
324‧‧‧半徑
326‧‧‧弧
328‧‧‧上方部分
329‧‧‧下面部分
330‧‧‧凸緣
332‧‧‧外部邊緣
334‧‧‧側翼
336‧‧‧螺紋
338‧‧‧邊緣
340‧‧‧最大寬度
346‧‧‧凸起表面
348‧‧‧半徑
350‧‧‧第一方向
352‧‧‧第二方向
354‧‧‧第三方向
360‧‧‧環形結構
362‧‧‧溝道
364‧‧‧外部表面
368‧‧‧環狀開口
400‧‧‧氣體分佈器
402‧‧‧氣體偏轉表面
420‧‧‧擋板
430‧‧‧凸緣
432‧‧‧圓柱狀外部表面
440‧‧‧最大寬度
442‧‧‧厚度
482‧‧‧圓環邊緣
484‧‧‧環狀邊緣
500‧‧‧氣體分佈器
502‧‧‧氣體偏轉表面
504‧‧‧氣體分佈器面
520‧‧‧擋板
522‧‧‧凹入部分
530‧‧‧凸緣
532‧‧‧外部邊緣
542‧‧‧凸緣厚度
546‧‧‧凸起表面
546a‧‧‧上部邊界
546b‧‧‧下部邊界
548‧‧‧半徑
564‧‧‧環狀平面轉換部分
600‧‧‧方法
610‧‧‧步驟
620‧‧‧步驟
630‧‧‧步驟
640‧‧‧步驟
650‧‧‧步驟
710‧‧‧清潔信號
712‧‧‧清潔信號
第1圖示出了習知的氣體分佈器的橫截面圖;第2圖示出了示例性的ICP反應系統的簡化橫截面圖;第3A圖示出了根據本發明實施例的氣體分佈器的橫截面圖;第3B圖示出了根據本發明實施例,位於半導體製程腔室中的氣體分佈器橫截面圖;第3C圖示出了根據本發明實施例在第3A圖中,氣體分佈器的俯視圖;第4圖示出了根據本發明實施例,具有截短的氣體偏轉表面的氣體分佈器橫截面圖;第5圖示出了根據本發明實施例,具有在凹入和凸起氣體偏轉表面間延伸的環狀平面部分之氣體分佈器橫截面圖;第6圖示出了根據依照本發明實施例,一種具有減小處理時間和減少Al污染的晶圓之處理方法流程圖;第7圖示出了第1圖中的氣體分佈器,與依照本發明實施例第3圖中的氣體分佈器的清潔端部之比較;以及第8圖示出了具有第1圖中的習知的氣體分佈器,與具有依照本發明實施例第3圖中氣體分佈器之處理腔室中,Al污染的比較。
300‧‧‧氣體分佈器
302‧‧‧氣體偏轉表面
304‧‧‧氣體分佈器面
306‧‧‧鄰近部分
308‧‧‧近端
310‧‧‧孔
312‧‧‧階梯
314‧‧‧軸
318‧‧‧軸
320‧‧‧擋板
322‧‧‧凹入表面
322a‧‧‧上部邊界
322b‧‧‧下部邊界
322‧‧‧凹入表面
324‧‧‧半徑
326‧‧‧弧
328‧‧‧上方部分
329‧‧‧下面部分
330‧‧‧凸緣
332‧‧‧外部邊緣
334‧‧‧側翼
336‧‧‧螺紋
338‧‧‧邊緣
340‧‧‧最大寬度
346‧‧‧凸起表面
348‧‧‧半徑
350‧‧‧第一方向
352‧‧‧第二方向
354‧‧‧第三方向
360‧‧‧環形結構
362‧‧‧溝道
364‧‧‧外部表面
368‧‧‧環狀開口

Claims (19)

  1. 一種用於半導體製程腔室中的氣體分佈器,該氣體分佈器包含:一主體,其包括:一近端部分,包含具有一第一直徑的一帶螺紋區域,該近端部分設置以耦合該主體與該半導體處理腔室的一側壁;一凹入表面,從一上部邊界延伸至該凹入表面的一下部邊界,該凹入表面沿具有一第一半徑的一弧延伸;一側翼,從該近端部分向該凹入表面的該上部邊界呈放射狀向外延伸;一氣體分佈器面,包含一中心平面部分以及與該中心平面部分分離一階梯的一凹陷週邊平面部分,其中該中心平面部分從該氣體分佈器面的一中心呈放射狀延伸至該階梯且該中心平面部分具有比該第一直徑長的一第二直徑;以及一凸緣,設置於該凹入表面與該氣體分佈器面之間,該凸緣從該凹入表面的該下部邊界呈放射狀向外延伸至該主體的一外部邊緣,其中該凹入表面與該凸緣的一部分形成一氣體偏轉表面,該氣體偏轉表面從該凹入表面的該上部邊界放射狀延伸且佈置以將一氣流從一第一方向轉向一第二方向,該凹入表面包含該氣體偏轉表面的一表面區域至少約75%。
  2. 如申請專利範圍第1項之氣體分佈器,其中該凸緣從該凹入表面的該下部邊界向該主體的一外部邊緣呈放射 狀向外延伸一段距離,且其中該距離包含不大於該主體之最大寬度的約25%。
  3. 如申請專利範圍第1項之氣體分佈器,其中該凹入表面包含該氣體偏轉表面之該表面區域至少約90%。
  4. 如申請專利範圍第1項之氣體分佈器,其中該凹入表面沿該主體的一上層部分附近的該第一方向延伸,以及沿該主體的一下層部分附近的該第二方向延伸且其中該第一方向橫向於該第二方向。
  5. 如申請專利範圍第1項之氣體分佈器,其中該凸緣包含該凹入表面週邊的一凸起表面,且其中該氣體偏轉表面適於在該凹入表面和該凸起表面之間不具有平坦轉換表面的情況下,將該氣體從該第一方向轉向至該第二方向。
  6. 如申請專利範圍第1項之氣體分佈器,其中該凸緣沿該第二方向延伸過該凹入表面的該下部邊界一距離,該距離小於該凸緣的厚度。
  7. 如申請專利範圍第1項之氣體分佈器,其中該近端部分沿一軸延伸,且該氣體偏轉表面大致旋轉對稱於該軸,其中該第一方向沿該軸,且該第二方向遠離該軸。
  8. 如申請專利範圍第1項之氣體分佈器,其中該主體包含:一氣體入口;多個氣體出口,設置在該氣體分佈器面上的階梯中;以及一氣體通道,將該入口連接到該多個 氣體出口。
  9. 如申請專利範圍第1項之氣體分佈器,其中該氣體分佈器包含一單件結構。
  10. 如申請專利範圍第1項之氣體分佈器,其中該氣體分佈器包含氧化鋁或氮化鋁中的至少一者。
  11. 如申請專利範圍第1項之氣體分佈器,其中該氣體偏轉表面適於偏轉一清潔氣體流。
  12. 一種基板處理腔室,該腔室包含:一腔體,具有一頂和一側壁;一基板架,適於支撐一基板;以及一氣體分佈器,位於該基板架上方中心處,該氣體分佈器包含一主體,該主體包含:一近端部分,具有一第一直徑的一帶螺紋區域,該近端部分設置以耦合該主體至該腔體的該頂;一凹入部分,從一上端邊界延伸至該凹下部分的一下部邊界,該凹入部分沿具有一第一半徑的一弧延伸;一側翼,從該近端部分向該凹入部分的該上部邊界呈輻射狀向外延伸;一下部暴露表面,包含一中心平面部分以及與中心平面部分分離一階梯的一凹陷週邊平面部分,其中該中心平面部分從該下部暴露表面的一中心呈放射狀延伸至該階梯且該中心平面部分具有比該第一直徑長的一第二直徑;以及一凸緣,設置於該凹入表面與該下部暴露表面之間,該凸緣從該凹入部分的該下端邊界呈放射狀延向外伸至該主體的一外部邊緣,其中該凹入部分與該凸緣 之一部分形成一上層暴露表面,該上層暴露表面從該凹入部分的該上部邊界延伸且適於將一氣體向外導離該主體,並導向該腔體側壁,該凹入部分包含該上層暴露表面區域的至少約75%,該下層暴露表面與該基板架隔開且該下層表面適於將一沈積氣體注入該基材處理腔室中。
  13. 如申請專利範圍第12項之基板處理腔室,其中該氣體分佈器的該主體包含:一氣體入口;多個氣體出口,設置在該下部暴露表面上的階梯中;以及一氣體通道,將該入口連接到該多個氣體出口。
  14. 如申請專利範圍第12項之基板處理腔室,更包含一環形結構,設置在該主體之一部分周圍,以定義出一適於將氣體導向該上部暴露表面的環形氣體通路。
  15. 一種用於氣體處理腔室中之氣體分佈器,其中該氣體分佈器包括:一主體,其包括:一近端部分,該近端部分包含具有一第一直徑的一帶螺紋區域,該近端部分設置以耦合該主體與該半導體處理腔室的一側壁;一凹入表面,從一上部邊界延伸至該凹入表面的一下部邊界,該凹入表面沿具有一第一半徑的一弧延伸;一側翼,從該近端部分向該凹入表面的該上部邊界呈放射狀向外延伸;一氣體分佈器面,包含一中心平面部分以及與中心平面部分分離一階梯的一凹陷週邊平面部分,其中該中 心平面部分從該氣體分佈器面的一中心呈放射狀延伸至該階梯且該中心平面部分具有比該第一直徑長的一第二直徑;以及一凸緣,設置於該凹入表面與該氣體分佈器面之間,該凸緣從該凹入表面的該下部邊界呈放射狀向外延伸至該主體的一外部邊緣,其中該凹入表面與該凸緣的一部分形成一氣體偏轉表面,該氣體偏轉表面從該凹入表面的該上部邊界放射狀延伸且佈置以將一氣體從一第一方向偏轉至一第二方向,該凸緣包含:一凸起表面設置在該凹入表面週邊,以及一轉換表面設置在該凹入表面和該凸起表面之間,以提供從該凹入表面至該凸起表面之轉換,其中該凸起表面包括一橫越該主體的最大寬度,而該轉換表面延伸不超過該最大寬度的約20%。
  16. 如申請專利範圍第15項之氣體分佈器,其中該轉換表面延伸不大於該最大寬度的約10%。
  17. 如申請專利範圍第15項之氣體分佈器,其中該凸起表面包含一沿該第一方向的厚度,而且該轉換表面延伸的距離小於該凸起表面的該厚度。
  18. 如申請專利範圍第15項之氣體分佈器,其中該凹入表面包含一凹入環面,而且該凸起表面包含一凸起環面,其中該轉換表面適於提供從該凹入環面至該凸起環面的平滑轉換。
  19. 一種用於氣體製程腔室中之氣體分佈器,該氣體分 佈器包括:一主體,其包括:一擋板,該擋板使用一氣體偏轉表面將氣流從一第一方向轉向一第二方向,該氣體偏轉表面包括一凹入表面、一凸起表面以及一從該凸起表面至該凹入表面的轉換,該凹入表面包括該氣體偏轉表面之一表面區域的至少約75%,該轉換包括不大於橫越該主體的一最大寬度的10%;一頸狀部分,具有一第一直徑位於該主體的一上部末端附近;一下部暴露表面,適於通過多個噴嘴分配一沈積氣體,該下部暴露表面具有一中心部分和一凹陷週邊部分,該凹陷週邊部分藉由一階梯表面從該中心部分分離,其中該中心部分從該下部暴露表面的一中心呈輻射狀向該階梯表面延伸且具有比第一直徑長的一第二直徑,該擋板位於該頸狀部分和該下部暴露表面之間;其中該凹入表面沿該主體的頸狀部分附近的第一方向延伸,以及沿該下部暴露表面附近的第二方向延伸;一氣體入口;多個氣體出口,設置在該階梯表面中;一氣體通道,將該入口連接到該多個氣體出口;以及其中該氣體分佈器包括氧化鋁或氮化鋁之至少一者的一單件且該氣體偏轉表面大致旋轉對稱於一軸,該軸實質上平行於該頸狀部分,該第一方向係實質上平行於該軸,且該第二方向係實質上遠離該軸。
TW096145244A 2006-11-28 2007-11-28 用於半導體製程室之氣體擋板與分配器 TWI390652B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/564,150 US7740706B2 (en) 2006-11-28 2006-11-28 Gas baffle and distributor for semiconductor processing chamber

Publications (2)

Publication Number Publication Date
TW200834783A TW200834783A (en) 2008-08-16
TWI390652B true TWI390652B (zh) 2013-03-21

Family

ID=39485103

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096145244A TWI390652B (zh) 2006-11-28 2007-11-28 用於半導體製程室之氣體擋板與分配器

Country Status (6)

Country Link
US (1) US7740706B2 (zh)
JP (1) JP2008147648A (zh)
KR (1) KR101489632B1 (zh)
CN (1) CN101191200B (zh)
SG (1) SG143207A1 (zh)
TW (1) TWI390652B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5179658B2 (ja) * 2009-05-26 2013-04-10 シャープ株式会社 プラズマ処理装置およびそのクリーニング方法
JP5728482B2 (ja) * 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US9745879B2 (en) 2012-01-25 2017-08-29 Cummins Ip, Inc. Apparatus for facilitating reducant decomposition and mixing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
TW201443984A (zh) * 2013-02-05 2014-11-16 Hitachi Int Electric Inc 清洗方法、半導體裝置之製造方法、基板處理裝置、以及記錄媒體及清洗結束判定方法
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
CN103839841A (zh) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 嵌套工具和反应腔室
CN106663604B (zh) * 2014-07-03 2021-01-26 应用材料公司 旋转批量外延***
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102373977B1 (ko) 2015-10-05 2022-03-15 삼성전자주식회사 기판 처리 장치
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
GB2577212B (en) 2017-06-06 2022-02-16 Cummins Emission Solutions Inc Systems and methods for mixing exhaust gases and reductant in an aftertreatment system
US11944988B2 (en) * 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
KR102246678B1 (ko) * 2019-08-20 2021-05-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
GB2609163B (en) 2020-05-08 2023-08-23 Cummins Emission Solutions Inc Configurable aftertreatment systems including a housing
KR102529738B1 (ko) 2020-05-20 2023-05-04 램 리써치 코포레이션 리모트 플라즈마 세정 (remote-plasma clean (rpc)) 지향성 플로우 디바이스
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
TW202230442A (zh) * 2020-10-09 2022-08-01 美商蘭姆研究公司 無面板噴淋頭

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2608417C3 (de) 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
JPS5841658B2 (ja) 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
GB2125937B (en) 1982-08-26 1986-06-25 Metal Box Plc Dispensing volatile liquids
US5188672A (en) 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
DE10064944A1 (de) 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
EP1322801B1 (de) 2000-09-22 2010-01-06 Aixtron Ag Cvd-verfahren und gaseinlassorgan zur durchführung des verfahrens
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003100717A (ja) 2001-09-21 2003-04-04 Tokyo Electron Ltd プラズマ処理装置
DE10153463A1 (de) 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP4074461B2 (ja) 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP4292777B2 (ja) 2002-06-17 2009-07-08 ソニー株式会社 薄膜形成装置
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6989061B2 (en) 2003-08-22 2006-01-24 Kastalon, Inc. Nozzle for use in rotational casting apparatus
CN1591800A (zh) * 2003-09-01 2005-03-09 上海宏力半导体制造有限公司 改善高压元件结构的制造方法
US20060048707A1 (en) 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050218115A1 (en) 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
JP2007182360A (ja) * 2005-02-16 2007-07-19 Nissan Motor Co Ltd ウィスカー形成体及びこれを用いた電気化学キャパシタ
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
JP2006310481A (ja) 2005-04-27 2006-11-09 Elpida Memory Inc Cvd装置
JP2007305890A (ja) 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US20080124944A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber

Also Published As

Publication number Publication date
KR101489632B1 (ko) 2015-02-04
CN101191200B (zh) 2011-12-07
US7740706B2 (en) 2010-06-22
CN101191200A (zh) 2008-06-04
KR20080048430A (ko) 2008-06-02
SG143207A1 (en) 2008-06-27
US20080121179A1 (en) 2008-05-29
TW200834783A (en) 2008-08-16
JP2008147648A (ja) 2008-06-26

Similar Documents

Publication Publication Date Title
TWI390652B (zh) 用於半導體製程室之氣體擋板與分配器
US7799704B2 (en) Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) Dual top gas feed through distributor for high density plasma chamber
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
JP5108484B2 (ja) 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
US7510624B2 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20090042407A1 (en) Dual Top Gas Feed Through Distributor for High Density Plasma Chamber
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
KR100562206B1 (ko) 최적의낮은유전상수hdp-cvd처리를위한처방단계의시퀀싱
CN101304630B (zh) 用于电感耦合高密度等离子体处理室的内部平衡线圈
US6521302B1 (en) Method of reducing plasma-induced damage
US8025736B2 (en) Semiconductor device fabrication equipment for performing PEOX process and method including cleaning the equipment with remotely produced plasma
US20060196603A1 (en) Gas baffle and distributor for semiconductor processing chamber
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
US6436303B1 (en) Film removal employing a remote plasma source
US20210395885A1 (en) Throughput improvement with interval conditioning purging
JP3111544U (ja) 半導体処理の為のガス送出システム
TWI794318B (zh) 增加反應器處理批量大小的方法和設備
US20050101155A1 (en) Ramp temperature techniques for improved mean wafer before clean
KR200379260Y1 (ko) 반도체 프로세싱용 가스 운반 시스템
JP2002353215A (ja) Hdpcvd処理によるトレンチ充填
JP2002057157A (ja) 銅ダマシン集積回路用hdp−fsg処理