TWI332997B - Gas distribution showerhead featuring exhaust apertures - Google Patents

Gas distribution showerhead featuring exhaust apertures Download PDF

Info

Publication number
TWI332997B
TWI332997B TW093135727A TW93135727A TWI332997B TW I332997 B TWI332997 B TW I332997B TW 093135727 A TW093135727 A TW 093135727A TW 93135727 A TW93135727 A TW 93135727A TW I332997 B TWI332997 B TW I332997B
Authority
TW
Taiwan
Prior art keywords
gas
wafer
exhaust
process chamber
pores
Prior art date
Application number
TW093135727A
Other languages
English (en)
Other versions
TW200526799A (en
Inventor
Steven Gianoulakis
Karthik Janakiraman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200526799A publication Critical patent/TW200526799A/zh
Application granted granted Critical
Publication of TWI332997B publication Critical patent/TWI332997B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

1332997 玖、發明說明: 【發明所屬之技術領域】 本發明一般係與半導體晶圓製程系統有關,更明 言,係關於在工件表面分佈製程氣體之系統與方法。 【先前技術】 半導體晶圓製程系統通常含有一製程室,其具有 或基座,用以於製程室内靠近製程區域支撐半導體晶 製程室形成一真空範圍,界定出部分之製程區域。一 散流組件或喷氣頭可提供一或多個製程氣體至製 域。接著可加熱及/或提供能量予該氣體以形成一電 於晶圓上實施特定製程。這些製程可包含化學氣相 (Chemical Vapor Deposition, CVD),以沉積薄膜 圓上,或一敍刻反應以由晶園移除材料。 隨著半導體裝置尺寸與複雜度之增加,晶圓面積 更加珍貴。因此,不僅希望將元件設置至靠近晶固中 亦希望盡可能靠近晶圓外部邊緣。將元件設置在靠近 周圍處也提高了在徑向範圍中晶圓製程步驟的之一 (radial uniformity)之要求。因此,乃希望半導體 過程可幾乎於整個晶圓表面達到一致性。 第2圖顯示習知技藝之沉積室210,具有習知技 喷氣頭220。習知技藝之喷氣頭220特徵為,於喷氣 表面225具有複數相等間隔之孔洞222。製程氣體經 口導管2 1 4,沿標記方向2 1 5流入喷氣頭2 2 0。孔洞 確而 晶座 圓。 氣體 程區 漿, 沉積 於晶 變為 心 > 晶圓 致性 製造 藝之 頭下 由入 222 1332997 用以於喷氣頭内沿方向2 1 8分佈製程氣體。製程氣體經由 孔洞222離開喷氣頭,並與半導體晶圓230表面反應。喷 氣頭内之氣體空間散流,決定分佈於半導體晶圓表面氣體 之一致性。 於沉積製程中,製程氣體流經半導體晶圓230之頂部 表面235,並與表面235或其他氣態物種反應,以於晶圓 表面235形成所需之薄膜236。氣體於晶圓邊緣沿方向238 流動,並經由環狀排氣通道2 5 0排氣。 於第2圖所繪示之習知技藝沉積室,為抵達排氣通道 250,於晶圓中心上方,由喷氣頭所引入之製程氣體,通 常於徑向方向沿晶圓表面流動,且於晶圓邊緣沿方向2 3 8 流動。因此,當氣體於徑向方向朝向晶圓邊緣流動時,氣 態物種之速度便可能增加。 於沉積製程中,沉積速率典型地取決於反應種類相對 於半導體晶圓表面之流動。若反應種類之速度於徑向方向 上增加,沉積速率於靠近晶圆周圍可能大於靠近晶圓中 心,導致不一致之薄膜厚度。 因此,業界亟需一種設備係可改進沉積於半導體晶圓 之薄膜的一致性。 【發明内容】 根據本發明之具體實施例,係關於在工件表面分佈製 程氣體之系統與方法。根據本發明一具體實施例,製程氣 體由一來源,經由具有複數孔隙之氣體散流喷氣頭,流至 4 1332997 工件表面。氣體散流喷氣頭亦具有複數排氣孔隙特徵,以 移除來自晶圓表面上之材料。由喷氣頭排氣孔隙所提供之 補充排氣,乃用以減少由於通過晶圓表面之徑向流動所產 生的氣體速度變化,從而增強相對於晶圓中心之晶圓邊緣 之處理一致性。 根據本發明一具體實施例之設備,包含圍繞製程室之 側壁,以及位於製程室内之晶圓基座。第一排氣導管與製 程室進行流體交換,且一製程氣體源經由氣體散流喷氣頭 而與製程室進行流體交換。氣體散流喷氣頭含有一第一通 道其可與製程氣體源進行流體交換,以及分佈於該喷氣頭 下表面之孔隙;以及與第一通道分隔之一第二通道,其與 第二排氣導管,以及分佈於喷氣頭下表面之排氣孔隙,進 行流體交換。 根據本發明一具體實施例,以處理半導體工件之方法 乃包含,經由位於氣體散流面板之第一複數孔隙,流動一 製程氣體至一半導體工件。氣體由半導體工件上,經由製 程室排氣通道,以及位於氣體散流面板之第二複數孔隙而 移除。 根據本發明一具體實施例,以於一製程室處理半導體 晶圓之方法乃包含,置入一半導逋晶圓至製程室,並經由 第一排氣通道排空製程室。至少一製程氣體,經由位於喷 氣頭表面之第一組孔隙引入。氣體經由第一排氣通道移 除,且氣體經由位於喷氣頭表面之複數孔隙移除。 根據本發明一具體實施例,以控制沉積於半導體晶圓 5 1332997 上薄膜性質一致性之方法乃包含,放置一晶圓於一製程 室,並經由位於面板之第一複數孔隙,引入氣體至晶圓。 氣體經由位於面板之第二複數孔隙移除,且氣體同時由徑 向排氣路徑移除。 本發明之這些與其他具體實施例,以及其特徵與一些 潛在優點,將連同隨後之内容與所附圊示詳細描述》 【實施方式】 根據本發明之具體實施例,係關於在工件表面分佈製 程氣體之系統與方法。根據本發明一具體實施例,製程氣 體由一來源,經由具有複數孔隙之一氣體散流喷氣頭,流 動至一工件表面。氣體散流喷氣頭亦具有複數排氣孔隙之 特徵,以由晶圓表面移除材料。由喷氣頭排氣孔隙提供之 補充排氣,乃用於減少通過晶圓表面之徑向流動,所造成 之氣體速度變化,從而增強相對於晶圓中心之晶圓邊緣之 處理一致性。 第 3A圖繪示根據本發明一具體實施例之沉積室 300。製程氣體經由具有雙重通道面板311之喷氣頭310, 進入製程室,並流入位於半導體晶圓320表面上之圓柱體 積305。進入製程室之製程氣體流動,乃以箭頭312繪示, 延伸通過喷氣頭下表面之面板。由晶圓區域,以及晶圓與 面板間距離所定義之圓柱體積305,有時稱為反應區域。 沉積氣體彼此間及與半導體晶圓之反應,於半導體晶圆 320之上表面沉積薄膜321。 1332997 進入製程室376。進入製程室之製程氣體流動,乃由箭頭 385所繪示,延伸通過噴氣頭下表面之面板。沉積氣體彼 此間以及與半導體晶圓之反應,於半導體晶圓3 8 1之上表 面產生薄膜382之沉積。 主要泵3 9 0沿徑向排氣路徑3 8 6排出氣體,且次要泵 3 9 1沿補充排氣路徑3 8 7與3 8 8排出氣體。 靠近基座3 8 0外部邊緣之環狀排氣通道,乃定義沉積 氣體之排氣路徑。來自靠近晶圓表面區域之排出氣體,經 由此排氣路徑,以箭頭386標示,位於基座380周圍邊緣。 連接至喷氣頭377之排氣線路3 95,乃安排於主要製程室 376外,將喷氣頭排出氣體387及388,與徑向排出氣體 3 8 6分隔。 於第3 C圖所繪示之具體實施例,連接至主要排氣通 道394之排氣線路396,乃連接至主要前管線泵390。一 不同之前管線泵391乃連接至排氣線路393,其連接至補 充排氣線路395,並與喷氣頭進行交換。因此,於第3C 圖所繪示之具體實施例,個別泵由個別半徑與補充排氣路 徑排出氣體。 此外,於第3C圖所繪示之具體實施例,閥397位於 排氣線路396,且閥392位於排氣線路393。於本發明一 些具體實施例中,閥397與392可用於主要與補充排氣路 徑間產生不同抽氣壓力。 於一些具體實施例,用於排氣路徑之面板面積,為自 面板中心之徑向距離函數。由雙重通道面板所提供之額外 9 1332997 氣路徑稱為補充排氣路徑。經由排氣通道419 通道4 1 6排出之氣體比例,將取決於沿晶圓表 要與補充排氣通道内之氣體壓力,與其他因素 第4B圊顯示根據本發明之面板一具體實 仰視圖示。於此具體實施例,氣體散流孔隙包 洞450,位於整個面板底部不同位置。氣體排 排氣孔洞4 5 5,位於面板整個底部其他不同位 於此處所呈現之簡化部分仰視圖示(第 圖),為便於描述與說明,乃省略圓柱狀對稱1 至4CA圖乃繪示,於第4B至第8圖所呈現之 視圖示,如何與較大之面板設計產生關聯。這 圖示,乃表示第4C圖所示之面板475之部分 4CA圖之放大圖示480。因此,關於面板圓形‘14 其對於熟知此項習知技藝之人士為顯而易見的 仰視圖示中省略。 若沉積製程要求於抵達半導體晶圓表面朝 不進行混合,可再細分氣體散流通道與對應之 止氣體於抵達表面前進行混合。美國專利第 號,指定至本發明之受讓人,並於此併入參考 板與氣體散流歧管組件,其中製程氣體可經由 於未混合下傳送至製程區域。 於第4B圖所繪示之具體實施例,可總和 流孔隙之面板面積,以決定相加(或總體面板: 同樣地,可總和含有排氣孔隙之面板面積,以分 與經由排氣 面,以及主 〇 施例之部分 含一注入孔 出孔隙包含 置。 4B至第 8 寺徵。第4C 簡化部分仰 些部分仰視 485 ,於第 .質之細節, ,乃於這些 「,反應氣體 孔隙,以防 6,086,677 ,描述一面 共同面板, 含有氣體散 >散流面積。 t定相加(或 11 1332997 總體面板)排氣面積。於第4B圖所繪示之具體實施例, 相加散流面積與相加排氣面積之比例約為 4 : 1 〇此外, 此相加散流/排氣面積比例於整個面板表面為固定的。 根據本發明之具體實施例,可選擇氣體散流孔隙與氣 體排出孔隙之數目,以最佳化不同製程氣體之比例與流 速。例如,根據一具體實施例,排氣孔隙數目,且因此排 氣孔隙面積,可為面板位置之函數而變動,以根據製程需 求,控制氣態物種之局部流動。 或者,除變化氣體散流與排氣孔隙數目外,可根據製 程需求,變化氣體散流與排氣孔隙之尺寸。於一具體實施 例,其中希望小孔隙尺寸時,較多數目之小孔隙可位於面 板,以達到與較少數目之大孔隙之相同孔隙面積。相反 地,當一特定應用要求較少數目之大孔隙時,根據本發明 之具體實施例,乃提供所需之彈性以達到此目標。 雖然第4B圖所示之具體實施例,具有固定散流/排氣 面積比例,為徑向距離函數之特徵,但此並非為本發明所 要求。根據其他具體實施例,於整個面板,可變化散流孔 隙面積,相對於排氣孔隙面積之比例,以依所需,促進處 理一致性或變化。 第5圖乃對應地繪示本發明另一具體實施例,其中乃 相對於第4B圖中所繪示,增加排氣孔隙520之數目,從 而增加相加排氣面積《於此具體實施例,散流孔隙5 1 0之 數目仍舊維持不變。可藉由增加如第4B圖所示之氣體排 出孔隙之尺寸,達到相似效果,從而降低相加散流面積, 12 1332997 徑向距離增加至面板半徑而減少。熟知此項技藝之人士將 可瞭解不同其他非線性函數關係。降低孔隙尺寸與增加孔 隙密度,可用於「平滑」第6、7與8圖所繪示之階梯狀 變化。 上述之具體實施例,增加或減少局部排氣面積,以於 為徑向距離函數,氣體散流面積相對於氣體排出面積之局 部比例,產生變化》或者,可變化為由晶圓申心徑向距離 函數之局部氣體散流面積,以達到所希望之結果。如關於 排氣面積變化之討論,可變化氣體散流孔隙之尺寸與數 目,以達到反應種類所需之散流。 如先前所述,基座於垂直方向可控制轉移。基座之垂 直運動,通常用於晶圓載入與卸載操作,以及於沉積時, 變化晶圓至面板之距離。 沉積時,晶圓至面板距離之變化,對於沉積製程具有 數項影響。典型地,沉積製程於晶圓與面板間使用寬廣之 間隔(15 0密爾)。間隔小於或等於1 5 0密爾時,反應區 域之氣體壓力於晶圓表面不一致,於晶圓邊緣之壓力典型 地小於晶圓中心之壓力。於晶圓周圍之此減少壓力,降低 反應種類濃度,並減少晶圓邊緣之沉積。 然而,使用根據本發明一具體實施例之面板,得以藉 由增加對應於晶圓邊緣之排氣面積,抵消此邊緣薄化,從 而增加至晶圓邊緣之反應種類流動。於第6圖繪示之特定 具體實施例,將有用於例如排氣面積隨徑向距離增加之應 用。具有隨徑向距離,非線性增加排氣面積之其他具體實 14 1332997 施例亦為有用的。 其他應用可能需要晶圓與面板間之間隔降 150密爾,以增強製程速度與產量。隨著喷氣頭招 且反應區域體積下降,靠近晶圓中心分佈之反應 歷較長之留置時間,導致接近晶圓中心之沉積薄 厚度。 因此,於本發明某些具體實施例,可於喷氣 額外排氣面積,以增加接近晶圓中心之排出氣體 少局部反應種類之濃度程度,以及產生之沉積速 圖乃繪示此一具體實施例,其中排氣孔隙之數目 應之排氣面積,於面板中心大於面板邊緣。或者 氣孔隙數目之改變,可增加個別排氣孔隙之尺寸 增加相同排氣面積。 於其他製程系統,基座或其他支撐結構之特 致之溫度分佈。例如,於基座中心之溫度,相較 圍,可維持於一較高溫度,而得以快速冷卻基座 對於基座組件,產生張力與可能之破裂。沉積速 溫度函數,於基座中心之升高溫度,可能降低相 邊緣之局部沉積速率。本發明之具體實施例,可 接近晶圓中心之排氣流動,從而增加反應種類濃 速率,而抵消此類非一致沉積。 由於其他製程步驟要求,亦可能產生於基材 施加不同製程方式之需求。例如,化學機械研磨( Mechanical Polishing » CMP)技術廣泛地用於平 低至小於 ^近晶圓’ 種類,經 膜具較大 頭上提供 流動,減 .率。第7 ,及相對 ,連同排 ,以達到 徵為非一 於基座周 ,而不會 率部分為 對於基座 藉由增加 度及反應 不同區域 Chemical 坦化藉由 15 1332997 化學氣相沉積所沉積之材料層。然而,無法產生完全平坦 化之晶圓表面,化學機械研磨過程本身可能於表面平坦度 與薄膜厚度引入徑向變化。因此,於一些利用化學機械研 磨技術之製程,乃需具有特定設計非一致性厚度變化之薄 膜沉積。 因此,根據本發明之一具體實施例,可用於沉積具有 非一致厚度之薄膜,其為由晶圓中心徑向距離之函數,從 而抵消化學機械研磨製程之非一致性效應。此一兩步驟沉 積/研磨製程之最終結果,將產生具有所需厚度一致性之 薄膜。
根據本發明之具體實施例,提供系統操作者數種處理 半導體晶圓之方法。例如,第9A圖為一流程圖示,描繪 方法900,其中沉積系統可根據本發明運作。首先,於步 驟910,一晶圓乃藉由熟知此項技藝人士已知之裝置,置 入沉積室。於步驟912,密封沉積室並排空至一降低壓力》 於第9 A圖所繪示之具體實施例,沉積室可藉由開啟連接 至主要泵,於前管線中之閥而排空。於其他具體實施例, 沉積室可藉由開啟連接至次要排氣泵,或是連接至主要與 次要泵組合,前管線中之閥而排空。於根據本發明之一些 具體實施例,壓力可下降至足以支援於沉積室内產生電漿 之程度。例如,壓力可下降至介於5至20陶爾(torr )間。 一旦沉積室到達所需壓力,於步驟912,製程氣體經 由位於喷氣頭面板之複數孔隙,引入至沉積室。這些氣體 散流孔隙之數目,大小與分佈,已於上詳述。製程氣體流 16 1332997 經半導體晶圓頂部表面,並與表面或其他氣態物種反 以於晶圓表面形成所需薄膜。 製程氣體與反應副產物同時由沉積室,經由步驟 之主要徑向排氣路徑,以及步驟918令,於喷氣頭含 氣通道之次要排氣路徑排出。通過這些其他排氣路徑 體體積比例,可藉由安裝於個別路徑上排氣線路之閥 對位置,加以控制。 於完成沉積製程,於步驟920,停止傳送製程氣 於步驟922與924,分別使沉積室返回至大氣壓力, 除晶圓。 第9B圖為一流程圖示,繪示根據本發明,操作 系統方法之另一具體實施例。於方法901之步驟930 晶圓乃置入沉積室。於步驟932,使用主要排氣路徑 封與排空沉積室至一降低壓力。於第9B圖所繪示方 另一具體實施例,沉積室乃藉由開啟位於連接至主要 泵之前管線之閥而排空,一旦沉積室到達所需之壓力 步驟934,製程氣體乃經由位於喷氣頭面板之複數孔 入。於步驟936,經由使用主要排氣通道,達成開始 製程氣體與反應副產物。隨後,於步驟93 8,製程氣 反應副產物同時由沉積室,經由第一徑向排氣路徑排 且於步驟940,經由包含喷氣頭中排氣通道之次要排 徑排出。於第9B圖所示之方法901之另一具體實施 大多數排出氣體通過主要排氣通道,線路與泵。相較 要排氣路徑,次要排氣路徑用於移除來自沉積室較少 應, 916 有排 之氣 之相 體。 並移 沉積 I — ,密 法之 排氣 ,於 隙引 排出 體與 出, 氣路 例, 於主 數量 17 1332997 之氣體,從而提供操作者對於製程參數之「微調」控制。 通過次要與主要排氣路徑之氣體體積比例,可於接近零與 一之數值間變化。 完成沉積製程後,於步驟 942,停止製程氣體之傳 送,於步驟944與946,分別使沉積室返回至大氣壓力, 並移除晶圓。 第9C圖為根據本發明,操作沉積室方法之再另一具 體實施例之流程圖示。於方法9 02之步驟9 5 0,將晶圓置 入沉積室。於步驟 952,排空沉積室,且於步驟 954,使 電漿撞擊沉積室。沉積室可經由主要或次要排氣路徑,或 兩者組合排出氣體而排空。當電漿穩定後,於步驟956, 經由位於面板表面之複數孔隙,引入製程氣體至沉積室。 製程氣體與反應副產物由沉積室,於步驟 958與 9 60,分別經由主要與次要排氣路徑移除。於第9 C圖所繪 示方法902之具體實施例,於步驟962與964,於沉積製 程中,調整主要與次要排氣路徑之排氣速率。於一些具體 實施例,於沉積過程中,可變化排氣速率,以調變沉積薄 膜之特性。這些特性可包含,但不限於,薄膜厚度,密度, 折射係數,或電介質常數。 完成沉積製程後,於步驟 966,停止製程氣體之流 動。於步驟968與970,分別使沉積室排空至大氣壓力, 並移除晶圓。 經由根據本發明具體實施例之喷氣頭,所提供之補充 排氣路徑,相較於習知技藝,提供某些優點。除了於基座 18 1332997 邊緣提供之傳統排氣路徑(見第3A圖之流動線路322), 於喷氣頭之排氣孔隙,提供一補充排氣路徑,有用於最佳 化接近晶圓表面之反應種類流動。此外,為徑向距離函 數,散流相對於排氣面積之比例變化性,對於製程氣體與 反應副產物之散流與排氣,提供空間上之控制。 根據本發明一具體實施例,於徑向方向流經晶圓表 面,並經由徑向排氣路徑流出之氣體體積,可藉由面板之 設計而修改。於此一具體實施例,藉由製程氣體與反應副 產物,通過補充噴氣頭排氣路徑之選擇性排出,可控制橫 向流經晶圓表面之反應氣態物種體積與濃度。於一特定具 體實施例,流經晶圓之反應氣態物種體積與濃度,藉由增 加橫向流動體積區域之排氣孔隙面積,可維持於為徑向距 離函數之一定值。此改進製程控制可產生較高之薄膜一致 性。 於本發明其他具體實施例,反應種類於晶圓表面之留 置時間,可藉由喷氣頭排氣孔隙面積之空間分佈而控制。 例如,第8圖顯示根據本發明之一具體實施例,其中接近 晶圓中心 8 3 5與邊緣 8 4 0,喷氣頭所提供之排氣孔隙面 積,小於距離等於1 /2面板半徑處之排氣孔隙面積。於距 離等於1/2面板半徑之區域,可稱為中間半徑區域830。 因此,於晶圓中心8 3 5引入之製程氣體,在經由中間半徑 區域830之面板,離開反應區域前,相較於靠近中間半徑 區域830引入之製程氣體,於晶圓表面行經較長之距離。 於其他具體實施例,接近中間半徑區域,通過晶圓表面之 19 1332997 製程氣體流動,藉由氣體散流與排氣孔隙之選擇性配 增強。 第1A圖乃顯示可實施本發明方法之一適當化學 沉積設備,其為化學氣相沉積系統 1 0之一垂直截 示,具有一真空或製程室15,包含製程室側壁15a 程室蓋子組件1 5b。製程室側壁1 5 a與製程室蓋子組到 於第1B圖與1C圖以***透視圖示顯示。 化學氣相沉積系統10含有一氣體散流歧管11, 佈製程氣體至一基材(未顯示),係位於製程室中心 熱晶座12上。於製程時,基材,例如一半導體基材 於晶座12之一平坦(或輕微凸面)表面12a(第1B 晶座可於一下方載入/卸載位置(未顯示),以及一上 程位置(示於第1A圖)間控制移動,其乃與歧管11 | 一活動平板(未顯示),含有感應器,以提供晶圓位 資訊。 沉積與載體氣體,乃經由平坦,圓形氣體散流 13a之穿孔孔洞13b (第1C圖),引入製程室15,如 詳述。更具體地,沉積製程氣體經由入口歧管11( 1A圖之箭頭40表示),經由一常見穿孔阻擋板42, 著經由氣體散流面板13a中之孔洞13b,流入製程室 到達歧管前,沉積與載體氣體由氣體源 7a,經 體傳送系統7之氣體供應線路8,輸入至一混合系翔 於此處結合並接著傳送至歧管11。通常,每個製程 之供應線路包含(i)數個安全關閉閥(未顯示),用 置而 氣相 面圖 與製 15b 以散 之加 ,位 Ϊ ) ° 方製 鄰。 置之 面板 上所 由第 且接 〇 由氣 9, 氣體 於自 20 1332997 動或手動關閉製程氣體流動進入製程室,及(ii) 制器(亦未顯示),用以測量通過供應線路之氣體 當毒性氣體(例如臭氧或鹵素氣體)於製程中使用 個安全關閉閥以常見組態置於每個氣體供應線路。 於化學氣相沉積系統1 4執行之沉積製程,可 製程或電漿增強製程。於電漿增強製程,一射頻( 源4 4於氣體散流面板1 3 a與晶座間施加電源,以 程氣體混合物,以於面板1 3 a與晶座間之圓柱區域 「反應區域」内形成電漿。電漿成分反應而沉積一 薄膜於由晶座1 2所支撐之半導體晶圓表面。射頻 為一混合頻率無線電電源,典型地於1 3.5 6兆赫之 電頻路(RF1),以及360千赫之低射頻(RF2)供應 以增強引入真空室15之反應種類之分解。於熱製 不利用射頻電源44,且製程氣體混合物熱反應, 所需之薄膜於由晶座12所支撐之半導體晶圓表面 於加熱具有阻抗,以提供反應所需之熱能。 於電漿增強沉積製程,電漿加熱整個製程室 含製程室本體之側壁1 5 a,圍繞排氣通道2 3與關閉 當未開啟電漿或於熱沉積製程時,一熱液體於製程 壁15a循環,以維持製程室於一升高溫度。用於加 室側壁1 5 a之液體,包含典型液體類型,即,以水 乙二醇或以油稀釋之熱轉移液體。此類加熱有利地 消除反應產物不希望之冷凝,並改進消除製程氣體 性產物,以及可能污染製程之其他污染物,若其於 質流控 流量。 時,數 為一熱 RF)電 激發製 ,稱為 所需之 電源44 1¾無線 ,電源, 程,將 以沉積 ,其對 10,包 閥24。 室之側 熱製程 稀釋之 減少或 之揮發 冷卻之 21 1332997 真空通道側壁冷凝,並於無氣體流動時期,回流至製程室。 未沉積之剩餘氣體混合物,包含反應產物,乃由製程 室藉由連接至排氣通道23之真空泵50,由前管線55排 出。具體地,氣體經由環繞反應區域之一環狀,狹縫形孔 隙1 6排出,並進入一環狀排氣空間1 7。環狀狹縫1 6與 空間1 7,乃由製程室頂部之圓柱狀側壁1 5 a (包含側壁之 上層電介質内層19),以及環狀製程室蓋子120底部間之 缺口所定義。狹縫孔隙1 6與空間1 7之3 6 0度環狀對稱與 一致性,對於達到晶圓表面製程氣體之一致性流動,以於 晶圓沉積一致性薄膜,一般而言為重要的。 由排氣空間1 7,氣體流經排氣空間1 7側邊延伸部分 21下方,通過一觀察通道(未顯示),經由一向下延伸之 氣體通道23,通過真空關閉閥24 (其本體與下方製程室 側壁1 5 a結合),並進入經由前管線5 5,連接至外部真空 泵50之排氣出口 25。 晶座12之晶圓支撐轉盤(較佳地為鋁、陶瓷、或其 組合)為抗加熱,使用一嵌入式單一迴圈嵌入加熱器元 件,裝配為平行同心圓形式之兩個完整彎曲。加熱器元件 外圍部分,沿支撐轉盤周圍行進,且内部部分沿較小半徑 之同心圓路徑行進。加熱器元件之線路通過晶座12之柄。 典型地,任何或所有製程室内層、氣體入口歧管面 板,與各種其他反應器硬體,乃由例如鋁、電鍍鋁、或陶 瓷材料所製成。此類化學氣相沉積設備之一範例,乃於美 國專利第 5,5 5 8,7 1 7 號,發明名稱「CVD Processing 22 1332997
Chamber」中描述。美國專利第5,5 58,7 1 7號之專利權屬 於應用材料公司,係為本發明之專利權人,於此併入參考。 一提升機構與馬達(未顯示),升高與降低加熱晶座 组件12與其晶囲提升接腳12b,當晶圓藉由一機械刀片 (未顯示),通過製程室1〇側之一***/移除開口 26,移 入或移出製程室本體時。馬達於一製程位置“與一較低 晶圓載入位置間,升高與降低晶座丨2。馬達、連接至供 應線路8之閥或流體控制器、氣體傳送系統、節流閥、射 頻電源44,以及製程室與基座加熱系統,均由系統控制 器34 (第1A圖)’經由控制線路36所控制,其中僅顯示 一部份。控制器34根據來自光學感應器之反饋,決定可 移動機械組件之位置,例如節流閥與晶座,其藉由控制器 34控制下之適當馬達所移動。 於一具體實施例,系統控制器包含一硬碟(記憶體 38)、一軟碟與一處理器37。製程器含有一單一主機板電 腦(Smgle-Board Computer’ SBC),類比與數位輸入/輸 出主機板、介面主機板與步徑馬達控制器主機板。化學氣 相沉積系統10之各個部分,乃符合VME ( Versa Modular European)標準’其定義主機板,介面卡與連接器大小與 類型。VME標準亦定義匯流排結構,具有16位元資料匯 流排與2 4位元位址匯流排。 系統控制器34控制化學氣相沉積機器之所有活動。 系統控制器執行***控制軟艘,其為—電膜程式,儲存於 一電腦可讀取媒體,例如記憶體38。較佳地,記憶體38 23 1332997 為硬碟,但記憶體3 8亦可為其他種類之記憶體。電腦 式包含一組指令,指定引入與排出氣體之時間、氣體 合、製程室壓力、製程室溫度、射頻電源程度、晶座位J 以及特定製程之其他參數。儲存於其他記憶體裝置, 含,例如軟碟或其他適當磁碟之其他電腦程式,亦可用 操作控制器3 4。 上述反應器描述主要用於說明目的,且可利用其他 漿化學氣相沉積設備,例如電子迴旋共振(ECR )電漿 學氣相沉積裝置、感應耦合射頻高密度電漿化學氣相沉 裝置等。此外,上述系統之變化,例如晶座設計、加熱 設計、射頻電源頻率、射頻電源連接位置與其他之變化 亦為可能的。例如,晶圓可由晶座所支撐,並由石英燈 熱。本發明之層,以及形成此層之方法,並未限於任何 定設備或任何特定電漿激發方法。 需瞭解此處所述之發明,可用於使用喷氣頭,以分 製程氣體至基材之任何基板製程系統。此包含化學氣相 積、氣化、氧化、姓刻與清理系統,僅列出數個範例。 然此處已詳細顯示與描述包含本發明教示之各種具體 施例,熟知此項技藝之人士亦可輕易地設計仍含有這些 示之許多其他變化具體實施例。 其他具體實施例乃於下列申請專利範圍中。 【圖式簡單說明】 第1A圖為化學氣相沉積系統之一簡化概要圖示。 程 混 、 包 於 電 化 積 器 等 加 特 佈 沉 雖 實 教 24 1332997 截面簡化仰視圖示。 第8圖為根據本發明另一具體實施例之喷氣頭徑向 截面簡化仰視圖示。 第9A圖為一流程圖示,繪示根據本發明操作沉積室 之一具體實施例方法。 第9B圖為一流程圖示,繪示根據本發明操作沉積室 之另一具體實施例方法。 第9C圖為一流程圖示,繪示根據本發明操作沉積室 之再另一具體實施例方法。 【元件代表符號簡單說明】 07 氣體傳送系統 07a 氣體源 08 氣體供應線路 09 混合系統 10 化學氣相沉積系統 11 氣體散流歧管 12 加熱晶座 12a 晶座表面 13a 散流面板 13b 孔洞 15 處理室 15a 處理室側壁 15b 處理室蓋子組件 26 1332997 16 環 狀 狹 縫 狀 孔 隙 17 環 狀 排 氣 空 間 19 上 電 介 質 内 層 20 圓 形 狀 處 理 室 蓋 子 23 排 氣 通 道 24 關 閉 閥 25 排 氣 出 σ 26 開 σ 34 控 制 器 36 控 制 線 路 37 處 理 器 38 記 憶 體 42 阻 擋 板 44 射 頻 電 源 50 真 空 泵 55 前 管 線 210 沉 積 室 214 入 口 管 線 215 方 向 220 喷 氣 頭 222 間 隔 孔 洞 225 下 表 面 230 半 導 體 晶 圓 235 半 導 體 晶 圓 頂 部 表面 27 1332997 236 薄膜 300 沉積/主要室 305 圓柱狀體積 310 喷氣頭 311 雙重通道面板 312 箭頭 3 14 第3A圖箭頭,說明補充排氣路徑 316 第3A圖箭頭,說明補充排氣路徑 3 18 排氣線路 320 半導體晶圓 321 薄膜 322 箭頭與路徑 3 25 主要排氣路徑 3 30 基座 340 環狀排氣通道 341 陶瓷環 342 排氣線路 346 閥 348 閥 349 孔洞 3 50 處理室 3 55 基座 360 喷氣頭 361 雙重通道面板 28 1332997 362 箭 頭 366 來 白 喷 氣 頭 之 排 出 氣體 367 排 氣 線 路 368 區 域 370 半 導 體 晶 圓 37 1 薄 膜 372 箭 頭 與 徑 向 排 出 氣 體 373 主 要 排 氣 通 道 374 真 空 泵 376 處 理 室 377 喷 氣 頭 378 雙 重 通 道 面 板 380 基 座 381 半 導 體 晶 圓 之 上 表 面 382 薄 膜 385 箭 頭 386 徑 向 排 氣 路 徑 與 箭 頭 387 補 充 排 氣 路 徑 388 補 充 排 氣 路 徑 390 主 要 泵 391 次 要 泵 392 閥 393 排 氣 線 路 394 主 要 排 氣 通 道 29 1332997 395 補充排氣線路與排氣線路 3 96 排氣線路 397 閥 400 噴氣頭 405 面板 410 氣體散流孔隙 411 散流孔隙 412 線路 415 氣體排氣孔隙 416 氣體排氣通道 417 線路 418 線路 419 排氣路徑 43 0 半導體晶圓 440 處理室 450 注入孔洞 455 排氣孔洞 4 7 5 面板 480 放大圊示 485 部分 510 散流孔隙 520 排氣孔隙 630 徑向距離 830 中間半徑區域 30 1332997 835 晶圓中心 840 邊緣 900 方法 901 方法
902 方法 910 步驟 912 步驟 914 步驟 916 步驟 918 步驟 920 步驟 922 步驟 924 步驟 930 步驟 932 步驟 934 步驟 936 步驟 938 步驟 940 步驟 942 步驟 944 步驟 946 步驟 950 步驟 952 步驟 31 1332997 954 步驟 956 步驟 958 步驟 960 步驟 962 步驟 964 步驟 966 步驟 968 步驟 970 步驟

Claims (1)

  1. ι· 一種處理一半導體工件之方法,該方法包含下列步驟: 1332997 在一製程室中將該半導體工件放置在一基座上; 旋轉該半導體工件; 經由位於一氣體散流面板之一第一複數孔隙流動一 製程氣體至該半導體工件;及 經由一製程室排氣通道及位於該氣體散流面板之第 二複數孔隙由該半導體工件移除一氣體,其中一經由該第 二複數孔隙移除該氣體的速率係自該半導體工件的中心徑 向地增加。 2. 如申請專利範圍第1項所述之方法,更包含於流動該 製程氣體前,僅經由該製程室排氣通道移除該氣體。 3. 如申請專利範圍第1項所述之方法,更包含於流動該 製程氣體前,經由該製程室排氣通道與該第二複數孔隙移 除該氣體。 4. 如申請專利範圍第1項所述之方法,更包含僅經由該 製程室排氣通道開始移除該氣體。 5. 如申請專利範圍第1項所述之方法,更包含僅經由該 第二複數孔隙開始移除該氣體。 33 1332997 6. 如申請專利範圍第1項所述之方法,其中該製程室係 排空至一低於20托耳(Torr)之壓力。 7. 如申請專利範圍第6項所述之方法,更包含於流動該 製程氣體前,於該製程室内產生一電漿。 8. 如申請專利範圍第1項所述之方法,更包含於製程期 間,調整經由該製程室排氣通道移除該氣體之一速率。 9. 如申請專利範圍第1項所述之方法,更包含於製程期 間,調整經由該第二複數孔隙移除氣體之一速率。 10. —種於一製程室中處理一半導體晶圓之方法,該方法 包含下列步驟: 將一半導體晶圓置入該製程室; 僅經由一第一排氣通道排空該製程室;隨後 經由位於一喷氣頭之一表面上之一第一孔隙組引入 至少一製程氣體; 經由該第一排氣通道移除氣體;及 經由位於該喷氣頭之該表面上之複數孔隙移除氣 體。 11. 如申請專利範圍第10項所述之方法,其中經由該第一 排氣通道移除之氣體體積係大於經由該複數孔隙移除之 34 1332997 氣體體積。 12. 如申請專利範圍第10項所述之方法,其中該製程室係 排空至一低於20Torr之壓力。 13. 如申請專利範圍第12項所述之方法,其中於引入該至 少一製程氣體之該步驟前,於該製程室内產生一電漿。 14. 如申請專利範圍第10項所述之方法,其中經由該第一 排氣通道與經由該複數孔隙移除該氣體的步驟實質上係 同時發生。 1 5. —種控制沉積在一半導體晶圓上一薄膜特性之一致性 的方法,該方法包含下列步驟: 放置一晶圓於一製程室中; 經由位於一面板上之一第一複數孔隙引入複數種氣 體至該晶圓; 經由位於該面板之一第二複數孔隙移除該些氣體; 及 橫跨一徑向排氣路徑(radial exhaust path)同步移除 該些氣體,其中在製程期間一橫跨該徑向排氣路徑移除該 些氣體的速率係經調整。 16.如申請專利範圍第15項所述之方法,更包含於流動該 35 1332997 些氣體前,僅橫跨該徑向排氣路徑排空該製程室。 17. 如申請專利範圍第15項所述之方法,更包含於流動該 些氣體前,橫跨該徑向排氣路徑與該第二複數孔隙排空該 製程室。 18. 如申請專利範圍第15項所述之方法,更包含僅經由該 徑向排氣路徑開始移除該些氣體。 19. 如申請專利範圍第15項所述之方法,更包含僅經由該 第二複數孔隙開始移除該些氣體。 2 0.如申請專利範圍第15項所述之方法,其中該製程室係 排空至一低於20Torr之壓力。 21.如申請專利範圍第20項所述之方法,更包含於該製程 室中產生一電漿。 2 2.如申請專利範圍第1 5項所述之方法,其中在製程期間 經由該第二複數孔隙移除該些氣體之一速率係經調整。 36 1332997 ;.jr π
    濉IAS
    1332997
    1332997
    1332997
    1332997 第號專利案竹年/月修正
    1332997 铖3B®
    1332997
    1332997 铖4AM
    1.332997
    部分仰視 注入孔洞 450
    AA線視圖 排氣孔洞 455 第4B圖 1332997
    第4CA圖 1332997 510
    520
    1332997 510
    第6圖 630 1332997 510
    第7圖 630 1332997 510
    520
    630 1332997
    第9A圖 901 9301332997 符年7月/设η J 置入晶圓於沉積室 V—932 經由主要排氣 排空沉積室 經由面板引入 製程氣體 934 1 r 經由第一排氣 移除製程氣體 與反應副產物 936 ^-938 1 r 經由主要排氣移除 經由次要排氣移除 製程氣體與反應副產物 製程氣體與反應副產物 ^-940 停止製程氣體 之流動 ^-942 提高沉積室壓力 944 1Γ V—946 第9B圖 由沉積室移除晶圓 1332997
TW093135727A 2003-11-19 2004-11-19 Gas distribution showerhead featuring exhaust apertures TWI332997B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/717,881 US20050103265A1 (en) 2003-11-19 2003-11-19 Gas distribution showerhead featuring exhaust apertures

Publications (2)

Publication Number Publication Date
TW200526799A TW200526799A (en) 2005-08-16
TWI332997B true TWI332997B (en) 2010-11-11

Family

ID=34574628

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093135727A TWI332997B (en) 2003-11-19 2004-11-19 Gas distribution showerhead featuring exhaust apertures

Country Status (6)

Country Link
US (2) US20050103265A1 (zh)
JP (1) JP5001656B2 (zh)
KR (1) KR101081628B1 (zh)
CN (1) CN101120122B (zh)
TW (1) TWI332997B (zh)
WO (1) WO2005052998A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
JPWO2007018139A1 (ja) * 2005-08-10 2009-02-19 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20070095283A1 (en) * 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US7645483B2 (en) * 2006-01-17 2010-01-12 Eastman Kodak Company Two-dimensional aperture array for vapor deposition
JP4782585B2 (ja) * 2006-02-28 2011-09-28 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び方法
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP4900956B2 (ja) * 2007-06-25 2012-03-21 東京エレクトロン株式会社 ガス供給機構及び基板処理装置
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
KR100888652B1 (ko) * 2007-08-14 2009-03-13 세메스 주식회사 배기 가능한 플라즈마 발생 장치 및 이를 구비하는 상압플라즈마 장치
WO2009031520A1 (ja) * 2007-09-04 2009-03-12 Sharp Kabushiki Kaisha プラズマ処理装置およびプラズマ処理方法ならびに半導体素子
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
JP4956470B2 (ja) * 2007-11-29 2012-06-20 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
US7967912B2 (en) * 2007-11-29 2011-06-28 Nuflare Technology, Inc. Manufacturing apparatus for semiconductor device and manufacturing method for semiconductor device
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8010225B2 (en) * 2008-01-30 2011-08-30 International Business Machines Corporation Method and system of monitoring manufacturing equipment
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP4731580B2 (ja) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 成膜方法および成膜装置
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
KR101470883B1 (ko) * 2008-12-29 2014-12-10 주식회사 케이씨텍 원자층 증착장치
JP5221421B2 (ja) * 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5367522B2 (ja) * 2009-09-24 2013-12-11 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
KR101390462B1 (ko) * 2010-08-04 2014-04-29 아이신세이끼가부시끼가이샤 카본 나노튜브 디바이스, 카본 나노튜브 제조 방법 및 카본 나노튜브 제조 장치
JP5630640B2 (ja) * 2010-08-04 2014-11-26 アイシン精機株式会社 カーボンナノチューブ製造方法およびカーボンナノチューブ製造装置
JP5625598B2 (ja) * 2010-08-04 2014-11-19 アイシン精機株式会社 カーボンナノチューブデバイス
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9194274B2 (en) 2010-08-06 2015-11-24 Avl Test Systems, Inc. Particulate measurement system
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8580044B2 (en) * 2010-08-13 2013-11-12 Samsung Austin Semiconductor, L.P. Apparatus for agitating and evacuating byproduct dust from a semiconductor processing chamber
TWI507561B (zh) * 2010-12-10 2015-11-11 Ind Tech Res Inst 結合進氣和排氣的噴灑頭
GB201021870D0 (en) * 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
US8597429B2 (en) 2011-01-18 2013-12-03 Nuflare Technology, Inc. Manufacturing apparatus and method for semiconductor device
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9982340B2 (en) * 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
JP6119408B2 (ja) * 2013-05-09 2017-04-26 ソニー株式会社 原子層堆積装置
JP5793170B2 (ja) * 2013-09-30 2015-10-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5800952B1 (ja) * 2014-04-24 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US9228260B1 (en) * 2014-07-30 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing chamber, heat treatment apparatus and method for processing wafers
CN104213102B (zh) * 2014-09-01 2016-08-24 沈阳拓荆科技有限公司 腔体气流方向可变结构
JP6327189B2 (ja) * 2015-04-03 2018-05-23 株式会社島津製作所 プロセス処理装置
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
JP6814561B2 (ja) * 2016-07-07 2021-01-20 昭和電工株式会社 ガス配管システム、化学気相成長装置、成膜方法及びSiCエピタキシャルウェハの製造方法
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102292077B1 (ko) 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
KR101939481B1 (ko) * 2017-07-27 2019-01-16 성균관대학교산학협력단 이온빔 식각 장치
US11047050B2 (en) * 2018-10-30 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor tool having controllable ambient environment processing zones
US11600468B2 (en) 2019-02-05 2023-03-07 Applied Materials, Inc. Multi channel splitter spool
KR102204883B1 (ko) 2019-05-09 2021-01-19 세메스 주식회사 기판 처리 장치
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
CN112522682B (zh) * 2020-11-03 2022-05-27 鑫天虹(厦门)科技有限公司 原子层沉积设备与制程方法
CN113471123B (zh) * 2021-07-06 2023-08-25 华海清科股份有限公司 晶圆竖直旋转处理设备及其应用的通风***

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61174388A (ja) * 1985-01-30 1986-08-06 Hitachi Ltd エツチング装置
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
JPH01108930U (zh) * 1988-01-14 1989-07-24
KR0170391B1 (ko) * 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5427625A (en) * 1992-12-18 1995-06-27 Tokyo Electron Kabushiki Kaisha Method for cleaning heat treatment processing apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3535309B2 (ja) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 減圧処理装置
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5789028A (en) * 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
WO1999053117A2 (en) * 1998-04-14 1999-10-21 Cvd Systems, Inc. Film deposition system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
KR100733237B1 (ko) * 1999-10-13 2007-06-27 동경 엘렉트론 주식회사 처리 장치
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP2002100623A (ja) * 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
JP2002184764A (ja) * 2000-12-18 2002-06-28 Hitachi Ltd プラズマ処理装置
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
JP2004035971A (ja) * 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus

Also Published As

Publication number Publication date
US20050103265A1 (en) 2005-05-19
CN101120122B (zh) 2011-02-16
KR101081628B1 (ko) 2011-11-09
WO2005052998A3 (en) 2007-11-01
JP5001656B2 (ja) 2012-08-15
JP2007525021A (ja) 2007-08-30
WO2005052998A9 (en) 2009-05-07
US20060234514A1 (en) 2006-10-19
TW200526799A (en) 2005-08-16
US7452827B2 (en) 2008-11-18
KR20060096460A (ko) 2006-09-11
WO2005052998A2 (en) 2005-06-09
CN101120122A (zh) 2008-02-06

Similar Documents

Publication Publication Date Title
TWI332997B (en) Gas distribution showerhead featuring exhaust apertures
TWI498988B (zh) A gas supply device, a film forming apparatus, and a film forming method
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
JP6379550B2 (ja) 成膜装置
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
KR100770461B1 (ko) 가스 처리 장치 및 성막 장치
KR100574116B1 (ko) 반도체 처리 시스템의 매엽식 처리 장치
US20160340781A1 (en) Deposition apparatus including edge plenum showerhead assembly
WO2007114335A1 (ja) 基板処理装置および基板載置台
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR101935086B1 (ko) 성막 장치
KR20170007132A (ko) 기판 처리 장치
JP6735549B2 (ja) 基板処理装置、基板処理方法及びリング状部材
US20050126484A1 (en) Edge flow faceplate for improvement of CVD film properties
CN111378959A (zh) 成膜装置和成膜处理方法
CN110846636A (zh) 用于处理腔室的涂覆材料
TWI809496B (zh) 高傳導度製程套件
JP2004217956A (ja) 基板処理装置
TW202231916A (zh) 用於反向擴散控制的半導體腔室部件
JP2009173963A (ja) 薄膜形成装置