TWI283272B - Method of processing a substrate - Google Patents

Method of processing a substrate Download PDF

Info

Publication number
TWI283272B
TWI283272B TW92107526A TW92107526A TWI283272B TW I283272 B TWI283272 B TW I283272B TW 92107526 A TW92107526 A TW 92107526A TW 92107526 A TW92107526 A TW 92107526A TW I283272 B TWI283272 B TW I283272B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
conductive material
solution
electrodeless
Prior art date
Application number
TW92107526A
Other languages
Chinese (zh)
Other versions
TW200306360A (en
Inventor
Deenesh Padhi
Joseph Yahalom
Sivakami Ramanathan
Chris R Mcguirk
Srinivas Gandikota
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/117,711 external-priority patent/US6899816B2/en
Priority claimed from US10/117,710 external-priority patent/US20030190426A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200306360A publication Critical patent/TW200306360A/en
Application granted granted Critical
Publication of TWI283272B publication Critical patent/TWI283272B/en

Links

Abstract

Methods and apparatus are provided for forming a metal or metal silicide layer by an electroless deposition technique. In one aspect, a method is provided for processing a substrate including depositing an initiation layer on a substrate surface, cleaning the substrate surface, and depositing a conductive material on the initiation layer by exposing the initiation layer to an electroless solution. The method may further comprise etching the substrate surface with acidic solution and cleaning the substrate of the acidic solution prior to depositing the initiation layer. The initiation layer may be formed by exposing the substrate surface to a noble metal electroless solution or a borane-containing solution. The conductive material may be deposited with a borane-containing reducing agent. The conductive material may be used as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal silicide layer.

Description

12832721283272

(發明說明應敘明:發明所屬之技術領域、先前技術、內容、實施方式及圖式簡單說明) 砍λ翻纖 ,-, S·-、 一、發明所屬之技術領域 本發明係關於一種在半導體基材上沈積、移除、與改 良材料之製造半導體元件的裝置與方法。 二、先前技術 近來極大型積體電路(ULSI)在半導體基材的改善上 指出未來世代的半導體元件需求為.25次微米多層金屬 化。多層内部連接線已成為此項核心技術,其需要平坦化 10 在内部連接線中高高寬比之縫隙,包含接面、層間引洞 (vias)、線路、及其他特徵。可靠的形成這些内部連接線對 於ULSI的成功與持續地增加線路密度及個別基材的品質 扮演非常重要的角色,尤其在尺寸縮減至.13微米。 目前,銅與其合金成為次微米内部連接線技術中金屬 15 的選擇,因為銅比鋁的電阻低(銅為1.7μΩπηι鋁為 3.ΙμΩ-cm),並有高的電流承載容量與有效的高電子轉移阻 力。這些特徵對支持高電流密度於高階整合與增加元件速 度相當重要。此外,銅擁有相當好的熱傳導係數且其高純 態易於獲得。 20 電鍍是一種已被使用來填充高高寬比特徵於基材上 的製程。電鍍製程傳統上需要一薄的電傳導種晶層(seed layer)沈積於基材上。電鍍是由種晶層通電流加上基材浸於 含有金屬離子的電解溶液中來完成的,此時該金屬離子將 會被鍍在種晶層的上方。 !283272 無電極沈積是另一種沈積導電材料的程序。雖然無電 極式沈積技術已廣泛利用在無導電性印刷電路板上的導電 材料沈積’但並未延伸使用在VLSI與ULSI半導體的内部連 接線上。無電極沈積與自我催化化學沈積程序相關,其反 5 應不需外加電流使電鏟反應發生。傳統上,無電極沈積是 將基材浸泡於溶液中或將溶液喷灑於基材上。 然而’當銅暴露於大氣或在製程機台以外之環境時, 谷易產生氧化銅’故需要一保護層以防止金屬氧化。氧化 金屬會增加金屬層的電阻、造成污染源問題、並降低整個 10 電路的可靠度。 >此外,氧化銅對後續製程會造成不利的影響。例如氧 化物會干擾無電極沈積技術。無電極沈積技術中需要一具 電子轉移能力之表面(即催化)以使導電材料成核於表面, 然而氧化的表面(如於銅種晶層與金屬阻障層上)無法充 15分地在有效之無電極沈積中參與電子轉移。 ·一 一解決方法為沈積一保護層或封裝層於金屬層上以 ;防止氧化金屬形成。鈷與其合金已視為保護銅之適當材 =鈷可用無電極沈積技術沈積在銅上。然而,銅無法充 20 Ϊ地催化或在無電極溶液中驅使材料沈積。—解決方法為 、查鋼基材與與含鐵材料之無電極溶液接觸,藉由電流反應 $驅使材:沈積。然而製程上需要-連續導電表面於基 :面上’這使得一些保護層不適用。另一解決方法藉由 需要夕某1料於銅表面以活化銅表面。然而沈積觸媒材料 而夕道製程步驟或使用觸媒膠化物。觸媒膠化物會附著 1283272 於介電材料造成不期望與過渡的保護材料沈積於基材表 面。非$擇性沈積的保護材料會導致表面污染、導電材料 至介電材料的非必要擴散、甚至因短路與不當線路之元件 失效。 因此,需要一方法與組成物用於無電極沈積導電材料 於次微米特徵之基材表面。 三、發明内容 本毛月之主要目的係在提供一種使用無電極沈積製 10程形成金屬層或石夕化金屬層之方法與組成。一方面提供一 :材力σ工方法’包括研磨基材表面使位在介電材料之第一 導電材料暴露,·沈積-起始層(initiad()n於苐一導電 材料上,γ月洗掉基材表面上之第一無電極溶液;與藉由將 起始層暴露於無電極溶液中以沈積第二導電材料於起始層 15上。、起始層可藉由暴露基材表面於一貴金屬無電極溶液中 形成。第二導電材料可作為保護層、阻障層、種晶層、或 用以形成矽化金屬層。 心方面提供—基材加卫方法,包括研磨基材表面 電材料中之第—導電材料暴露;利用酸性溶液姓 表面;清洗掉基材表面上之酸性溶液;藉由暴露基 材於弟-無電極溶較起始層簡性地沈積在帛 =第清=材表面上之第一無電極溶液;與將起始層暴 路於弟二無電極溶液中以沈積第二導電材料於起始層上。 起始層可藉由暴露基材表面於—貴金屬無電極溶液中形 20 1283272 成。第二導電材料可作為保護層、阻障層、種晶層、或用 以形成矽化金屬層。 另-方面,提供一基材加工方法,包括研磨基材表面 使位在介電材料中之第—導電材料暴露;暴露基材表面於 一含侧還原溶劑中;形成料位置(initiation sites)於暴露 第導電枯料上,與藉由基材表面暴露於含還原劑之益 電極溶液以沈㈣二導㈣料於起始位置上。第二導諸 枓可作為保護層、阻障層、種晶層、或用以形射化金屬 層。 1〇 ‘另—方面,提供—基材加工方法,包括研磨基材表面 使t在,,電材料中之第一導電材料暴露;與藉由基材表面 暴路於3硼還原劑之無電極溶液以沈積第二導電材料於第 一導電材料上。第二導電材料可作為保護層、阻障層、種 晶層、或用以形成矽化金屬層。 15 本發明提供由無電極沈積法沈積導電材料的方法與 衣置;尤積材料為始或其合金,#可作為保言董層、阻障 層、種晶層、或用以形成矽化金屬層。 除在額外定義下,於此所使用之單字或片語均為習知 技藝之慣用語。無電極沈積已廣泛較義為在無外加電流 =If況下於-槽中之催化活性表.面上藉由化學還原將帶電 續子沈積形成一導電材料。 在此所描述之製程設備為適合無電極沈積製程之設 備,其包含EleCtraTM ECp製程平台或LinkTM製程平台,其 由杲國應材公司所供應。例如Electra CuTM ECP平台包括可 1283272 用無電極製程沈積導電材料之整合製程室,如無電極沈積 製程室(EDP cell),其亦由美國應材公司所供應。一般 Electra CuTM ECP平台包括一或多個無電極沈積製程室 (EDP cell)與一或多個前沈積室或後沈積室,如旋轉洗滌乾 5燥室(SRD cel1)、蝕刻室、或回火室。Electra™ ECP製程平 台更詳述於美國6,258,223號專利,此專利可合併做本發明 之延伸參考而非相互矛盾。一 LinkTM製程平台之具體例詳 述於申請中之美國專利序號〇9/6〇3,792建檔於2〇〇〇年6月 26日與另-中請中之美國專利序#』9/89ι,849建檔於細工 Η)年6月25日,此二項專利可合併做本發明之延伸參考而非相 互矛)|。 無電極沈葙贺葙 -方面,在平坦化製程或材料移除製程後,導電材料 15 可沈積於暴露之導電材料上作為保護層。一具體例中,利 用無電極沈積之貴金屬所形成之起始層來沈積保護層。.另 -具體例中,利用含硼烧溶液形成起始層以沈積石朋化全屬 ^-酸性前處理可選擇性地使用於沈積前或形成起始層 月”在金屬化製程中,無電極導電層亦可作為阻障層⑲ 晶層。另-方面,無電極導電層沈積於含矽材料上且回火 :成石夕化金屬層。鈷與其合金為導電材料無電 中沈積組成物的例子。 只衣不王 ^為依據本發明具體例沈積導電層之步驟流程圖。 /㈣入-製程Η)〇中;於步驟m中,將基材暴露於一 I性別清洗製程或蝕刻製程以去除部分基材表面。美材表 20 1283272 面通常均包含介電材料與導電材料。在步驟12〇中,被钮刻 之基材會以洗滌劑清洗,如去離子水。 " 步驟130中,起始層沈積於基材表面上。起始層可利 用無電極沈積責金屬層於暴露之導電材料上,或將暴露之 5導電材料沈浸於含硼烷溶液以形成硼化金屬。起始層選擇 ·' 性地形成於暴露之導電材料上。 - 、於步驟140中,基材表面以洗滌劑清洗去除無電極溶 液或含硼烷溶液。其後於步驟15〇中,以無電極沈積第二導 電材料於起始層上。一般第二導電材料為鈷或其合金,並 · 10選擇性地沈積在暴露之起始層上。於步驟16〇中,基材表面 以超音波或百萬週波超音波清洗。 前清洗組成為酸性溶液如無機酸溶液。一方面,酸性 溶液包含約0·2至5重量百分比的氫氟酸(HF),例如約為〇.5 重罝百分比的氫氟酸。酸性溶液還包含濃度約介於im至 5M的硝酸,例如約丨馗。硝酸溶液亦可選擇地為一硝酸對 水(如去離子水)之比率,例如約介於5··丨至丨間。 &L性溶液亦可由硫酸組成,其濃度約介於〇·5至丨〇體積 隹 百分比間,例如約介於丨至5體積百,分比間;亦可為濃度約 介於5至40體積百分比之35%過氧化氫,例如濃度約2〇體積 ' 20 百分比之35%過氧化氫。 别清洗通常施於基材表面時間約介於5至3〇〇秒間,較 it、力為;I於30至60秒間,流量約介於5〇ml/min至 2000ml/min,較佳約介於 700ml/min 至 900ml/min 包含 750ml/min。清洗溫度約介於15。€至6〇工間,較佳約介於 11 1283272 2〇C至25°c間。亦可選擇性地使用總量約介於120如至 =0^1。的前清洗溶液來處理基材表面。前清洗溶液可在同 么2輊至實施或於其後的沈積製程中實施。一實施例中, 前清洗步驟包含利用以流量每60秒750ml之〇·5重量百分比 5的氫氟酸,施行溫度係介於2(TC至25Χ間。 八在步驟110中之前清洗溶液作用為移除或蝕刻暴露之 ^電材料頂部,如介於1〇A至5〇A間,此部分可能包含由前 製程步驟所帶進之受污染導電材料。例如零星之銅離子會 在Ik後之化學機械研磨或平坦化製程污染介電材料。 10 在步驟12()中洗滌劑(通常為去離子水)將作用於基 材表面以去除殘留之前清洗成分物,如在前清洗製程中被 蝕刻之材料與微粒以及附產物。一般洗滌劑的作用時間為5 至300秒間,較佳介於3〇至6〇秒間;流量則約介於5〇如~比 至2000ml/min間,車父佳約介於7〇〇ml/min至9〇〇ml/min間並 15包含750ml/mm;而製程溫度則介於15°C至80°C間,較佳為 20°C至25。(:間。或者,選擇性地使用總量約介於12〇1^至 200ml的洗滌劑來處理基材表面。洗滌劑可利用喷灑或其 他方式達成,例如在一含清洗溶液的密閉室進行洗滌。一 洗滌劑之較佳實施例為使用去離子水,其流量為75〇ιη卜 2〇作用時間為6 0秒、溫度介於2 〇。c至2 5。C間。 步驟130之具體例為起始層利用無電極沈積貴金屬層 於暴露之導電材料上。貴金屬可選自於鈀、鉑、或以上之 組合。本發明亦可使用其他貴金屬,如金、銀、銥、铑、 銖、釕、锇、或以上之組合。沈積貴金屬之無電極溶液至 12 1283272 少包含一貴金屬鹽與一無機酸。貴金屬鹽可包含氣化雀巴 (PdCl2)、硫酸鈀(PdS〇4)、氣化鈀銨、與以上之組合。無 機酸可包含氣酸(HC1)、硫酸(H2SO4)、氫氟酸(HF)、與以 上之組合。或者無機酸亦可由羧酸取代,如醋酸 5 (CH3COOH),作為形成起始層之無電極溶液。 在無電極溶液中之貴金屬鹽濃度約.介於20ppm至20克 /升,最佳為介在80ppm至300ppm間,實施例中濃度約 120ppm。金屬鹽濃度亦可用體積百分比描述,1%體積百分 比即為40ppm,例如120ppm的貴金屬鹽即為3°/。體積百分 10 比。無機酸提供酸性無電極組成,例如pH值約小於或等於 7。由無電極溶液沈積貴金屬時,發現pH值介於1至3間時 最有效率。亦發現在無電極沈積製程中,酸性溶液對移除 與減少在金屬或介電層表面之氧化物(如金屬氧化物包括 氧化銅)效果佳。 15 一般沈積起始層時,無電極溶液作用在基材表面的時 間約為1至300秒間,較佳為介於5至60秒間;施行溫度則約 介於15°C至80°C間,較佳為介於20°C至25°C間;無電極溶 液提供之流量則約介於50ml/min至2000ml/min間,較佳約 介於700ml/min至900ml/min間並包含750ml/min。或者,亦 2〇 可選擇性地使用無電極溶液之總量約介於120ml至200ml 以沈積無電極層。無電極溶液一般提供沈積厚度約等於或 小於5〇A的貴金屬,較佳約為等於或小於1〇Α。而起始層可 為連續性或非連續性。 13 1283272 一無電極沈積起始層材料的實施例包括體積百分比 約3(120ppm)的氯化鈀與提供pH約為1.5之充分鹽酸加於基 材表面30秒,此時為流量750ml/min而溫度約為25°C。 另一具體例中,步驟130為將暴露之導電材料沈浸於 5 含硼烷溶液以形成起始層。含硼烷之組成可選擇性地在暴 露之導電材料上形成硼化金屬其作為之後無電極沈積製程 之催化位置。 含硼烷之組成包含一硼烷還原劑。適當之硼烷還原劑 包括棚氫化驗金屬、棚院化胺(Alkyl amine boranes)、或以 10 上之組合。實施例中含硼烷還原劑包括硼氫化鈉、二甲基 胺硼k (DMAB)、三曱基胺硼烷、與以上之組合。硼烷還 原劑約含0.25g/L至6g/L之含硼組成,較佳約為2g/L至 4g/L。硼烷組成物更可包含一酸鹼調整劑以提供酸鹼值介 於8至13間。較佳之酸鹼調整劑包括氫氧化鉀、氫氧化鈉、 15 氫氧化銨、氫氧化銨衍生物(如四甲基氫氧化銨)、與以 上之組合。 導電材料暴露在硼烷組成物約介於30至180秒間,較 佳為介於60至120秒間;溫度則介於15 °C至80°C間,較佳為 20°C至25°C間。硼烷組成物傳送至基材之流量約為 2〇 50ml/min 至 2000ml/min 間,較佳為介於 700ml/min 至 900ml/min間並包含750ml/min。或亦可供給蝴烧組成物總 量120ml至200ml,以形成硼化金屬之起始層。 14 1283272 一利用含硼烷組成物形成起始層之實施例包含約 4g/L之DMAB與提供酸鹼值為9之充分氫氧化鈉之組成,其 作用於基材的時間約30秒、流量為750ml/min、溫度為25。0 在步驟140中,洗滌劑(通常為去離子水)作用於基 5 材表面以去除於形成起始層所使用之任何溶液。一般洗務 劑作用於基材表面的時間約介於5至300秒間,較佳為介於 30至60秒間;流量則約為50ml/min至2000ml/min間,較佳 為介於700ml/min至900ml/min間並包含750ml/min ;溫度則 介於15X至80°C間,較佳為20°C至25°C間。或者,可以洗 W 條劑作用於基材表面之總量約介於12〇ml至200ml間。洗滌 劑可^用喷灑或其他方式達成,例如在一含清洗溶液的密 閉室中進行洗滌。一洗滌劑之較佳實施例為使用去離子 水,其流量為750ml、作用時間為60秒、溫度介於20°C至 25T 間。 15 於步驟150中,一金屬層利用無電極製程沈積在起始 層上。一方面,金屬層包括鈷與其合金。鈷合金包括鈷鎢 合金、始構合金、始錫合金、錄侧合金;亦可包括三元素 合金,如鈷鎢磷合金與鈷鎢硼合金。然而本發明還可考慮 使用其他材料包括鎳、錫、鈦、鈕、鎢、鉬、鉑、鐵、鈮、 20 絶、與以上之組合;亦包括其他合金如鎳鈷合金、摻鈷合 金、推鎳合金、或鎳鐵合金。 一具體例中,以無電極沈積金屬層包含至少一金屬鹽 與還原劑。無電極溶液更可包含一添加劑以促進金屬的沈 15 1283272 積。添加劑包括界面活性劑、錯合劑、酸驗調整劑、或以 上之組合。 適合之金屬鹽包括氯化鹽、硫酸鹽、氨基磺酸鹽、與 以上之組合。金屬鹽在無電極溶液中的濃度約介於〇.5g/L 5至3〇g/L間,較佳為間。 在結合金中,鈷鎢合金可藉由加入鎢酸或鎢酸鹽沈積 鶴,鎢酸或鎢酸鹽包括鎢酸鈉、鎢酸銨、與以上之組合。 始鶴碗合金中之磷可藉由含磷還原劑(如次磷酸)形成。 鈷錫合金可藉由添加錫酸鹽形成,其包括硫酸錫、氣酸錫、 10與以上之組合。在無電極溶液中,對於鎢與錫之添加金屬(Description of the invention should be clarified: the technical field, prior art, content, embodiment and drawings of the invention are briefly described.) 砍 翻 翻 , - - - - - 翻 翻 技术 技术 技术 技术 技术 技术 技术 技术 技术 技术 技术 技术 技术 技术 技术 技术Apparatus and method for fabricating semiconductor components on a semiconductor substrate by depositing, removing, and modifying materials. 2. Prior Art Recently, the maximum integrated circuit (ULSI) has pointed out that the semiconductor component of the future generation needs to be .25 times micron multilayer metallization. Multi-layer internal interconnects have become the core technology that requires flattening. 10 High aspect ratio gaps in internal interconnects, including junctions, vias, traces, and other features. The reliable formation of these internal connections plays a very important role in the success of ULSI and the continuous increase in line density and quality of individual substrates, especially in size reductions to .13 microns. At present, copper and its alloys are the choice of metal 15 in the sub-micron internal connection technology because copper has a lower electrical resistance than aluminum (copper is 1.7μΩπηι aluminum is 3.μμΩ-cm) and has a high current carrying capacity and an effective high Electron transfer resistance. These features are important for supporting high current densities for high-level integration and increasing component speed. In addition, copper has a fairly good thermal conductivity and its high purity is readily available. 20 Plating is a process that has been used to fill high aspect ratio features on a substrate. Electroplating processes traditionally require a thin electrically conductive seed layer to be deposited on the substrate. Electroplating is accomplished by passing a current through the seed layer plus the substrate being immersed in an electrolytic solution containing metal ions, at which point the metal ions will be plated over the seed layer. !283272 Electrodeless deposition is another procedure for depositing conductive materials. Although electrodeless deposition techniques have been widely used for the deposition of conductive materials on non-conductive printed circuit boards, they have not been extended to the internal wiring of VLSI and ULSI semiconductors. Electrodeless deposition is associated with a self-catalytic chemical deposition process, which should not require an applied current to cause the shovel reaction to occur. Traditionally, electrodeless deposition involves soaking a substrate in a solution or spraying a solution onto a substrate. However, when copper is exposed to the atmosphere or in an environment other than the processing machine, the valley is prone to copper oxide. Therefore, a protective layer is needed to prevent metal oxidation. Oxidizing metals increase the electrical resistance of the metal layer, cause contamination problems, and reduce the reliability of the entire 10 circuit. > In addition, copper oxide can adversely affect subsequent processes. For example, oxides can interfere with electrodeless deposition techniques. An electrodeless deposition technique requires an electron transfer capable surface (ie, catalysis) to nucleate the conductive material to the surface, whereas the oxidized surface (eg, on the copper seed layer and the metal barrier layer) cannot be charged at 15 points. Participate in electron transfer in efficient electrodeless deposition. One solution is to deposit a protective layer or encapsulation layer on the metal layer to prevent the formation of oxidized metal. Cobalt and its alloys have been considered suitable for protecting copper. Cobalt can be deposited on copper by electrodeless deposition techniques. However, copper does not catalyze or drive material deposition in an electrodeless solution. - The solution is to inspect the steel substrate and contact the electrodeless solution with the iron-containing material, by means of a current reaction. However, the process requires a continuous conductive surface on the substrate: this makes some protective layers unsuitable. Another solution is to activate the copper surface by needing to cover the copper surface. However, the catalyst material is deposited while the catalyst process is used or a catalyst gel is used. The catalyst cement will adhere to the 1283272 dielectric material causing undesirable and transitional protective materials to deposit on the substrate surface. Non-exclusively deposited protective materials can cause surface contamination, non-essential diffusion of conductive materials to dielectric materials, and even failure of components due to short circuits and improper wiring. Therefore, there is a need for a method and composition for electrodeless deposition of a conductive material on a substrate surface of submicron features. III. SUMMARY OF THE INVENTION The main purpose of this month is to provide a method and composition for forming a metal layer or a Sihua metal layer using electrodeless deposition. On the one hand, a method is provided: the method of grinding the substrate includes: exposing the surface of the substrate to expose the first conductive material located on the dielectric material, and depositing the initial layer (initiad) on the conductive material, γ month wash Dropping the first electrodeless solution on the surface of the substrate; and depositing the second conductive material on the starting layer 15 by exposing the starting layer to the electrodeless solution. The starting layer can be exposed to the surface of the substrate by Formed in a noble metal electrodeless solution. The second conductive material can be used as a protective layer, a barrier layer, a seed layer, or a germanium metal layer. The core provides a substrate curing method, including grinding the surface of the substrate. In the first place—the conductive material is exposed; the surface of the substrate is treated with an acidic solution; the acidic solution on the surface of the substrate is washed away; and the base layer is deposited on the surface of the substrate by the exposure of the electrode-electrode-free solution. a first electrodeless solution on the surface; and blasting the starting layer into the electrodeless electrode solution to deposit a second conductive material on the starting layer. The starting layer can be exposed to the surface of the substrate by using a noble metal electrode The shape of the solution is 20 1283272. The second conductivity The material may be used as a protective layer, a barrier layer, a seed layer, or a layer for forming a deuterated metal. In another aspect, a substrate processing method is provided, comprising: grinding a surface of the substrate to make the first conductive material in the dielectric material Exposing; exposing the surface of the substrate to a side-reducing solvent; forming an initiation site on the exposed conductive material, and exposing the surface of the substrate to the beneficial electrode solution containing the reducing agent to form a (four) diconductance (4) In the initial position, the second conductive layer can be used as a protective layer, a barrier layer, a seed layer, or a shaped metal layer. 1 〇 'other aspects, providing - substrate processing methods, including grinding The surface of the substrate exposes the first conductive material in the electrical material; and the electrodeless solution of the 3 boron reducing agent by the surface of the substrate to deposit a second conductive material on the first conductive material. The conductive material can be used as a protective layer, a barrier layer, a seed layer, or a layer for forming a deuterated metal. 15 The present invention provides a method and a coating for depositing a conductive material by an electrodeless deposition method; #可为保言董层, a barrier layer, a seed layer, or a layer of a metallization. Unless otherwise defined, the words or phrases used herein are the idioms of conventional techniques. Electrodeless deposition has been widely defined as The application of the current to the catalytic activity in the -slot. The surface is deposited by chemical reduction to form a conductive material. The process equipment described herein is an apparatus suitable for an electrodeless deposition process, which includes EleCtraTM. ECp process platform or LinkTM process platform, which is supplied by the company. For example, the Electra CuTM ECP platform includes an integrated process chamber capable of depositing conductive materials by an electrodeless process, such as an electrodeless deposition process chamber (EDP cell). Also supplied by American Applied Materials. The Electra CuTM ECP platform generally includes one or more EDP cells and one or more pre-deposition chambers or post-deposition chambers, such as a rotary wash dry 5 chamber (SRD). Cel1), etching chamber, or tempering chamber. The ElectraTM ECP process platform is described in more detail in U.S. Patent No. 6,258,223, the disclosure of which is incorporated herein by reference. A specific example of a LinkTM process platform is described in the U.S. Patent No. 〇9/6〇3,792, filed on June 26, 2002, and in the US Patent No. 9/89, 849 filed on the fine work Η) on June 25, the two patents can be combined as an extension reference for the present invention rather than mutual spear)|. In the case of a planarization process or a material removal process, the conductive material 15 may be deposited on the exposed conductive material as a protective layer. In one embodiment, the protective layer is deposited using a starting layer formed of a noble metal deposited without electrodes. In another specific example, the boron-containing firing solution is used to form the starting layer to deposit the Sipentine-based acid-pretreatment, which can be selectively used before or during the formation of the initial layer. In the metallization process, The electrode conductive layer can also serve as a barrier layer 19. In another aspect, the electrodeless conductive layer is deposited on the germanium-containing material and tempered: a metal layer is formed into a stone. The cobalt and the alloy thereof are electrically conductive materials and are deposited in an electroless material. An example is a flow chart of a step of depositing a conductive layer according to a specific example of the present invention. / (4) In-process Η) ;; in step m, the substrate is exposed to an I-sex cleaning process or an etching process Part of the surface of the substrate is removed. The surface of the material table 20 1283272 usually comprises a dielectric material and a conductive material. In step 12, the substrate to be engraved is cleaned with detergent, such as deionized water. The starting layer is deposited on the surface of the substrate. The starting layer may be deposited on the exposed conductive material by electrodeless deposition, or the exposed 5 conductive material may be immersed in the borane-containing solution to form a boride metal. Layer selection · 'sexually formed in exposure On the conductive material. - In step 140, the surface of the substrate is cleaned with detergent to remove the electrodeless solution or the borane-containing solution. Thereafter, in step 15, the second conductive material is deposited on the starting layer without electrodes. Typically, the second conductive material is cobalt or an alloy thereof, and 10 is selectively deposited on the exposed starting layer. In step 16, the surface of the substrate is ultrasonically cleaned by ultrasonic or million-cycle ultrasonic. An acidic solution such as a mineral acid solution. In one aspect, the acidic solution comprises from about 0.2 to about 5 weight percent hydrofluoric acid (HF), for example, hydrofluoric acid having a percentage of about 0.5 weight percent. The acidic solution also contains a concentration of about Nitric acid between im and 5M, such as about 丨馗. The nitric acid solution may alternatively be a ratio of nitric acid to water (such as deionized water), for example, between about 5% and 丨. &L solution It may also be composed of sulfuric acid, the concentration of which is between 〇·5 and 丨〇 volume 隹%, for example, between about 体积 and 5 vol., and the ratio may be between about 5 and 40% by volume. Hydrogen peroxide, for example, a concentration of about 2 〇 volume '35% of 35% Hydrogen peroxide. Do not clean the surface of the substrate usually for about 5 to 3 seconds, compared to it, force; I between 30 and 60 seconds, the flow rate is about 5〇ml/min to 2000ml/min, Preferably, it is between about 700 ml/min and 900 ml/min, and contains 750 ml/min. The cleaning temperature is about 15 to 6 〇, preferably about 11 1283272 2 〇 to 25 ° C. The surface of the substrate is treated with a pre-cleaning solution having a total amount of about 120 to =0. 1. The pre-cleaning solution can be carried out in the same or after the deposition process. The pre-cleaning step comprises using hydrofluoric acid at a flow rate of 750 ml per 5 seconds of 5% by weight of 5, and the application temperature is between 2 (TC and 25 Torr). Eight prior to step 110, the cleaning solution acts to remove or etch the exposed top of the electrical material, such as between 1 〇A and 5 〇A, which may contain contaminated conductive material carried by the previous process steps. For example, sporadic copper ions can contaminate dielectric materials after chemical mechanical polishing or planarization processes after Ik. 10 In step 12(), the detergent (usually deionized water) will act on the surface of the substrate to remove residual components, such as materials and particulates and accompanying products that were etched during the pre-cleaning process. Generally, the detergent has a working time of 5 to 300 seconds, preferably between 3 and 6 seconds; the flow rate is about 5, such as ~ to 2000 ml/min, and the car is about 7 〇〇 ml/min. Between 9 〇〇 ml/min and 15 contains 750 ml/mm; and the process temperature is between 15 ° C and 80 ° C, preferably 20 ° C to 25. Alternatively, or alternatively, a detergent having a total amount of about 12 〇 1 ^ to 200 ml may be selectively used to treat the surface of the substrate. The detergent may be sprayed or otherwise achieved, for example, in a closed chamber containing a cleaning solution. The washing is carried out. A preferred embodiment of the detergent is deionized water having a flow rate of 75 〇 η 2 2 〇 〇 6 6 6 6 6 、 、 、 、 、 、 、 、 、 、 、 步骤 步骤 步骤 步骤 步骤 步骤 步骤Specifically, the starting layer utilizes an electrodeless deposition of a precious metal layer on the exposed conductive material. The noble metal may be selected from palladium, platinum, or a combination thereof. Other noble metals such as gold, silver, ruthenium, osmium may also be used in the present invention. a combination of ruthenium, osmium, iridium, or the like. The electrodeless solution for depositing a noble metal to 12 1283272 contains less a precious metal salt and a mineral acid. The noble metal salt may comprise gasified queph (PdCl2), palladium sulfate (PdS〇4), Gasified palladium ammonium, in combination with the above. The inorganic acid may comprise gaseous acid (HC1), sulfuric acid (H2SO4), hydrofluoric acid (HF), or a combination thereof, or the inorganic acid may be substituted by a carboxylic acid, such as acetic acid 5 ( CH3COOH) as an electrodeless solution forming the starting layer The concentration of the noble metal salt in the electrodeless solution is about 20 ppm to 20 g/liter, preferably between 80 ppm and 300 ppm, and the concentration in the embodiment is about 120 ppm. The metal salt concentration can also be described by volume percentage, and 1% by volume is 40 ppm, for example 120 ppm of precious metal salt is 3 ° / volume percent 10 ratio. The inorganic acid provides an acidic electrodeless composition, such as a pH of about less than or equal to 7. When depositing precious metals from an electrodeless solution, the pH is found to be between It is most efficient between 1 and 3. It is also found that in the electrodeless deposition process, the acidic solution is effective for removing and reducing oxides on the surface of the metal or dielectric layer (such as metal oxides including copper oxide). In the initial layer, the electrodeless solution acts on the surface of the substrate for about 1 to 300 seconds, preferably between 5 and 60 seconds; and the application temperature is between about 15 ° C and 80 ° C, preferably Between 20 ° C and 25 ° C; the flow rate provided by the electrodeless solution is between about 50 ml / min and 2000 ml / min, preferably between about 700 ml / min and 900 ml / min and contains 750 ml / min. , also 2 〇 can selectively use the total of the electrodeless solution An electrodeless layer is deposited between about 120 ml and 200 ml. The electrodeless solution generally provides a noble metal having a thickness of about 5 Å or less, preferably about 1 Å or less, and the starting layer may be continuous or non-ferrous. Continuity. 13 1283272 An example of an electrodeless deposition starting layer material comprises palladium chloride in a volume percentage of about 3 (120 ppm) and sufficient hydrochloric acid having a pH of about 1.5 applied to the surface of the substrate for 30 seconds at a flow rate of 750 ml. /min and the temperature is about 25 ° C. In another embodiment, step 130 is to immerse the exposed conductive material in a 5 borane-containing solution to form a starting layer. The borane-containing composition selectively forms a boride metal on the exposed conductive material as a catalytic site for the subsequent electrodeless deposition process. The borane-containing composition comprises a borane reducing agent. Suitable borane reducing agents include hydride hydrogenation metals, Alkyl amine boranes, or combinations thereof. The borane-containing reducing agent in the examples includes sodium borohydride, dimethylamine boron k (DMAB), tridecylamine borane, and combinations thereof. The borane reducing agent contains a boron-containing composition of about 0.25 g/L to 6 g/L, preferably about 2 g/L to 4 g/L. The borane composition may further comprise an acid-base modifier to provide a pH between 8 and 13. Preferred acid-base regulators include potassium hydroxide, sodium hydroxide, 15 ammonium hydroxide, ammonium hydroxide derivatives (e.g., tetramethylammonium hydroxide), in combination with the above. The conductive material is exposed to the borane composition for between about 30 and 180 seconds, preferably between 60 and 120 seconds; and the temperature is between 15 and 80 ° C, preferably between 20 and 25 ° C. . The flow rate of the borane composition to the substrate is between about 2 〇 50 ml/min and 2000 ml/min, preferably between 700 ml/min and 900 ml/min and contains 750 ml/min. Alternatively, the total amount of the composition may be supplied from 120 ml to 200 ml to form a starting layer of a boride metal. 14 1283272 An example of forming a starting layer using a borane-containing composition comprises a composition of about 4 g/L of DMAB and a sufficient amount of sodium hydroxide having a pH of about 9, which acts on the substrate for about 30 seconds, at a flow rate At 750 ml/min, the temperature is 25.0. In step 140, a detergent (usually deionized water) is applied to the surface of the base 5 to remove any solution used to form the starting layer. Generally, the cleaning agent acts on the surface of the substrate for about 5 to 300 seconds, preferably between 30 and 60 seconds, and the flow rate is between about 50 ml/min and 2000 ml/min, preferably between 700 ml/min. It is between 900 ml/min and contains 750 ml/min; the temperature is between 15X and 80 °C, preferably between 20 °C and 25 °C. Alternatively, the total amount of the stripping agent applied to the surface of the substrate is between about 12 〇 ml and 200 ml. The detergent can be sprayed or otherwise achieved, for example, in a closed chamber containing a cleaning solution. A preferred embodiment of a detergent is deionized water having a flow rate of 750 ml, an action time of 60 seconds, and a temperature between 20 ° C and 25 T. 15 In step 150, a metal layer is deposited on the starting layer using an electrodeless process. In one aspect, the metal layer comprises cobalt and its alloy. The cobalt alloy includes a cobalt-tungsten alloy, a starting alloy, a tin-tin alloy, and a recorded alloy; and may also include a three-element alloy such as a cobalt-tungsten-phosphorus alloy and a cobalt-tungsten-boron alloy. However, the present invention may also consider the use of other materials including nickel, tin, titanium, button, tungsten, molybdenum, platinum, iron, ruthenium, 20, and combinations thereof; other alloys such as nickel-cobalt alloy, cobalt-doped alloy, push Nickel alloy, or nickel-iron alloy. In one embodiment, the electrodeposited metal layer comprises at least one metal salt and a reducing agent. The electrodeless solution may further comprise an additive to promote the sinking of the metal 15 1283272. Additives include surfactants, complexing agents, acidity adjusting agents, or combinations thereof. Suitable metal salts include chlorides, sulfates, sulfamate, and combinations thereof. The concentration of the metal salt in the electrodeless solution is between about 0.5 g/L 5 and 3 g/L, preferably between. In the combination of gold, the cobalt-tungsten alloy may be deposited by adding tungstic acid or tungstate, and the tungstic acid or tungstate includes sodium tungstate, ammonium tungstate, in combination with the above. Phosphorus in the starting bowl alloy can be formed by a phosphorus-containing reducing agent such as hypophosphorous acid. The cobalt-tin alloy can be formed by the addition of a stannate comprising tin sulfate, tin sulphate, 10 in combination with the above. Additive metal for tungsten and tin in an electrodeless solution

鹽的/辰度約介於〇.5g/L至30g/L間,較佳為2.5g/L至25g/L 間。 適當之還原劑包括次磷酸鈉鹽、聯胺、甲醛、與以上 之組合。還原劑還可包括含硼烷還原劑,如:二甲基胺硼 I5烷(DMAB)與硼氳化鈉。還原劑在無電極溶液中的濃度約 介於lg/L至30g/L間。實施例中次磷酸鹽在無電極溶液中加 入之濃度約為I5g/L至30g/;L間。 添加劑包括界面活性劑(如RE61〇)、錯合劑包含羧酸 鹽類(如檸檬酸鈉與琥珀酸鈉)、酸鹼調整劑包括氫氧化 20鈉與氫氧化鉀、或以上之組合。添加劑用以控制無電極溶 液之沈積品質。例如,在沈積基材表面時,當錯合劑限制 無電極溶液中之有效離子時,安定劑抑制不需要之副反 應。在無電極溶液中,添加劑的濃度約在〇 〇_至 間’較佳為介於㈣抑至够間。—實施例中,添加劑為 16 1283272 界面活性劑RE610,其在無電極溶液中的濃度約為介於 0.01g/L至5g/L間。在無電極溶液中,安定劑(如:硫脲、 甘醇酸)的濃度約為等於或少於重量百分比1,如重量百分 比 0.01。 5 形成金屬層包括於基材表面作用一金屬無電極溶液 約介於30至180秒間,較佳為介於60至120秒間,其溫度約 介於60°C至90°C間,較佳為介於70°C至80°C間。無電極溶 液一般提供之流量約介於50ml/min至2000ml/min間,較佳 為介於700ml/min至900ml/min間並包含750ml/min。或者, 10 利用總量約介於120ml至200ml之無電極溶液以沈積無電 極層。無電極溶液所提供之金屬層沈積厚度約等於或小於 500A,較佳為介於300A至400A間。 一形成鈷金屬層之實施例,包含約20g/L之硫酸鈷、 50g/L之檸檬酸鈉、20g/L之次磷酸鈉鹽、與介面活性劑氫 15 氧化鉀之無電極溶液j以提供酸鹼值介於9至11之組成。其 作用於基材的時間約120秒、流量為750ml/min、溫度設定 為80°C。一鈷鎢金屬層可藉由再添加10g/L之鎢酸鈉獲得。 另一種金屬沈積製程之具體例中,金屬材料是利用包 含至少一金屬鹽與含硼烷還原劑之無電極溶液沈積形成。 2〇 一適當之金屬鹽包括硫酸與鹽酸之金屬鹽包括氯化鹽、硫 酸鹽、氨基磺酸鹽、與以上之組合。實施例中使用之金屬 鹽為氯化錄。金屬鹽在無電極溶液中的濃度約介於0.5 g/L 至30g/L間,較佳為2.5g/L至25g/L間。 17 1283272 在始合金中,始鎢合金可藉由加入鎢酸或鎢酸鹽沈積 鎢,鎢酸鹽包括鎢酸鈉、鎢酸銨、與以上之組合。鈷鎢磷 合金中之磷可藉由含磷還原劑(如次磷酸)形成。鈷錫合 金可藉由添加錫酸鹽形成,其包括硫酸錫、氣酸錫、與以 5上之組合。在無電極溶液中,對於鎢與錫之添加金屬鹽的 濃度約介於0.5g/L至30g/L間,較佳為25g/L至25g/L間。 適當之含硼烷還原劑包含硼氫化鹼金屬、硼烷化胺 (Alkyl amine boranes)、或以上之組合,例如硼氫化鈉、二 曱基胺硼烷(DMAB)、三甲基胺硼烷、與以上之組合。硼 10烷還原劑約含〇.25g/L至6g/L之含硼組成,實施例中約為含 2g/Lk4g/L。含硼烷還原劑可形成鈷硼合金,如鈷 鎢棚合 金和其他含錄删之合金。 添加劑包括界面活性劑(如RE61〇)、錯合劑包含羧酸The salt/density is between about 55g/L and 30g/L, preferably between 2.5g/L and 25g/L. Suitable reducing agents include sodium hypophosphite, hydrazine, formaldehyde, in combination with the above. The reducing agent may also include a borane-containing reducing agent such as dimethylamine boron I5 alkane (DMAB) and sodium borohydride. The concentration of the reducing agent in the electrodeless solution is between about lg/L and 30 g/L. In the examples, the concentration of hypophosphite added to the electrodeless solution is from about 1 g/L to about 30 g/L. The additive includes a surfactant (e.g., RE61®), the binder includes a carboxylate (e.g., sodium citrate and sodium succinate), and the acid-base regulator includes sodium hydroxide 20 and potassium hydroxide, or a combination thereof. Additives are used to control the deposition quality of the electrodeless solution. For example, when depositing the surface of the substrate, the stabilizer inhibits unwanted side reactions when the complexing agent limits the effective ions in the electrodeless solution. In the electrodeless solution, the concentration of the additive is preferably in the range of 〇 至 to ~, preferably between (four) and sufficiency. - In the examples, the additive is 16 1283272 surfactant RE610 having a concentration in the electrodeless solution of between about 0.01 g/L and 5 g/L. In the electrodeless solution, the concentration of the stabilizer (e.g., thiourea, glycolic acid) is about equal to or less than 1 weight percent, such as 0.01 weight percent. 5 forming a metal layer comprising applying a metal electrodeless solution to the surface of the substrate for between about 30 and 180 seconds, preferably between 60 and 120 seconds, and the temperature is between about 60 and 90 ° C, preferably Between 70 ° C and 80 ° C. Electrode-free solutions generally provide flow rates between about 50 ml/min and 2000 ml/min, preferably between 700 ml/min and 900 ml/min and contain 750 ml/min. Alternatively, 10 an electrodeless solution having a total amount of about 120 ml to 200 ml is used to deposit an electrodeless layer. The electrode layer provided by the electrodeless solution has a deposition thickness of about 500 A or less, preferably between 300 A and 400 A. An embodiment for forming a cobalt metal layer comprising about 20 g/L of cobalt sulfate, 50 g/L of sodium citrate, 20 g/L of sodium hypophosphite, and an electrodeless solution j of a surfactant hydrogen 15 potassium oxide to provide The pH value is between 9 and 11. The time for the substrate was about 120 seconds, the flow rate was 750 ml/min, and the temperature was set to 80 °C. A cobalt-tungsten metal layer can be obtained by further adding 10 g/L of sodium tungstate. In another embodiment of the metal deposition process, the metal material is formed by electrodeposition solution deposition comprising at least one metal salt and a borane-containing reducing agent. A suitable metal salt includes a metal salt of sulfuric acid and hydrochloric acid, including a chloride salt, a sulfate salt, a sulfamate salt, and combinations thereof. The metal salt used in the examples is chlorinated. The concentration of the metal salt in the electrodeless solution is between about 0.5 g/L and 30 g/L, preferably between 2.5 g/L and 25 g/L. 17 1283272 In the initial alloy, the tungsten alloy may be deposited by adding tungstic acid or tungstate, and the tungstate includes sodium tungstate, ammonium tungstate, in combination with the above. The phosphorus in the cobalt-tungsten-phosphorus alloy can be formed by a phosphorus-containing reducing agent such as hypophosphorous acid. The cobalt tin alloy can be formed by adding a stannate which includes tin sulphate, tin sulphate, and a combination thereof. In the electrodeless solution, the concentration of the added metal salt for tungsten and tin is between about 0.5 g/L and 30 g/L, preferably between 25 g/L and 25 g/L. Suitable borane-containing reducing agents comprise alkali metal borohydride, Alkyl amine boranes, or combinations of the foregoing, such as sodium borohydride, didecylamine borane (DMAB), trimethylamine borane, Combined with the above. The boron 10 alkane reducing agent contains a boron-containing composition of about 25 g/L to 6 g/L, and in the examples, it contains about 2 g/L k4 g/L. The borane-containing reducing agent forms a cobalt-boron alloy, such as a cobalt-tungsten alloy and other alloys. Additives include surfactants (such as RE61〇), and the wrong agent contains carboxylic acid

对J限制無電極溶液中之有效離子時,安定劑抑 制不需要之副反應。 在無電極溶液中,添加劑的濃度約在O.Olg/L至50g/L 間,較佳為介於〇.〇5g/L至4g/L間。 。一實施例中,添加劑為When J is limited to the effective ions in the electrodeless solution, the stabilizer inhibits unwanted side reactions. In the electrodeless solution, the concentration of the additive is between about 0.05g/L and 50g/L, preferably between 〇.5g/L and 4g/L. . In one embodiment, the additive is

T在添加一酸鹼調整劑以提供酸鹼 一適當之酸鹼調整劑包括氫氧化鉀 18 1283272 (KOH)、氫氧化鈉、氫氧化銨、氫氧化錄衍生物(如四曱 基氫氧化錄)、與以上之組合。 形成金屬層包括提供一上述之無電極溶液於基材表 面,時間約介於30至180秒間,較佳為介於60至120秒間; 5 溫度則介於60°C至90°C間,較佳為70°C至80°C間。無電極 溶液傳送至基材之流量約為50ml/min至2000ml/min間,較 佳為介於700ml/min至900ml/min間並包含750ml/min。或亦 可供給總量介於120ml至200ml之無電極溶液,以形成無電 極層。無電極溶液所提供之金屬層沈積厚度約等於或小於 10 500人,較佳為介於300A至400人間。 ‘一利用含硼烷還原劑形成無電極鈷金屬層之實施 例,包含约20g/L之硫酸鈷、50g/L之檸檬酸鈉、4g/L之二 曱基胺硼烷、與提供酸鹼值介於10至12之介面活性劑氫氧 化鉀之組成。其作用於基材的時間約120秒、流量為 15 750ml/min、溫度設定為80°C。一鈷鎢硼層可藉由再添加 10g/L之鎢酸鈉獲得。 在金屬無電極沈積製程中,含硼烷還原劑可將無電極 層直接沈積在暴露之導電材料上而無須起始層。當起始層 先沈積於基材表面再沈積金屬層時,通常需要兩個製程室 20 達成。若無電極金屬沈積不需要起始層時,如利用含硼烷 還原劑做無電極金屬沈積,則無電極製程僅需一個製程室。 其後在步驟160中,基材表面利用超音波或百萬週波 超音波清洗。清洗製程中所使用之清洗組成包括稀釋之鹽 酸以提供酸鹼值介於1至3間,與去離子水。清洗組成作用 19 1283272 於基材表面的時間約5至300秒間,溫度則介於^工至川乂 間。 矛J用超曰波或百萬週波超音波能量可提供震動於基 材支撐座與基材表面。一實施例中,超音波能量約介於工〇 5至250瓦間,如介於1〇至1〇〇瓦間。超音波頻率約介於25乂沿 至200kHz間,例如大於4〇kHz因此頻率超過可聽頻率範圍 且3有少篁之***性諧波。若多於一個的超音波波源被使 用則將產生同步多重頻率。超音波能量一般作用時間為3 至600秒間,但亦可依其應用加長所需週期。 10 .馱性,月洗組成與超音波或百萬週波超音波能量作用 -般相信可清洗掉任何自由之錄子、移除任何之氧化始 或副生成物.(如沈積所產生之氫氧化鈷)。該清洗溶液一 般可和除-薄約2〇A之始金屬材料層,亦可移除位在基材 表面與暴霧之導電材料上之任何隨意生長或側向生長之始 金屬材料。隨後,基材將被移至其他製程中,如回火或後 續沈積製程。 20 此外’由無電極溶液沈積材料的方法,無論為起始層 或金屬層’在無電極沈積製程期間還包含施予—偏麼於基 材:構之v電部分(如種晶層),如直流偏壓。—般相信 偏壓可幫助移除形成於觸媒層中之限制氫氣。 起始層與/或金屬層可在介於跳C至wc之溫度-做口火(亦即加熱),較佳為介在卿C至浙C之間。c 火可實行於真空下,例如a力小於lmTorre或者 氣體氣壓下,其包含一弗夕 貫丁 匕3或多種之純氣(如氬氣與氦氣)、 20 1283272 氮氣、氫氣、與以上氣體之混合。一具體例中,回火作用 時間為至少1分鐘。另一具體例中,回火作用時間約為i至 10分鐘。回火可藉由快速熱回火製程達成。一般相信,回 火基材可促進無電極沈積材料對基材表面與暴露之導電材 5料(包括阻卩早層與種晶層)的附著性,亦可移除在無電極 沈積時所產生之氫氣。 四、實施方式 金屬化沈瑭贺麄 10 在此所述之製程具體例係關於以金屬層與矽化金屬 層作為保護層、阻障層、種晶層、與矽化金屬層於特徵孔 内之沈積。以下之具體例僅為了方便說明而舉例而已,本 發明所主張之權利範圍自應以申請專利範圍所述為準,而 非僅限於所述實施例。 15 保護層沈積 如圖2A-2D所示,為在一暴露之特徵孔中將一金屬層 沈積為一保護層。在圖2入中,一基材2〇〇上具有一特徵孔 250。該特徵孔250藉由習知之黃光與蝕刻技術定義並蝕刻 一或多層之介電材料21〇以形成特徵開口 24〇。該一或多層 2〇之介電材料210包括二氧化矽、摻雜磷之矽玻璃(psG)、摻 雜硼磷之矽玻璃(BPSG)、碳化矽、摻雜碳之二氧化矽、以 及低介電常數材料,包括氣石夕玻璃(FSG)、高分子如聚亞醯 銨與含碳氧化矽(如Black Diam〇ndTM,由美國應材公司所 供應)。本發明亦可考慮使用之介電材料21〇還包含半導體 21 1283272 含矽材料,其包含多晶矽、摻雜質之多晶矽、或以上之組 合,其可利用任何方法沈積。 一阻障層220沈積於介電材料上。阻障層220可防止或 抑制其後沈積的材料擴散至下層基材或介電層中。一適當 5之阻障層包括耐熱金屬與耐熱氮化金屬,如鈕(Ta)、氮化 鈕(TaNx)、鈦(Ti)、氮化鈦(TiNx)、鎢(W)、氮化鎢(WNx)、 鈷、鈷合金(如鈷鎢合金、鈷磷合金、鈷錫合金、鈷鎢磷、 録鶴獨、與以上之組合)。阻障層可由化學氣相沈積、物 理氣相沈積、無電極沈積技術、或分子束磊晶形成。阻障 10層亦可為多層薄膜,其由個別或連續之相同或組合之技術 完成,例如氮化鈕沈積於鈕,兩層均利用物理氣相沈積形 成。 特徵開口 240隨後以導電材料230填充。導電材料23〇 包括銅或鎢。導電材料230可經由化學氣相沈積(CVD)、物 15理氣相沈積(pVD)、電化學沈積技術(如電鍍)、與以上 ,技術之組合,例如利用電鍍沈積銅層。或者,一種晶層(未 顯示於圖上)可沈積在導電材料230前,以促進其後導電材 料230之成核作用。 沈積材料於特徵開口 240後,還可包含一基材表面I 20特徵孔250頂部之平坦化製程,如化學機械研磨(cMp)。^ 平坦化製程期間,部分之一或多層介電材料2i〇、阻障層 220、與導電材料23〇將由結構頂部移除留下平坦之表面: 其具有在特徵孔25〇中暴露之導電材料245介於介電材 210間,如圖2A所示。 22 1283272 隨後基材將做洗滌與清洗。一洗滌或清洗製程包含將 基材暴露於-酸性前清洗或钱刻組成中,在洗條前去除至 少一部份之基材表面,即圖2B所示之虛線26〇。前清洗組 成可包含0.5重量百分比之HF,其作用於基材表面約3〇至 5 〇心間,/獄度則介於20 C至25°c間。被蝕刻之基材隨後利 用去離子水洗漪:,以去除在基材表面上之前清洗溶液。 在步驟130中,起始層270隨後沈積在基材表面。在圖 ^中,起始層270利用無電極沈積形成一貴金屬於暴露之 V電金屬層245上。起始層可利用無電極溶液形成,該溶液 1〇包含介於80Ppm至300PPm之氯化鈀(Pdcl2)與充分之鹽酸 (HC1)以提供酸鹼值介於丨至3間。在無電極沈積製程,起始 無電極溶液之酸度一般足以有效移除或還原由基材上之介 電表面或金屬所形成之氧化物,該氧化物包括氧化金屬(如 氧化鋼)。起始無電極溶液作用於基材表面約5至6〇秒間, 15溶液溫度則介於20°C至25°C間,·或在一條件下足以沈積厚 度約等於或小於i〇A之起始層。 或者,利用阻障層與一含硼烷還原劑接觸形成一硼化 金屬層,例如4g/L之DMAB與充分之氫氧化鈉以提供酸鹼 值為9之組成;其作用於基材表面的時間為3〇秒,組成溫度 20為25°、C。隨後基材表面以去離子水洗滌,以去除任何殘留 之無電極溶液或含硼烷組成。 其後,一金屬保護層280 (如鈷或鈷合金)隨即沈積 在起始層270上,如圖2D所示。保護層是由無電極技術利 用包含金屬鹽與還原劑之無電極溶液沈積形成。一形成保 23 1283272 護層之無電極溶液實施例為約介於2.^化至2〇g/L之氯化 鈷與硫酸鈷、約介於l5g/L至3〇g/L之次磷酸鈉鹽、與提供 酸鹼值介於9至11之鹼》二甲基胺硼烷(DMAB)亦可作為還 原劑,其濃度約介於G.25g/L至6g/L間。保護層之無電極溶 5液一般作用於基材表面的時間為5至12〇秒間,溶液溫度為 20°C至 25°C間。 隨後,基材表面利用含鹽酸之溶液清洗,其酸鹼值約 在1至3間、作用時間約為5至3〇〇秒、溶液溫度約介於 至8(TC間。超音波能量作用於清洗組成溶液與/或基材,以 10提尚清洗效果。清洗組成一般情況下可移除約小於2〇人的 保護、層。 ' 阻障層/種晶層沈積 在種晶層或阻障層金屬化製程中藉由無電極沈積製 程將在此描述之。 15 當描述下列之沈積種晶層製成冲,本發明亦考慮藉由 直接暴露基材之介電表面於溶液所形成起始層以無電極沈 積阻障層。起始層形成於介電表面並允許沈積一金屬層(如 鈷)於其上。在暴露之介電表面,形成之起始層可為連續 或非連續。例如鈀可沈積於介電材料上用以沈積鈷阻障 2〇層。若鈷作為阻障層材料,則種晶層可為銅材料。 一金屬化圖示於圖3 A-3D,描述利用無電極製程之一 種晶層沈積。在圖3A中,提供一基材3〇〇,並利用一或多 層之介電材料310形成孔洞32〇於基材300上。該孔洞32〇是 藉由傳統之黃光與蝕刻技術,先沈積並圖樣化光阻材料以 24 1283272 定義一特徵開口於一或多層之介電材料3 10上,其後敍刻介 電材料3 10並定義孔洞320。 一或多層之介電材料310包括包括二氧化矽、摻雜構 之矽玻璃(PSG)、摻雜硼磷之矽玻璃(BPSG)、碳化;ε夕、換 5雜碳之二氧化矽、以及低介電常數材料,包括氟石夕玻璃 (FSG)、高分子如聚亞醯銨與含碳氧化矽(如Black DiamondTM,由美國應材公司所供應)。本發明亦可考虞使 用之介電材料310還包含半導體含矽材料,其包含多晶石夕、 摻雜質之多晶矽、或以上之組合,其可利用任何方法沈積。 10 一阻障層330沈積於孔洞320内與基材表面之介電材 料上,如圖3B所示。阻障層330可防止或抑制其後沈積的 材料擴散至下層基材或介電層中。一適當之阻障層包括耐 熱金屬與耐熱氮化金屬,如鈕(Ta)、氮化鈕(TaNx)、鈦(丁 〇、 氮化鈦(TiNx)、鎢(W)、氮化鎢(WNx)、鈷、鈷合金(如始 I5鎢合金、鈷磷合金、鈷錫合金、鈷鎢磷、鈷鎢硼、與以上 之組合)。阻障層330可由化學氣相沈積、物理氣相沈積、 無電極沈積技術、或分子束磊晶形成。阻障層33〇亦可為多 層薄膜,其由個別或連續之相同或組合之技術完成,例如 氮化鈕沈積於鈕,兩層均利用物理氣相沈積形成。 20 一金屬之種晶·層340藉由無電極沈積製程形成於阻障 = 330上,如圖3C所示。一適當之種晶層材料包括鈷、鈷 合金(如鈷鎢合金、鈷磷合金、鈷錫合金、鈷鎢磷、鈷鎢 硼)與以上之組合。種晶層可藉由一次沈積形成,或先 沈積起始層再大量沈積種晶層材料。 25 1283272 例如:起始層可為一貴金屬沈積,其藉由起始無電極 溶液含80ppm至300ppm之氣化鈀(PdC12)與充分之鹽酸 (HC1)以提供酸鹼值介於1至3間。起始無電極溶液作用於基 材表面約5至60秒間,溶液溫度則介於2〇。€至25乂間;或者 在條件下足以沈積厚度約等於或小於1 〇 A之起始層。 或者,利用阻障層與一含硼烷還原劑接觸形成一硼化 金屬層,例如4g/L之DMAB與充分之氫氧化鈉以提供酸鹼 值為9之組成;其作用於基材表面的時間為儿秒,組成溫度 為25 C。卩通後基材表面以去離子水洗滌,以去除任何殘留 之無電極溶液或含硼烷組成。 八後大畺之種晶層材料(如钻或始合金)沈積於起 始層上。大量之種晶層材料利用無電極技術沈積,其無電 極溶液包含金屬鹽與還原劑。例如無電極溶液可使用 15 2 · 5 g/L至2 0g/L氯化錄或/和硫酸始、介於i 5 g/L至3 〇^次磷 酉夂納鹽、與可提供酸驗值介於之驗。二甲基胺棚烷 (DMAB)可作為還原劑,其濃度約介於…⑽至够間。 …、電極命液叙作用於基材表面時間為5至12〇秒,溶液溫 度介於20°C至25弋間。 隨後,基材表面利用含鹽酸之溶液清洗,其酸鹼值約 門作用時間約為5至300秒、溶液溫度約介於15°c 至80X間。超音波能量作用於清洗組成與/或基材,以提高 ’月洗效果。清洗組成—般情況下可移除約等於或小於川人 的種晶層340。 26 1283272 特徵開口隨後以導電材料350填充。導電材料350可包 含銅或鎢。導電材料350可經由化學氣相沈積(CVD)、物理 氣相沈積(P VD)、電化學沈積技術(如電鍍)、與以上技 術之組合,一填充鎢於鈷之阻障層或種晶層的例子詳細描 5述於申請序號10/〇44,412之美國專利『Barrier FormationT is added with an acid-base regulator to provide an acid-base. A suitable acid-base conditioner includes potassium hydroxide 18 1283272 (KOH), sodium hydroxide, ammonium hydroxide, and hydroxide derivatives (such as tetradecyl hydroxide). ), combined with the above. Forming the metal layer comprises providing an electrodeless solution as described above on the surface of the substrate for a time of between about 30 and 180 seconds, preferably between 60 and 120 seconds; and a temperature of between 60 and 90 degrees C. Good between 70 ° C and 80 ° C. The flow rate of the electrodeless solution to the substrate is between about 50 ml/min and 2000 ml/min, preferably between 700 ml/min and 900 ml/min and contains 750 ml/min. Alternatively, an electrodeless solution in a total amount of from 120 ml to 200 ml may be supplied to form an electrodeless layer. The electrode layer provided by the electrodeless solution has a thickness of about 10 500 or less, preferably between 300 A and 400. 'An example of forming an electrodeless cobalt metal layer using a borane-containing reducing agent, comprising about 20 g/L of cobalt sulfate, 50 g/L of sodium citrate, 4 g/L of dinonylamine borane, and providing an acid-base The composition of the potassium hydroxide, a surfactant of between 10 and 12. The time for the substrate was about 120 seconds, the flow rate was 15 750 ml/min, and the temperature was set to 80 °C. A cobalt-tungsten-boron layer can be obtained by further adding 10 g/L of sodium tungstate. In a metal electrodeless deposition process, a borane-containing reducing agent deposits an electrodeless layer directly onto the exposed conductive material without the need for a starting layer. When the starting layer is first deposited on the surface of the substrate to deposit a metal layer, it is usually necessary to achieve two process chambers 20. If electrodeless metal deposition does not require a starting layer, such as the use of a borane-containing reducing agent for electrodeless metal deposition, the electrodeless process requires only one process chamber. Thereafter, in step 160, the surface of the substrate is cleaned using ultrasonic or million-cycle ultrasonic waves. The cleaning composition used in the cleaning process includes dilute hydrochloric acid to provide a pH between 1 and 3, with deionized water. Cleaning composition 19 1283272 The time on the surface of the substrate is about 5 to 300 seconds, and the temperature is between ^gong and Chuanxiong. Spear J uses super-chopper or million-cycle ultrasonic energy to provide vibration to the substrate support and substrate surface. In one embodiment, the ultrasonic energy is between about 5 and 250 watts, such as between 1 and 1 watt. The ultrasonic frequency is approximately between 25 乂 and 200 kHz, for example greater than 4 〇 kHz so that the frequency exceeds the audible frequency range and 3 has less *** split harmonics. Synchronous multiple frequencies will be generated if more than one ultrasonic source is used. Ultrasonic energy generally lasts between 3 and 600 seconds, but can be extended to the required period depending on its application. 10. 驮, the composition of the monthly wash and the action of ultrasonic or million-cycle ultrasonic energy - it is believed that any free recording can be washed away, any oxidation initiation or by-products are removed. (such as hydroxide produced by deposition cobalt). The cleaning solution can generally be combined with a thin metal layer of about 2 Å A and can also remove any randomly grown or laterally grown starting metal material on the surface of the substrate and the fusible conductive material. The substrate will then be moved to other processes, such as tempering or subsequent deposition processes. 20 In addition, the method of depositing a material from an electrodeless solution, whether it is a starting layer or a metal layer, includes the application of a substrate during the electrodeless deposition process, such as a substrate (the seed layer). Such as DC bias. It is generally believed that the bias voltage can help remove the restricted hydrogen gas formed in the catalyst layer. The starting layer and/or the metal layer may be subjected to a mouth-fire (i.e., heating) at a temperature between C and Wc, preferably between C and C. c Fire can be carried out under vacuum, for example, a force less than lmTorre or gas pressure, which contains 3 or more pure gases (such as argon and helium), 20 1283272 nitrogen, hydrogen, and the above gas Mix of. In one embodiment, the tempering time is at least 1 minute. In another embodiment, the tempering time is about i to 10 minutes. Tempering can be achieved by a rapid thermal tempering process. It is generally believed that the tempered substrate can promote the adhesion of the electrodeless deposition material to the surface of the substrate and the exposed conductive material 5 (including the early layer and the seed layer), and can also be removed during electrodeless deposition. Hydrogen. IV. Embodiments Metallization 瑭 瑭 麄 10 The specific example of the process described herein relates to the deposition of a metal layer and a deuterated metal layer as a protective layer, a barrier layer, a seed layer, and a deuterated metal layer in the feature holes. The following specific examples are only given for convenience of description, and the scope of the claims is intended to be limited to the scope of the claims. 15 Protective Layer Deposition As shown in Figures 2A-2D, a metal layer is deposited as a protective layer in an exposed feature hole. In Figure 2, a substrate 2 has a feature aperture 250 therein. The feature hole 250 defines and etches one or more layers of dielectric material 21 by conventional yellow light and etching techniques to form feature openings 24A. The one or more layers of dielectric material 210 include cerium oxide, phosphorus-doped bismuth glass (psG), borophosphorus-doped bismuth glass (BPSG), tantalum carbide, carbon doped cerium oxide, and low Dielectric constant materials, including gas stone glass (FSG), polymers such as polyammonium ammonium and carbon-containing cerium oxide (such as Black Diam〇ndTM, supplied by American Materials). Dielectric materials 21 which are also contemplated for use in the present invention also comprise a semiconductor 21 1283272 bismuth containing material comprising polycrystalline germanium, doped polysilicon, or a combination thereof, which may be deposited by any method. A barrier layer 220 is deposited over the dielectric material. The barrier layer 220 prevents or inhibits the material deposited thereafter from diffusing into the underlying substrate or dielectric layer. A suitable barrier layer comprises a heat resistant metal and a heat resistant metal nitride such as a button (Ta), a nitride button (TaNx), titanium (Ti), titanium nitride (TiNx), tungsten (W), tungsten nitride ( WNx), cobalt, cobalt alloy (such as cobalt tungsten alloy, cobalt phosphorus alloy, cobalt tin alloy, cobalt tungsten phosphorus, recorded crane alone, combined with the above). The barrier layer may be formed by chemical vapor deposition, physical vapor deposition, electrodeless deposition techniques, or molecular beam epitaxy. The barrier layer 10 can also be a multilayer film which is formed by individual or successive techniques of the same or combination, such as a nitride button deposited on the button, both layers being formed by physical vapor deposition. The feature opening 240 is then filled with a conductive material 230. The conductive material 23A includes copper or tungsten. Conductive material 230 can be deposited via chemical vapor deposition (CVD), physical vapor deposition (pVD), electrochemical deposition techniques (e.g., electroplating), in combination with the above, for example, by electroplating a copper layer. Alternatively, a seed layer (not shown) may be deposited in front of the conductive material 230 to promote nucleation of the subsequent conductive material 230. After the deposition material is applied to the feature opening 240, a planarization process such as chemical mechanical polishing (cMp) of the top surface of the feature surface 250 of the substrate surface I 20 may also be included. During the planarization process, a portion of one or more of the dielectric material 2i, the barrier layer 220, and the conductive material 23〇 will be removed from the top of the structure leaving a flat surface: it has a conductive material exposed in the feature hole 25〇 245 is interposed between dielectric materials 210, as shown in Figure 2A. 22 1283272 The substrate will then be washed and cleaned. A washing or cleaning process involves exposing the substrate to an acid pre-cleaning or engraving composition to remove at least a portion of the substrate surface prior to washing, i.e., the dashed line 26〇 shown in Figure 2B. The pre-cleaning composition may comprise 0.5 weight percent HF acting between about 3 〇 and 5 〇 of the surface of the substrate, or between 20 C and 25 ° c. The etched substrate is then rinsed with deionized water to remove the cleaning solution prior to surface removal. In step 130, the starting layer 270 is subsequently deposited on the surface of the substrate. In Figure ^, the starting layer 270 forms a precious metal on the exposed V-electrode metal layer 245 using electrodeless deposition. The starting layer can be formed using an electrodeless solution comprising palladium chloride (PdCl2) between 80 Ppm and 300 ppm and sufficient hydrochloric acid (HC1) to provide a pH between 丨 and 3. In an electrodeless deposition process, the acidity of the initial electrodeless solution is generally sufficient to effectively remove or reduce the oxide formed by the dielectric surface or metal on the substrate, including oxide metals (e.g., oxidized steel). The initial electrodeless solution acts on the surface of the substrate for about 5 to 6 seconds, the temperature of the 15 solution is between 20 ° C and 25 ° C, or is sufficient to deposit a thickness equal to or less than i 〇 A under one condition. The first layer. Alternatively, the barrier layer is contacted with a borane-containing reducing agent to form a boron metal layer, such as 4 g/L of DMAB and sufficient sodium hydroxide to provide a composition having a pH of 9; The time is 3 sec and the composition temperature is 25 ° C. The surface of the substrate is then washed with deionized water to remove any residual electrodeless solution or borane-containing composition. Thereafter, a metal protective layer 280 (e.g., cobalt or cobalt alloy) is deposited on the starting layer 270 as shown in Figure 2D. The protective layer is formed by electrodeless techniques using an electrodeless solution comprising a metal salt and a reducing agent. An example of an electrodeless solution forming a protective layer of 23 1283272 is a phosphoric acid having a cobalt chloride and cobalt sulfate of about 2 to 2 g/L, and a phosphoric acid of about 15 g/L to 3 g/L. The sodium salt and the dimethylamine borane (DMAB) which provides a base having a pH of from 9 to 11 can also be used as a reducing agent at a concentration of between about G.25 g/L and 6 g/L. The electrodeless solution of the protective layer generally acts on the surface of the substrate for 5 to 12 seconds, and the solution temperature is between 20 ° C and 25 ° C. Subsequently, the surface of the substrate is cleaned with a solution containing hydrochloric acid, having a pH of about 1 to 3, an action time of about 5 to 3 sec seconds, and a solution temperature of about 8 (TC). Ultrasonic energy acts on The composition solution and/or the substrate are cleaned to provide a cleaning effect of 10. The cleaning composition generally removes protective layers of less than about 2 Å. 'The barrier layer/seed layer is deposited in the seed layer or barrier. The electrodeless deposition process will be described herein by an electrodeless deposition process. 15 When describing the deposition of seed layers as described below, the present invention also contemplates the formation of a solution by direct exposure of the dielectric surface of the substrate. The layer is deposited with an electrodeless barrier layer. The starting layer is formed on the dielectric surface and allows a metal layer (such as cobalt) to be deposited thereon. The exposed starting layer may be continuous or discontinuous on the exposed dielectric surface. For example, palladium may be deposited on a dielectric material to deposit a cobalt barrier layer. If cobalt is used as a barrier layer material, the seed layer may be a copper material. A metallization is illustrated in FIG. A layer deposition of an electrodeless process. In Figure 3A, a substrate is provided. And forming a hole 32 on the substrate 300 by using one or more layers of dielectric material 310. The hole 32 is first deposited and patterned by a conventional yellow light and etching technique to define a feature of 24 1283272 Opening on one or more layers of dielectric material 3 10 , followed by dielectric material 3 10 and defining holes 320. One or more layers of dielectric material 310 include germanium dioxide, doped germanium glass (PSG) Boron-phosphorus-doped bismuth glass (BPSG), carbonization; ε, 5 carbon-doped cerium oxide, and low dielectric constant materials, including fluorite glass (FSG), polymers such as polyammonium and Carbon-containing cerium oxide (such as Black DiamondTM, supplied by American Applied Materials Co., Ltd.). The dielectric material 310 used in the present invention may further comprise a semiconductor cerium-containing material comprising polycrystalline spine, doped polycrystalline germanium, Or a combination of the above, which may be deposited by any method. 10 A barrier layer 330 is deposited on the dielectric material in the hole 320 and the surface of the substrate, as shown in Fig. 3B. The barrier layer 330 prevents or inhibits subsequent deposition. The material diffuses into the underlying substrate or dielectric layer. The barrier layer includes a heat resistant metal and a heat resistant metal nitride such as a button (Ta), a nitride button (TaNx), titanium (butadiene, titanium nitride (TiNx), tungsten (W), tungsten nitride (WNx), Cobalt, cobalt alloy (such as the first I5 tungsten alloy, cobalt phosphorus alloy, cobalt tin alloy, cobalt tungsten phosphorus, cobalt tungsten boron, combined with the above). The barrier layer 330 can be chemical vapor deposition, physical vapor deposition, electrodeless Deposition technique, or molecular beam epitaxy. The barrier layer 33 can also be a multilayer film, which is performed by individual or continuous techniques of the same or combination, such as a nitride button deposited on the button, and both layers are deposited by physical vapor deposition. The formation of a metal seed layer 340 is formed on the barrier = 330 by an electrodeless deposition process, as shown in FIG. 3C. A suitable seed layer material includes cobalt, cobalt alloys (e.g., cobalt tungsten alloy, cobalt phosphorus alloy, cobalt tin alloy, cobalt tungsten phosphorus, cobalt tungsten boron) in combination with the above. The seed layer may be formed by one deposition, or a deposition layer may be deposited first and then a plurality of seed layer materials may be deposited. 25 1283272 For example, the starting layer may be a precious metal deposit comprising 80 ppm to 300 ppm of vaporized palladium (PdC12) and sufficient hydrochloric acid (HC1) to provide a pH between 1 and 3 by starting the electrodeless solution. . The initial electrodeless solution acts on the surface of the substrate for about 5 to 60 seconds and the solution temperature is between 2 and 〇. From € to 25 ;; or under conditions sufficient to deposit a starting layer of thickness equal to or less than 1 〇 A. Alternatively, the barrier layer is contacted with a borane-containing reducing agent to form a boron metal layer, such as 4 g/L of DMAB and sufficient sodium hydroxide to provide a composition having a pH of 9; The time is in seconds and the composition temperature is 25 C. The surface of the substrate after washing is washed with deionized water to remove any residual electrodeless solution or borane-containing composition. A seed layer material (such as a drill or a starting alloy) of the eight cockroaches is deposited on the starting layer. A large number of seed layer materials are deposited using electrodeless techniques, and the electrodeless solution contains a metal salt and a reducing agent. For example, an electrodeless solution can be used with 15 2 · 5 g / L to 20 g / L of chloride or / and sulfuric acid, between i 5 g / L to 3 〇 ^ Phosphonium salt, and can provide acid test The value is between the tests. Dimethylamine shed (DMAB) can be used as a reducing agent at a concentration of between about (10) and sufficient. ..., the electrode life on the substrate surface time is 5 to 12 〇 seconds, the solution temperature is between 20 ° C and 25 。. Subsequently, the surface of the substrate is cleaned with a solution containing hydrochloric acid having a pH of about 5 to 300 seconds and a solution temperature of between about 15 ° C and 80 °. Ultrasonic energy acts on the cleaning composition and/or substrate to enhance the monthly wash effect. The cleaning composition - in general, the seed layer 340 which is approximately equal to or less than the Chuan people can be removed. 26 1283272 The feature opening is then filled with a conductive material 350. Conductive material 350 can comprise copper or tungsten. The conductive material 350 may be filled with a barrier layer or a seed layer of tungsten in cobalt via chemical vapor deposition (CVD), physical vapor deposition (P VD), electrochemical deposition techniques (such as electroplating), in combination with the above techniques. The example is described in detail in U.S. Patent No. 10/〇44,412, Barrier Formation.

Using A Novel Sputter Deposition Method』建檔於2002年 1 月9曰,此申請專利可做本發明之延伸參考而非相互矛盾。 沈積材料於孔洞後,還可包含一基材表面與特徵孔頂 部之回火與平坦化製程,如化學機械研磨(CMp)。在平坦 ίο化製程期間,部分之一或多層介電材料3丨〇、阻障層、 種晶層340、與導電材料35〇將由結構頂部移除留下平坦之 表面,其具有暴露之導電材料35〇介於介電材料31〇間。 矽化金屬層之形成 15 20 矽化金屬層可藉由一金屬沈積於含矽材料上,再經回 火金屬及含梦材料形成^化金屬廣泛定義為金屬與石夕之 口玉其存在於多重原子價態。例如錄與石夕可為與 c〇s12兩種狀態存在。形成矽化金屬之回火製程可由一或多 次之回火步驟或與後續之沈積製程同時進行。 屬声與始合金層)描述以形成石夕化金 屬曰】,本务月逛可考慮使用其.他材料 組、鶴、翻、翻、鐵、铌、飽、與以上之组 他合金如祕合金、姑鶴合金 亦包括其 或錄鐵合金。 纟1^鶴合金、摻㈣合金、 27 1283272 一應用矽化金屬之實施例如圖4所示為形成一 MOS元 件。在所舉之MOS結構,導電之N+源極與汲極區域402與 404形成於P型矽基材400中並鄰近於場氧化層406。閘極氧 化層408與多晶矽閘極410形成於矽基材400上方並介於源 5 極與汲極區域402與404間,並有一閘極氧化侧護壁412形成 於多晶矽閘極410之側壁上。 一鈷層沈積於MOS結構上將於其後描述,其位置特別 是在源極與汲極區域402與404之暴露矽表面上,與多晶矽 閘極410所暴露之上部表面上。 10 例如一起始層先沈積在基材表面,特別是在源極與没 極區^或402與404之暴露矽表面上。起始層(未顯示於圖中) 可包括貴金屬,此類貴金屬所形成之石夕化物普遍被使用。 起始層是藉由起始層之無電極溶液沈積得到,該溶液包括 介於80ppm至300ppm之氯化鈀(PdC12)與充分之鹽酸(HC1) 15 以提供酸鹼值介於1至3間。起始層之無電極溶液作用於基 材表面約5至60秒間,溶液溫度則介於20°C至25°C間;或者 在一條件下足以沈積厚度約等於或小於10 A之起始層。 或者,利用矽基材料與一含硼烷還原劑接觸形成一硼 化金屬層,例如4g/L之DMAB與充分之氫氧化鈉以提供酸 2〇 鹼值為9之組成;其作用於基材表面的時間為30秒,組成溫 度為25 °C。隨後基材表面以去離子水洗滌,以去除任何殘 留之無電極溶液或含硼烷組成。 其後’一結或钻合金之金屬層隨即沈積在起始層上。 鈷層是由無電極技術利用包含鈷鹽與還原劑之無電極溶液 1283272 沈積形成。一無電極溶液實施例為約介於2.5g/L至2〇g/L之 氯化鈷與硫酸鈷、約介於15g/L至30g/L之次磷酸鈉鹽、與 提供酸鹼值介於9至11之鹼。二甲基胺硼烷(DMAB)亦可作 為還原劑,其濃度約介於〇.25g/L·至6g/L間。無電極溶液一 5般作用於基材表面的時間為5至12〇秒間,溶液溫度為2〇°c 至25 C間。基材表面在進入下一製程前,可先做清洗。 為了其後與源極與沒極區域4〇2與404之石夕反應,始材. 料沈積厚度約等於或小於1000人。例如在矽材料上,鈷的 沈積厚度約介於50 A至500 A間。 10 •一方面’鈷層隨後以兩段式回火製程形成矽化鈷。例 如兩k式回火製程中,第一階段可將金屬層轉化為第一相 矽化金屬層,如部分或完全轉化鈷與矽成為第一矽化鈷相 (C〇Si);其後在第二階段回火中,轉化金屬層至期望之矽 化相,如轉化第一矽化鈷相(cosi)成為矽化鈷(cosi2)。· 15 一或多段回火一般作用之回火溫度約介於300。(:至 900X間,每一階段之時間約介於i 〇至_秒間。實施例中, 基材加熱之溫度約介於40(rc至600丫間,時間為5至300秒 間,例如溫度為50(rc時間為60至120秒間;隨後,加埶溫 度約介於6咖至_別,時間約介於5至3_、間以形成 2〇矽化金屬層,例如溫度為8〇(rc時間為6〇至12〇秒間。 第一階段回火為在沈積鈷層後馬上實施。第二階段回 火可在沈積後續材料前、後、或期間實施,例如以化學氣 相沈積嫣填充層期間實施。第二階段回火製程一般回火溫 度會大於第一階段回火溫度。 29 1283272 利用兩階段之回火製程形成矽化金屬已詳述於申請 序 5虎09/916,234之美國專利『in-Situ Annealing Process In Physical Vapor Deposition System』建槽於 2001 年 7月 25 曰,與申請序號10/044,412之美國專利『Barrier F0rmation 5 Using A Novel Splitter Deposition Method』建權於2002年 1 月9日,此二申請專利可做本發明之延伸參考而非相互矛 盾。 介電材料422沈積於MOS結構上,並利用蝕刻在元件 上形成一接觸孔420。隨後以化學氣相沈積,在接觸孔42〇 10内填充一接觸材料,如鎢、鋁、或銅。 一方面,在回火製程中任何未反應之鈷利用濕蝕刻製 程或電衆蚀刻製程移除於基材表面,且石夕化始會以均勻厚 度之CoSi2形式414、416、與418分別保留於多晶矽閘極 410、源極與汲極區域4〇2與4〇4之上部表面。未反應之鈷可 15用位在相同真空製嚷系統之DPSTM室的蝕刻製程移除;或 者’傳送至其他製程室實施。濕蝕刻製程一般實行於第二 製程系統中。 相對於石夕化金屬層之選擇性未反應金屬層蝕刻可在 回火後或回火同時進行。額外之材料沈積亦可在回火後或 20回火同時進行,如阻障層或第二金屬層。 阻障層或線層如氮化鈦可沈積於鈷材料上,以強化鈷 層之阻擒效果。沈積氮化鈦層可取代如前所述移除未反應 之鈷步驟。然而,未反應之鈷與鈦會被前面所描述之基材 表面回火後之蝕刻製程移除。 30 1283272 而舉例而已,本發明所 圍所述為準,而非僅限 上述實施例僅係為了方便說明 主張之權利範圍自應以申請專利範 於上述實施例。 五、圖式簡單說明 圖1係本發明沈積導電層之一實施例流程圖。 圖2A-2C粦本發明-沈積製程較佳實施例之剖視圖。 圖3 A -3⑽本發明—沈積製程較佳實施例之剖視圖。 10圖二系本發明一作為與接觸電晶體之石夕化材料較佳實施例 之間化剖視圖。 六、圖號說明 220阻障層 245暴露之導電金 屬層 270起始層Using A Novel Sputter Deposition Method was filed on January 9, 2002. This patent application is an extension of the present invention and is not contradictory. After depositing the material in the hole, it may also include a tempering and planarization process of the surface of the substrate and the top of the feature hole, such as chemical mechanical polishing (CMp). During the flat etch process, a portion of one or more of the dielectric material 3 丨〇, barrier layer, seed layer 340, and conductive material 35 〇 will be removed from the top of the structure leaving a flat surface with exposed conductive material 35〇 is between the dielectric materials 31〇. The formation of a deuterated metal layer 15 20 The deuterated metal layer can be deposited on the niobium-containing material by a metal, and then formed by the tempered metal and the dream-like material. The metal is broadly defined as the metal and the stone of the Yuxi. Valence. For example, recorded and Shi Xi can exist in two states with c〇s12. The tempering process for forming the deuterated metal can be performed by one or more tempering steps or simultaneously with subsequent deposition processes. The description of the sound and the initial alloy layer) to form the Shi Xihua metal 曰], the month of the month may consider using it. His material group, crane, turn, turn, iron, sputum, full, and the above group of alloys such as secret Alloys and alloys also include iron or alloys.纟1^He alloy, doped (tetra) alloy, 27 1283272 An implementation of the application of a bismuth metal, as shown in Fig. 4, forms a MOS element. In the illustrated MOS structure, conductive N+ source and drain regions 402 and 404 are formed in P-type germanium substrate 400 adjacent to field oxide layer 406. A gate oxide layer 408 and a polysilicon gate 410 are formed over the germanium substrate 400 and between the source 5 and drain regions 402 and 404, and a gate oxide side shield 412 is formed on the sidewall of the polysilicon gate 410. The deposition of a cobalt layer on the MOS structure will be described later, particularly on the exposed surface of the source and drain regions 402 and 404, and on the upper surface exposed by the polysilicon gate 410. 10 For example, a starting layer is first deposited on the surface of the substrate, particularly on the exposed surface of the source and the cathode regions or 402 and 404. The starting layer (not shown) may include precious metals, which are commonly used in the formation of such precious metals. The starting layer is obtained by electrodeposition solution deposition of the starting layer, which comprises between 80 ppm and 300 ppm of palladium chloride (PdC12) and sufficient hydrochloric acid (HC1) 15 to provide a pH between 1 and 3. . The electrodeless solution of the starting layer acts on the surface of the substrate for about 5 to 60 seconds, the solution temperature is between 20 ° C and 25 ° C; or under one condition, it is sufficient to deposit a starting layer having a thickness of about 10 A or less. . Alternatively, a bismuth-based material is contacted with a borane-containing reducing agent to form a boronated metal layer, such as 4 g/L of DMAB and sufficient sodium hydroxide to provide a composition having an acid oxime value of 9; The surface time was 30 seconds and the composition temperature was 25 °C. The surface of the substrate is then washed with deionized water to remove any residual electrodeless solution or borane-containing composition. Thereafter, a metal layer of a junction or drill alloy is deposited on the starting layer. The cobalt layer was formed by electrodeless technique using an electrodeless solution 1283272 containing a cobalt salt and a reducing agent. An electrodeless solution example is about 2.5 g/L to 2 〇g/L of cobalt chloride and cobalt sulfate, about 15 g/L to 30 g/L of sodium hypophosphite, and provides a pH value. a base of 9 to 11. Dimethylamine borane (DMAB) can also be used as a reducing agent at a concentration of between about 0.25 g/L and 6 g/L. The electrodeless solution acts on the surface of the substrate for a period of 5 to 12 sec and the solution temperature ranges from 2 〇 ° c to 25 C. The surface of the substrate can be cleaned before entering the next process. In order to subsequently react with the source and the non-polar regions 4〇2 and 404, the thickness of the material deposited is approximately equal to or less than 1000 persons. For example, on tantalum materials, the deposition thickness of cobalt is between about 50 A and 500 A. 10 • On the one hand, the cobalt layer is subsequently formed into a cobalt telluride in a two-stage tempering process. For example, in a two-k tempering process, the first stage can convert the metal layer into a first phase deuterated metal layer, such as partially or completely converting cobalt and antimony into a first cobalt-deposited cobalt phase (C〇Si); In the stage tempering, the metal layer is converted to the desired deuterated phase, such as the conversion of the first cobalt-cobalt phase (cosi) to cobalt telluride (cosi2). · 15 The tempering temperature of one or more tempering is generally about 300. (: to 900X, the time of each stage is between i 〇 and _ sec. In the embodiment, the temperature of the substrate heating is about 40 (rc to 600 ,, and the time is between 5 and 300 seconds, for example, the temperature is 50 (rc time is between 60 and 120 seconds; then, the twisting temperature is about 6 coffee to _ other, the time is about 5 to 3 _, between to form a 2 bismuth metal layer, for example, the temperature is 8 〇 (rc time is The first stage of tempering is carried out immediately after depositing the cobalt layer. The second stage of tempering can be carried out before, after, or during the deposition of subsequent materials, for example during chemical vapor deposition of the ruthenium filling layer. The second stage tempering process generally has a higher tempering temperature than the first stage tempering temperature. 29 1283272 The use of a two-stage tempering process to form a bismuth metal has been described in detail in the application for the 5th 09/916,234 US patent "in-Situ". The Annealing Process In Physical Vapor Deposition System was established on July 25, 2001, and the US patent "Barrier F0rmation 5 Using A Novel Splitter Deposition Method" with the serial number 10/044,412 was established on January 9, 2002. Apply for a patent to do this The extension material is not contradicted. The dielectric material 422 is deposited on the MOS structure, and a contact hole 420 is formed on the element by etching. Then, a contact material is filled in the contact hole 42〇10 by chemical vapor deposition, such as Tungsten, aluminum, or copper. On the one hand, any unreacted cobalt in the tempering process is removed to the surface of the substrate by a wet etching process or a plasma etching process, and the stone is initially formed in a CoSi2 form of uniform thickness 414, 416, and 418 are respectively left on the surface of the polysilicon gate 410, the source and the drain regions 4〇2 and 4〇4. The unreacted cobalt 15 can be used in the etching process of the DPSTM chamber of the same vacuum system. Except; or 'transferred to other process chambers. The wet etch process is generally implemented in the second process system. The selective unreacted metal layer etch relative to the shihua metal layer can be performed after tempering or tempering. The material deposition may also be performed after tempering or 20 tempering, such as a barrier layer or a second metal layer. A barrier layer or a wire layer such as titanium nitride may be deposited on the cobalt material to enhance the resistance of the cobalt layer. Effect. deposition nitridation The titanium layer may be substituted for the unreacted cobalt step as previously described. However, the unreacted cobalt and titanium may be removed by an etching process after the surface of the substrate described above is tempered. 30 1283272 By way of example, the invention The above description is not limited to the above-described embodiments, and the scope of the claims is only for the convenience of the description. The following is a brief description of the drawings. FIG. 1 is one of the deposited conductive layers of the present invention. Example flow chart. 2A-2C are cross-sectional views of a preferred embodiment of the present invention-deposition process. Figure 3A-3(10) is a cross-sectional view of a preferred embodiment of the present invention. Figure 2 is a cross-sectional view of the preferred embodiment of the present invention as a preferred embodiment of the contact ceramic. Six, figure description 220 barrier layer 245 exposed conductive metal layer 270 starting layer

200基材 210介電材料 230導電材料 240特徵開口 250特徵孔 260虛線 280金屬保護層 300基材 310 33〇阻障層 340 400基材 402 406場氧化層 408 412閘極氧化側護414 介電材料 320孔洞 種晶層 350導電材料 源極或汲極區404源極或沒極區 域 域 閘極氧化層 410多晶矽閘極 石夕化鈷 416矽化鈷 31 1283272 壁 418矽化鈷 420接觸孔 422介電材料200 substrate 210 dielectric material 230 conductive material 240 feature opening 250 feature hole 260 dotted line 280 metal protective layer 300 substrate 310 33 〇 barrier layer 340 400 substrate 402 406 field oxide layer 408 412 gate oxidation side shield 414 dielectric Material 320 hole seed layer 350 conductive material source or drain region 404 source or immersion region gate oxide layer 410 polycrystalline 矽 gate 夕西化 cobalt 416 矽 cobalt 31 312832 wall 418 bismuth cobalt 420 contact hole 422 dielectric material

3232

Claims (1)

12832洛 正9 α 本. 爾 1 · 一種加工基材的方法,包括: 研磨一基材表面以暴露屮 導電材料; +路出位在—介電材料中之 沈積一起始層於—基材表面上,1 表面於-具有酸驗值# 厂日*路該基材 成,其中該起始層為連續或麵續^-無電極溶液中形 移除该基材表面之氧化層; 10 之料:無電極溶液,·以及 m導電材料於該起始層上, 起始層於-第二無電極溶液中形成。…μ由暴路该 極溶圍第1項所述之方法,其中該第-無電 W“一貝金屬鹽與一無機酸, 15 免金屬鹽、始金屬Μ、與以上之组堂:::自於 硫酸鹽、氨基續酸鹽、盘以上之έ且:並伽鼠酸鹽、 患辦.. /、以上之組合;且該無機酸選自於 虱馱、&酸、氫氟酸、與以上之組合。 3·如巾請專利範圍第2項所述之料,其中該貴金屬鹽 ^亥無電極溶液之濃度為“卯瓜或為川克/升。 2〇 第 4. 如申請專利範圍第2項所述之方法,其中該第一無電 極溶液之酸鹼值介於1至3間。 5. 如申請專利範圍第i項所述之方法,其中該第一導電 材料為銅’該起始層為—貴金屬選自純、翻、與以上之 組合’且該第二導電材料祕絲合金;纟中該貴金屬選 擇!·生地沈積在該暴露之銅特徵孔巾,係藉由暴露該基材表 33 1283272 ==s、無機酸、與—酸性 包含6」==Γ述…,其㈣材表* 材科且该介電材料上具有複數個孔洞。 :如申請專利範圍第6項所述之方法,其更包含: 沈積一種晶層於該第二導電材n及 沈積一第二導電材料層於該種晶層上。 8, 申請專利範圍第6項所述之方;,其更包含沈積一 第二導電材料層於該第二導電材料上。 、 9. 如申請專利範圍第1項所述之方法,其中該基材表面 上為-導㈣基材枓,其上並形成複數個圖樣孔洞。 U)_如申請專利範圍第9項所述之方法,盆更包含來 成-矽化金屬層,該矽化金屬層係利用—或多次回火製程 使該導電矽基材料與該第二導電材料反應獲得。 15 H.如申請專利範圍第1〇項所述之方法,其更包含沈 積一第三導電材料於該石夕化金屬層上。 U·如申請專利範圍第10項所述之方法,其中該一或 多次回火製程為回火該基材於一介於3〇〇。〇至9〇〇。〇之溫度 以形成該石夕化金屬層。 20 I3.如申請專利範圍第10項所述之方法,其更包含在 任何一或多次回火後,蝕刻該未反應之第二導電材料。 14·如申請專利範圍第丨0項所述之方法,其中在沈積 該第三導電材料前,先沈積一阻障材料層於該第二導電材 料上。 34 Ϊ283272 15.如申請專利範圍第10項所述之方法,其更包含以 二浸泡氫氟酸技術或-電隸刻技術處理該基材表面 去除氧化結構。 16·如申請專利範圍第/項所述之方法,其更包含: 研磨一基材表面以暴露出位在— 導電材料; *電材料中之-第- 利用一酸性溶液蝕刻該基材表面;以及 溶液在沈積該起始層前,清洗掉位在該基材表面之該酸性 10 15 11 ·如申請專利範圍第16項所述之方$ # + 溶液為介於。.2至5重量百分比之編广法’其中該酸性 18· #申請專·圍第咐所述之 义面之_為暴露該基材表面於 /、中该基材 之氫氟酸中、時間為等 ' S百分比介於0.2至5 6〇。(:間。 ;或小於300秒、且溫度在15°C至 35 1283272 陸、(一y、本案指定代表圖爲··圖l 漏画g 該圖為一流程圖故無元件代表符號 柒、本案若有化學式時,請揭示最能顯示發明特徵的化學式:12832洛正9α本. 1 A method of processing a substrate comprising: grinding a surface of a substrate to expose a conductive material; + depositing a layer in the dielectric material to deposit a starting layer on the surface of the substrate Above, 1 surface is - has acid test value #厂日*路 The substrate is formed, wherein the starting layer is continuous or continuous ^--electrode-free solution to remove the oxide layer on the surface of the substrate; : an electrodeless solution, and an electrically conductive material on the starting layer, the starting layer being formed in the second electrodeless solution. The method described in Item 1, wherein the first-electron-free W "one shell metal salt and one inorganic acid, 15 metal salt-free, starting metal ruthenium, and the above group::: From the sulphate, amino acid sulphate, sputum and above: gamma sulphate, sputum.. /, the combination of the above; and the inorganic acid is selected from the group consisting of hydrazine, & acid, hydrofluoric acid, In combination with the above, 3. The material mentioned in the second paragraph of the patent scope, wherein the concentration of the precious metal salt ^hai electrodeless solution is "卯瓜 or 川克/升. 2. The method of claim 2, wherein the first electrodeless solution has a pH of between 1 and 3. 5. The method of claim i, wherein the first conductive material is copper 'the starting layer is - the noble metal is selected from the group consisting of pure, turned, and combinations of the above' and the second conductive material secret silk alloy The choice of the precious metal in the ! · · 生 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 · · · 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积 沉积The material table* is a material with a plurality of holes in the dielectric material. The method of claim 6, further comprising: depositing a seed layer on the second conductive material n and depositing a second conductive material layer on the seed layer. 8. The method of claim 6, wherein the method further comprises depositing a second conductive material layer on the second conductive material. 9. The method of claim 1, wherein the surface of the substrate is a - (four) substrate crucible on which a plurality of pattern holes are formed. U) The method of claim 9, wherein the pot further comprises a layer of a deuterated metal layer, wherein the deuterated metal layer is reacted with the second electrically conductive material by using a plurality of tempering processes obtain. 15 H. The method of claim 1, further comprising depositing a third conductive material on the shihua metal layer. U. The method of claim 10, wherein the one or more tempering processes are tempering the substrate at a distance of three. 〇 to 9〇〇. The temperature of the crucible is formed to form the layer of the metal layer. The method of claim 10, further comprising etching the unreacted second electrically conductive material after any one or more tempering. 14. The method of claim 2, wherein a layer of barrier material is deposited on the second conductive material prior to depositing the third conductive material. The method of claim 10, further comprising treating the surface of the substrate with an immersion hydrofluoric acid technique or an electro-engraving technique to remove the oxidized structure. The method of claim 2, further comprising: grinding a surface of the substrate to expose the conductive material; - in the electrical material - etching the surface of the substrate with an acidic solution; And the solution is cleaned of the acidity on the surface of the substrate before depositing the starting layer. 10 15 11 · The solution of the ## + solution is as described in claim 16 of the patent application. .2 to 5 weight percent of the broad method 'where the acid 18 · #申专· 咐 咐 咐 咐 咐 为 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露 暴露To wait for 'S percentage between 0.2 and 5 6 〇. (: between.; or less than 300 seconds, and the temperature is between 15 ° C and 35 1283272 land, (a y, the representative of the case is shown in Figure l. Figure l is missing a picture g. This picture is a flow chart, no component represents the symbol 柒, If there is a chemical formula in this case, please reveal the chemical formula that best shows the characteristics of the invention:
TW92107526A 2002-04-03 2003-04-02 Method of processing a substrate TWI283272B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/117,711 US6899816B2 (en) 2002-04-03 2002-04-03 Electroless deposition method
US10/117,710 US20030190426A1 (en) 2002-04-03 2002-04-03 Electroless deposition method

Publications (2)

Publication Number Publication Date
TW200306360A TW200306360A (en) 2003-11-16
TWI283272B true TWI283272B (en) 2007-07-01

Family

ID=39428128

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92107526A TWI283272B (en) 2002-04-03 2003-04-02 Method of processing a substrate

Country Status (1)

Country Link
TW (1) TWI283272B (en)

Also Published As

Publication number Publication date
TW200306360A (en) 2003-11-16

Similar Documents

Publication Publication Date Title
TWI289327B (en) Electroless deposition methods
US6899816B2 (en) Electroless deposition method
US20030190426A1 (en) Electroless deposition method
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US6436816B1 (en) Method of electroless plating copper on nitride barrier
US6958547B2 (en) Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs
US7205228B2 (en) Selective metal encapsulation schemes
TW201009107A (en) Selective cobalt deposition on copper surfaces
TW200411750A (en) Method of manufacturing a semiconductor device
JP2004031586A5 (en) Semiconductor device manufacturing method and semiconductor device
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
US20050029662A1 (en) Semiconductor production method
EP1461815B1 (en) Material deposition from a liquefied gas solution
JP2000156406A (en) Semiconductor device and its manufacture
US6875260B2 (en) Copper activator solution and method for semiconductor seed layer enhancement
TWI283272B (en) Method of processing a substrate
TW521325B (en) Seed layer deposition
WO2003085166A2 (en) Electroless deposition methods
US6083834A (en) Zincate catalysis electroless metal deposition for via metal interconnection
TW512185B (en) Method of electroless plating metal lines on nitride barrier
Malik Thin film interconnect processes
JP2007027177A (en) Method of manufacturing semiconductor device
Liu et al. Growth of nano-sized copper seed layer on TiN and TaSiN by new non-toxic electroless plating
JP2002261098A (en) Formation method of copper seed layer in ulsi metallization

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees