TW554418B - Method and device for cleaning chemical vapor deposition apparatus - Google Patents

Method and device for cleaning chemical vapor deposition apparatus Download PDF

Info

Publication number
TW554418B
TW554418B TW091105410A TW91105410A TW554418B TW 554418 B TW554418 B TW 554418B TW 091105410 A TW091105410 A TW 091105410A TW 91105410 A TW91105410 A TW 91105410A TW 554418 B TW554418 B TW 554418B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
cleaning
gas
chemical vapor
vapor deposition
Prior art date
Application number
TW091105410A
Other languages
English (en)
Inventor
Koji Shibata
Naoto Tsuji
Hitoshi Murata
Etsuo Wani
Yoshihide Kosano
Original Assignee
Res Inst Innovative Tech Earth
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Res Inst Innovative Tech Earth filed Critical Res Inst Innovative Tech Earth
Application granted granted Critical
Publication of TW554418B publication Critical patent/TW554418B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

554418 五、發明說明d) 【技術領域】 ^發明係有關在矽晶圓等之半導體用基材 勻而鬲品質之-盞轮切r ς n^ /f 田A成均 化子汽相沉積(CVD(Chemical Vap〇r Dep〇siti〇n))^l之 中,用以去除薄膜形成處理後之反應室内壁等所附 生成物之化學汽相沉積裝置清潔方法及該方法二 汽相沉積裝置之清潔裝置。 用之化學 【背景技術】 以往,二氧化矽(Si 〇2)、氮化矽(Sij4等)等薄膜,係 ,泛使用於薄膜電晶體等之半導體元件、光電變換元件” 等。形成如此之二氧化矽、氮化矽等之薄膜方法主要採用 如下列之3種: (1 )濺鍍法 真空蒸鍍等之物理汽相成膜法 亦即,將固體之薄膜材料依物理方法形成某種原子或 原子團,並使其堆積於被成膜面上以形成薄膜之方法。 (2 )熱化學汽相沉積法 亦即,將氣體之薄膜材料形成高溫,使其產生化學反 應以形成薄膜之方法。 (3 )電漿化學汽相沉積法 亦即,將氣體之薄膜材料電漿化而使其產生化學反應 以形成薄膜之方法。 尤其,由於(3)之電衆CVD法(plasma enhanced chemical vapor deposition)能高效率地形成緻密且均勻 之薄膜之故’而受到廣泛採用。
313499.ptd 第9頁 554418 五、發明說明(2) 該電漿CVD法所用之電漿CVD裝置1〇〇,一般係以如第5 圖所示之方式所構成。 亦即,電漿CVD裝置100之構造,具備有維持在減壓狀 態之反應室1 〇 2,於反應室1 0 2内按一定間隔相隔對向之方 式配置有上部電極104及下部電極1〇6。該上部電極1〇4上 連接有與未圖示之原料氣體源相連接之原料氣體供給路徑 1 0 8,經由上部電極1 〇 4將原料氣體供給於反應室1 〇 2内。 又,反應室102上,於上部電極1〇4近旁,連接有施加 高頻電流之高頻電流施加裝置11 0。另外,反應室1 0 2上, 經由泵1 1 2而連接有供排出廢氣之排氣路徑1 1 4。 如此方式所構成之電漿C VD裝置1 〇 〇中,例如,欲形成 二氧化石夕(Si02)膜時,將甲石圭烧(SiH4)、N20、N2、〇2、Ar 等經由原料氣體供給路徑1 0 8,上部電極1 0 4而導入維持在 例如1 3 0 P a減壓狀態之反應室1 0 2,欲進行氮化石夕($丨仏等) 之成膜時’將甲石圭烧(SiH4)、NH3、N2、〇2、Ar等經由原料 氣體供給路徑108、上部電極104,導入維持在例如i3〇pa 減壓狀態之反應至1 0 2中。此時’經由高頻電流施加裝置 110,而對反應室102内以相對向配置之電極1〇4、ι〇β間, 施加例如1 3 _ 5 6 Μ Η ζ之高頻電力,使產生高頻電場,使電子 在此電場内碰撞於原料氣體之中性分子,形成高頻電聚 後,將原料氣體分解成離子或自由基。然後,利用離子及 自由基的作用,於一邊之電極(下部電極1〇6)上所設置之 石夕晶圓等半導體製品W之表面形成石夕薄膜。 不過,在此種電漿CVD裝置1〇〇中,當進行成膜製程
554418 五、發明說明(3) 時,因反應室1 0 2内之放電,而待成膜之半導體製品W以外 之反應室102之内壁、電極等之表面,亦附著、堆積有 S i 0 2、S i 3N 4等薄膜材料,而形成副生成物。如該副生成物 成長至一定厚度時,會因本身重量或應力而剝離,但該剝 離物在成膜製程當中會成為異物,以致成為微粒子混雜、 ‘ 污染半導體製品之原因,不能製得高品質之薄膜,且成為 半導體電路之斷線或短路之原因,且良品率亦有降低之 虞。 因此,以往,在電漿CVD裝置100中,成膜製程終了之 後,為了要隨時去除如此之副生成物,乃使用例如CF4、C 參 J6、NF3專含氟化合物與視必要而添加之〇2等之清潔氣體, 以去除副生成物。 亦即’使用如此之清潔氣體之以往電漿CVD裝置1 〇 〇之 清潔方法中’如第5圖所示,在成膜製程終了後,使用cf 4、CJe、NF3等含氟化合物所成之清潔氣體,偕同〇2以及/ 或Ar等氣體’取代成膜時之原料氣體,經由原料氣體供給 路徑1 0 8、上部電極丨〇 4,而導入於維持在減壓狀態之反應 室1>〇2内。與成膜時同樣方式,經由高頻施加裝置11〇,而 將高頻電力施加於反應室1 〇 2内相對向配置的電極丨〇 4、
10 6間’使產生鬲頻電場,並使電子在此電場内碰撞清潔 氣體之中性分子,形成高頻電漿後,將清潔氣體分解成離 子或自由然後,由離子及自由基與反應室1 〇2之内 壁、電極等之表面所附著、堆積之Si〇2、si3N4等副生成物 起反應,而使副生成物氣化為SiF4,藉由泵112而與廢氣
313499.ptd 第11頁 554418 五、發明說明(4) 一起經由排氣路徑11 4排出於反應室1 〇 2外。 然而,用作此等清潔氣體之CF4、C2F6、NF3等含氟化合 物,係在大氣中可留存很久之穩定性化合物,且在清潔後 之排氣處理困難,以致有處理成本高昂之問題。另外,地 球溫室效應係數(積分期間1 00年之值)極大,CF 4 : 6 5 0 0, C2F6 : 9200,SF6: 23900,NF3: 8000,而對環境有不良影 響之顧慮。
亦即,經由原料氣體供給路徑1 〇 8、上部電極1 0 4,將 清潔氣體導入於維持在減壓狀態之反應室1 〇 2内,並在電 極104、106間進行電漿化之以往電漿CVD裝置之清潔方 法,即所謂「平行平板型電漿CVD清潔法」中,如第5圖所 示’經由排氣路控1 1 4,而排出於反應室1 〇 2外部之氣體排 出比例較高,現狀而言,對地球溫室效應有不良影響之同 時,解離效率低且清潔效率亦低。 因此,如第6圖所示,提出有使用nf3作為清潔氣體, 而對反應室102外部所設置之遠程電漿產生裝置ι〇1中導入 NF3,並予以電聚化,且將經電漿化之NF3清潔氣體,經由 原料氣體供給路徑108、上部電極104,並導入於維持於減
壓狀態之反應至102’藉以進行反應室ι〇2内之内壁及電極 等之表面的清潔方法。 然而,由於該NF3氣體之毒性高,對環境之影響大, 且成本高之故,反而會招致半導體製品之成本升高。 本發明之目的,係鑑於如上之實際情況,提供一種能 以高效率方式去除在成膜製程時附著、堆積於反應室内
554418 五、發明說明(5) 壁、電極等表面之Si02、Si3N4等副生成物。並且,所排出 之清潔氣體之排出量亦極少,對地球溫室效應等之環境影 響亦極少,並可降低成本之CVD裝置之清潔方法,及該方 法所用之清潔裝置。 【發明之概述】 本發明係為達成前述之課題及目的而開發者,而本發 明之CVD裝置之清潔方法,係於對反應室内供給反應氣體 之同時,在反應室内所配置之基材表面上形成堆積膜之 CVD裝置中, 以前述CVD裝置進行基板之成膜處理後,用遠程電漿 產生裝置使含有含氟化合物之氟系清潔氣體電漿化, 將前述電漿化清潔氣體導入於反應室内,以去除反應 室内所附著之副生成物者。 又,本發明之CVD裝置之清潔裝置,係於對反應室供 給反應氣體之同時,在反應室内所配置之基材表面上形成 堆積膜之電漿CVD裝置中,具備: 以前述電漿CVD裝置進行基板之成膜處理後,用遠程 電漿產生裝置使含有含氟化合物之氟系清潔氣體電漿化之 遠程電漿產生裝置;及 將在前述遠程電漿產生裝置電漿化之清潔氣體導入於 反應室内之清潔氣體導入路徑, 而藉導入於前述反應室内之清潔氣體,去除反應室内 所附著之副生成物者。 由於以如此方式進行成膜處理後,使用遠程電漿產生 m 画 313499.ptd 第13頁 554418 五、發明說明(6) 裝置使含有含氟化合物之氟系清潔氣體電漿化,並將經電 漿化之清潔氣體導入於反應室内,以去除反應室内所附著 之副生成物之故,清潔氣體之分解效率良好,可以高效率 方式去除反應室之内壁、電極等表面所附著、堆積之SiO 2、S i 3N4等副生成物。並且,所排出之清潔氣體之排出量 亦極少,對地球溫室效應等之環境影響亦極少,並可降低 成本。 ,係將前述經電 ,前述清潔氣體 直接導入於反應 又,本發明之CVD裝置之清潔裝置中 漿化之清潔氣體直接導入於反應室内。 又,本發明之CVD裝置之清潔方法中 導入路徑係將前述經電漿化之清潔氣體, 室内。 由於如此之構成,經由遠程電聚產生裝置所電漿化之 清潔氣體不會通過原料供給路徑、上部電極等之故,經電 漿化之清潔氣體不會接觸、碰撞此等構件之壁部。因而, 清潔氣體將與反應室内之内壁、電極等之表面所附著、堆 積之Si02、Si3N4等副生成物起反應,副生成物氣化為SiF4 之效率不會降低。 在此情形中,將前述經電漿化之清潔氣體直接導入於 反應室内時,遠程電漿產生裝置與反應室之間之距離,以 0至2 00 cm較理想。 由於以此方式設定遠程電漿產生裝置與反應室之間之 距離,更可使清潔氣體與反應室之内壁、電極等之表面所 附著、堆積之Si 02、Si 3N4等副生成物起反應,得以防止副 国 313499.ptd 第14頁 554418 五、發明說明(7) 生成物氣化為Si h之效率降低。 化之ϊ潔inr導:裝上之清,方法中.將前述… 導入。 ;反應至内時,係從反應室之側部 又本發明之CVD梦罟+、太、* 、 入路徑係在將前述锃〜電/潔裝置中’前述清潔氣體導 内時,從反應室之側;之清潔氣體直接導入於反應室 由於如此之構赤 y. 部導入之故,電漿仆:f電漿化之清潔氣體從反應室之側 可直接到達反之導入路徑可變短之同時, 電極等之表面所附著:f的部&,而與反應室之内壁、 應,使副生成物氣化為=之,、曜副生成物起反 /5 0 — # 4之效率獲得提昇。 升前述清潔氣體導入流量,較佳為0.1至 由於以此方式設定清 而可與反應室之内i、電 :夂應至:之導入流量, 2、SiA等副生成物起反應表'所'者、、堆積之SiO 獲得提昇。 心 田1生成物氧化為S i F 4之效率 為10P又a至2本〇Γ〇Ρ?,"述清潔氣體之反應室内之壓力較佳 故可: = : =定ΐ:;室内之清潔氣體導入流量, 2、s“N4等副生成物起反庫,專而1表面所附著、堆積之SiO 率獲得提昇。 而使副生成物氣化為“匕之效
554418 五、發明說明(8) 又,本發明之CVD裝置之清潔方法中,係將前述電漿 化之清潔氣體直接導入於反應室内之同時, 經由原料氣體供給路徑,而將前述電漿化清潔氣體導 入於反應室内。 又,本發明之CVD裝置之清潔裝置中,前述清潔氣體 導入路徑具備有: 將前述電漿化之清潔氣體,直接導入於反應室内之第 1清潔氣體導入路徑;及 經由原料氣體供給路徑,將前述電漿化之清潔氣體導 入於反應室内之第2清潔氣體導入路徑。 如此,由於將電漿化之清潔氣體直接導入於反應室内 之同時,經由原料氣體供給路徑而導入於反應室内之故, 可與反應室之内壁、電極等之表面所附著、堆積之Si02、 Si 3N4等副生成物起反應,而使副生成物氣化為Si F4之效率 獲得提昇。 又,本發明之CVD裝置之清潔方法中,前述經電漿化 之清潔氣體,係按選擇性方式切換為直接導入於反應室 内,或經由原料氣體供給路徑而導入於反應室内之方式。 又本發明之CVD裝置之清潔裝置中,具備有按選擇性 方式切換前述第1清潔氣體導入路徑與第2清潔氣體導入路 徑之切換控制裝置。 由於如此之構成,可與反應室之内壁、電極等之表面 所附著、堆積之Si 02、Si 3N4等副生成物起反應,而使副生 成物氣化為Si F4之效率獲得提昇。
國 313499.ptd 第16頁 554418
五、發明說明(9) 又’本發明中,前述含翁人 1至6之全氟代碳類,含有氧之入^ f佳為選自碳原子數 化合物中之至少一種。此時,王氟^妷類以及含有氮之氟 全氟代碳類。 更理想者為碳原子數1至6之 另外,本說明書中 脂肪族、直鏈狀醚類、環狀 代碳類」係指鏈jj
系)、以及分子中,如含氧原%類、不飽和系(包含二稀 功能基類及氟化之碳化合物所之化合物’由與各種 由於將如此之含氟化 良好之清潔氣體之解離效率之;乍為;:
J ' 所附 *、堆積之Si02、Si3iM 地球溫室效應等之環境之影Τ τ <衣兄〜誓亦小,且可降低成本。 I本發明之最佳實施形態】 以下參考圖面就本發明之實施形態(實施例)加以說 •Vg 〇 第1圖係表示用以實施本發明之CVD裝置清潔方法之 口D裝置清潔裝置使用於電漿CVD法之第1實施例概略圖。 如第1圖所示,電漿CVD裝置1 〇之清潔裝置丨丨具備有維 持在減壓狀態(真空狀態)之反應室1 2,並經由反應室1 2之 底壁12c上所形成之排氣路徑16,使用果14而將内部之氣 體排出於外部,而維持在一定之真空狀態(減壓狀態)。 又,反應室1 2内部配置有構成為用以載置例如,於石夕 晶圓等之表面堆積(包含沉積)矽薄膜之基材A之平台之下
3l3499.Ptd
554418
如,於矽晶圓等表面沉積矽薄膜之基材A,並經由未圖示 之驅動機構,而將與上部電極2 〇之間之距離調整為預定之 距離。 然後’經由反應室12之底壁12c所形成之排氣路徑 1 6,並經由泵1 4將内部之氣體排出於外部,而維持於—定 之真空狀態(減壓狀態)例如,10至2 0 0 0Pa之減壓狀態。 於是,從原料氣體供給源2 8,經由原料氣體供給路徑 2 6、上部電極20,而將原料氣體導入於維持於減壓狀態之 反應室1 2内。 此時,從原料氣體供給源2 8所供給之原料氣體而言, 例如,形成二氧化矽(Si02)膜時,供給甲硅烷(SiH4)、N2 Ο、N2、02、Αγ等,欲進行氮化矽(Si3N4等)之成膜時,供給 曱石圭烧(SiHO、NH3、N2、〇2、以及Ar專即可。然而,此原 料氣體,並不侷限於此等’而可因應欲形成之薄膜種類 等,適當變更為例如,作為原料氣體而使用二硅烷(s i 2η6 )、TEOS(四乙氧基石圭炫,Si(OC2H5)4)等’並使用〇2、〇3等 作為偕同氣體。 並且,藉由高頻電源24所產生之高頻電力,使高頻施 加線圈等之高頻電力施加裝置25於上部電極20產生高頻電 場,並在此電場内使電子碰撞於原料氣體之中性分子,形 成高頻電漿,以使原料氣體分解為離子及自由基。然後, 因離子及自由基之作用,而在下部電極18上所設置之碎晶 圓等基材A表面形成矽薄膜。 另外,在如此電漿CVD裝置10中,在成膜製程時,因
313499.ptd 第19頁 554418 五、發明說明(12) 反應室12内之放電,而形成在待成膜之半導體製品A以外 之反應室12之内壁、電極等表面所附著、堆積之Si 02、Si 3N 4等薄膜材料之副生成物。如此副生成物成長到一定厚度 時,即因本身重量、應力等而剝離、飛散,而此等在成膜 製程時成為異物,以致成為微粒子對半導體製品混雜、污 染之原因,不能施行高品質之薄膜製造,以致成為半導體 電路斷線或短路之原因,且良品率亦會降低之可能性。 因此,於本發明之電漿CVD裝置1 0之清潔裝置1 1中, 係使用遠程電漿產生裝置3 0,而使含有含氟化合物之氟系 清潔氣體電漿化,並經由連接配管3 2,而導入於維持在減 壓狀態之反應室1 2内。 並且,在此遠程電漿產生裝置30中形成高頻電漿,以 使清潔氣體分解為離子及自由基,而該離子及自由基將與 反應室12之内壁、電極等表面所附著、堆積之Si02、Si 4 等副生成物起反應,而使副生成物氣化為S i F 4後,使用泵 1 4與排氣一起經由排氣路徑1 6而排出於反應室1 2外部。 此時,可被遠程電漿產生裝置30電漿化之含有含氟化 合物之氟系清潔氣體可例舉: CF4、C2F6、C3F8、C4F1G、(:/12等鏈狀脂肪族系全氟代碳類; C4F8、C5F1Q、(:/12等脂環系全氟代碳類; CF30CF 3、CF3OC2F 5、C2F 5OC2F5等直鏈狀全氟代 _ 類; C3F60、C4F80、C5F1Q0等環狀全氟代醚類; C3F6、C4F8、C5F1Q等不飽和系全氟代碳類; c 4F 6、C 5F 6等二烯系全氟代碳類;
313499.ptd 第20頁 554418 五、發明說明(13) 等碳原子數1至6之全氟代碳類。 又,亦可使用 COF2、PI? 類,FNO、F3N0、FN02等含氮之氣3等含氧之全氟代碳 之氣化合物。 見之鼠化合物’較佳為含氧及氮 在此,此等含氟化合物,兔 m ^ ^ ^ Γ為鼠原子之一部分可被氫 原子所取代之包含至少1個氟眉工々人> 11 机原子之含氟化合物。 此等含氟化合物中,較佳去盔由 ^ ^ ^ 隹者為使用 CF4、C2F6、C3Ffi ’ 更佳者為使用CF4、C2F6。 6 36 此等含氟化合物,可以單猫一锸: 早獨一種或組合複數種使用 足〇 又,/本發明中所使用之含有含氣化合物之清潔氣體, ^不衫響本發明功效之範圍内,可適當混合其他氣體使 用。此種,他氣體可例舉He、Ne、Ar、〇2等。此種其他氣 體之調配量並無限定,而可對應於CVD裝置1〇之反應室12 之内壁等上所附著之副生成物(附著物)之量、厚度、所使 用之含氟化合物之種類、副生成物之組成等而決定之。 以藉由此種氟系化合物所施行之反應室清除(Chamber
Cleaning)之標的化合物而言,有因CVD法等而附著在cvD 至壁或CVD裝置之固定器具等且由碎系化合物而成之附著 物。此種矽系化合物之附著物,可例舉: (1 )由石夕而成之化合物、 (2) 由氧、氮、氟或碳中之至少1種與矽所成之化合 物,或者 (3) 由局融點金屬碎化物所成之化合物專中之至少1
313499.ptd 第21頁 554418
種,更具體而言,可例舉·· Si、Si〇2、S“N4、wsi等之高 熔點金屬矽化物等。 又,對清潔氣體之反應室12内導入之流量而古,如考 慮上述反應室12之内壁所附著之副生成物之清潔效果時, 以設在0_1至1〇〇公升/分鐘為佳,較佳為〇 5至公升/分 鐘。亦即’如清潔氣體對反應室12内之導入流量少於〇 1 公升/分鐘’則不能達成上述清潔效果,相反地,如導入 流篁多於1 0 0公升/分鐘,則對清潔效果並無助益,反而增 加排出外部之清潔氣體之量。
在此,該導入流量,亦視例如扁平面碟片(f 1 a t panel disk)等基材A之種類、大小等,而可適當變更之。 舉例而言,例如,如含氟化合物為C2F6時,設在〇 . 5至5公 升/分鐘即可。 再者’清潔氣體之反應室1 2内之壓力而言,如考慮清 潔上述之反應室1 2之内壁所附著之副生成物之效果時,設 在10至2000Pa為佳’較佳為50至lOOPa。亦即,如清潔氣 體之反應室1 2内之壓力小於1 〇Pa,或者,相反地,反應室 12内之壓力大於2000Pa,則無法獲致上述清潔效果。在 此’該反應室1 2内之壓力,亦可視例如扁平面碟片等,基 材A之種類、大小等,而可適當變更之。舉例而言,例 如,含氟化合物為C2F6時,設在1〇〇至50 0Pa即可。 再者,遠程電漿產生裝置30與其反應室12之間之距 離’亦即連接配管32之長度L,以設在為0至200 cm為佳, 較佳為0至100 cm,更佳為〇至50cm。亦即,如長度較200 cm
3l3499.Ptd
554418 五、發明說明(15) 為長,則電漿化之清潔氣體將接觸、碰撞於連接配管3 2之 壁部,以致使副生成物氣化之效率降低。在此,該長度L 愈短愈佳,而可視基材A之種類、大小等適當決定之。 此時,連接配管3 2之材質並不予特別限定,惟如考慮 防止上述之氣化效率降低時,則採用例如:氧化銘、純態 (passive state)之鋁、氟系樹脂、使用氟系樹脂所塗敷 之金屬等為宜。
又,於本實施例之情形,係設成經由連接配管3 2而從 室側壁12b,將電漿化之清潔氣體導入於遠程電襞產生裝 置30及反應室12之方式,惟並不限定於此方式,而可設成 直接將β潔氣體導入於反應室12内之方式。又,如第2圖 所示,設成使連接配管32分歧複數支,以使能從室側壁 12b之周圍均勻導入之方式,或者,如第3圖所示,設成從 至側壁1 2 b之回度不同位置導入之方式,或者,設成將此 專組合成未圖示之方式。 作為遠程電漿產生裝置3〇而言,使用習知之遠 Ϊ用〜柯5 f置即▼,但並不予以特別限制,惟例如則可 ^ 斯特龍(ASTRON)」(阿斯特克斯(ASTEX)公司
產生膜”之後,使用遠程電 將電漿化之清潔系清潔氣體電漿化’ m W著ϋΙ 4 ΐ體導入反應至1 2内,以去除反應室 技可按古:态成物之方式,故清潔氣體之解離效率良好 率之方式去除反應室12之内壁、電極等之表
554418 五、發明說明(16) 所附著、堆積之Si 02、Si A4等副生成物。並且,所排出之 清潔氣體之排出量亦極低,地球溫室效應等對環境之影響 亦小,亦能降低成本。 第4圖係表示用以實施本發明之CVD裝置清潔方法之 CVD裝置清潔裝置適用於電漿cvd裝置之第2實施例之概略 圖0
本實施例之電漿CVD裝置10之清潔裝置1 1,基本上, 構成係與第1圖所示之電漿CVD裝置10之清潔裝置11相同, 而對相同構件則附以相同參考符號並省略其詳細說明。 於本實施例之電漿CVD裝置10之清潔裝置11中,除具 備將遠程電漿產生裝置3 〇所電漿化之清潔氣體,從室側壁 12b直接導入於反應室12内而作為第1清潔氣體導入路徑之 連接=管32之外,尚具備有將遠程電漿產生裝置3〇所電漿 化之清潔氣體,經由原料氣體供給路徑2 6、上部電極2 〇, f入於反應室1 2内而作為第2清潔氣體導入路徑之連接配 J二由於將電漿化之清潔氣體,經由作為第m ^體導入路徑之連接配管32,而直接導入反應室12内之 時,經由原料氣體供給路徑26、上部電極2〇而導入反應
554418 五、發明說明(17) 為第1清潔氣體導入路徑之連接配管32、與本身為第2清潔 氣體導入路徑之連接配管3 3選擇性切換之切換控制裝置 40°由於如此之構成,故反應室12之内壁、電極等之表面 所附著、堆積之S i 0 2、S i 3N 4等副生成物起反應,結果使副 生成物氣化為SiF4之效率更為提升。 以上’係就本發明之電漿CVD裝置之清潔裝置之實施 例加以說明者,惟在本發明之範圍内,例如在以上之實施 例中,係就矽薄膜之形成加以說明者,惟在形成其他鍺化 矽膜(SiGe)、碳化矽膜(siC)、SiOF膜、SiON膜、含碳 Si〇2膜等之薄膜時亦可適用之。 又,在上述實施例中,係就橫置型之裝置加以說明 者,惟亦能變更為縱置型之裝置,又在上述實施例中,係 就單晶圓處理式(Single Wafer Processing)加以說明 者,惟成批處理式(Batch Processing)之CVD裝置亦可適 用。 再者,在上述實施例中,係適用電漿CVD裝置為例 者,惟當然亦能改變為適用薄膜材料在高溫中進行熱分 解、氧化、還原、聚合、氣相化反應等而在基板上堆積、薄 膜之真空蒸鍍法等其他CVD法。 ' 【第1實施例】 使用第1圖所示之實施例之CVD裝置10,將基材(石夕& 板,厚度:725um,尺寸:2000πιιηφ )載置於下部電極工^ 上。反應氣體方面,則將曱矽烷180SCCMC每小時襟準立 釐米)、氨32 0 SCCM、I 1公升/分鐘之原料氣體供給於方
313499.ptd 554418 五、發明說明(18) 應室内。維持反應室内之壓力為32〇pa,對上部電極20, 從13·65ΜΗζ之高頻電源按52〇w(瓦特)之輸出供給高頻電 力’按膜厚能形成7000至8000 um之方式進行成膜處理40 秒鐘。 如此方式進行成膜處理之後,在本發明之清潔方法 上,採用清潔氣體有: 使用 CF4/Ar/02(氣體流量 2 0 0/ 1 60 0/40 0 (SCCM)),壓力 1 3 0 P a下之情形; 使用 C2F6/Ar/02(氣體流量 l〇(^ 1 6 00 /40 0 (SCCM)),壓 力130Pa下之情形, 如第1圖所示,於泵14之後段,使用氣體分析裝置 (「FTIR」、MIDAC(邁達克)公司製)檢測氣體排出量、反 應室清除所需時間等。 又’比較例係採用以往方式,如第6圖所示,清潔氣 體NF3/Ar(氣體流量50 0/5 0 0 (SCCM))、壓力26 0Pa下,使用 遠程電漿產生裝置101使之電漿化,並分由氣體供給路徑 1 0 8、上部電極1 〇4,導入於經維持於減壓狀態之反應室 1 0 2内以進行清潔,並以同樣方式檢測氣體排出量、反應 室清除所需時間等。 再者,如第5圖所示,採用以往之平行平板型電漿之 清潔方法作為比較例,清潔氣體有使用: C2F6/02(氣體流量 50 0/50 0 (SCCM)),壓力 2 0 0Pa 下而 Rf 電 力5 0 0 W之情形; 評3/人1*(氣體流量2 70/ 5 00 (8(^1〇),壓力120?&下而1^電
313499.ptd 第26頁 554418
力5 0 0W之情形。 與上述同樣’檢測氣體排出量、反應室清除所需時間 等。將此等結果表示於下列第1表。 9 由第1表之結果可知’採用以往之平行平板型電裝之 清潔方法中,氣體排出量較多,氣體排出比例亦較大,對 清潔有助益之清潔氣體被分解為離子或自由基之解離效率 亦較低。
相對於此,採用本發明之遠程電漿方法之清潔方法 中,氣體排出量較少,氣體排出比例亦較小,對清潔有助 益之清潔氣體被分解為離子或自由基之解離效率亦較高。 又,反應室清除所需時間,亦與採用以往之平行平板S 漿之清潔方法等相差不多。 ”
第1表 各種清潔方法下之氣體排出量 氣體排出量(公升/10 分鐘) 解離 效率 (%) 含亂化合物氣 體使用量(公升 /10分鐘) 氣體排 出比例 (%) 所需— 時間 (秒) c2F, cf4 NF, CF4/Ar/0, 0.234 88.2 1.00 11.68 158 遠程型 C,F"Ar/〇2 0.007 0.021 99.3 2.00 0.20 Γ 175 NF,/Ar 0.103 97.9 5.00 2.06 128 平行平 c,f6/〇, 4.630 00.407 7.4 5.00 92.60 138 板型 NF3/Ar 0.613 77.3 2.70 22.70 130 【第2實施例】 與上述第1實施例同樣,採用本發明之清潔方法,清 潔氣體有使用; C2F6/Ar/02(氣體流量 1 0 0 / 1 6 0 0/ 4 0 0 (SCCM))之情形,將清
313499.ptd 第27頁 554418 五、發明說明(20) 潔中之壓力變更為1 3 0至6 0 0 P a,並檢測氣體排出量。將i 結果表示於第7圖之圖。 八 由第7圖之結果可知,由於提高清潔中之清潔氣體之 壓力,即可抑制因清潔所引起之排出氣體之排出量。 【第3實施例】 與上述實施例同樣,實施各種清潔方法後,除 2 6 0 P a之壓力倏侔以外,4 |x 實施成膜實驗〃。又,作為比較:{|弟1實施例同樣之條件連續 實施連續成膜實驗。 例,亦就不施行清潔之情形 埃)。並將且此姓檢測基板上之1·01 ^以上之大小之異物(塵 埃)將此結果表示於第8圖之圖中。 ,明:之圖可知’在異物之出現數目而言,採用本 電漿之方法者相差不多。τ與抓用^主之千仃平板型 置使含it:丄:於進行成膜後,*用遠程電漿產生裝 化之清潔氣體,導入;ί; 2氧體電浆…需將電聚 方式去除反應離;率良好,高效率 _、以牝等副生成物,屮之表面所附著、堆積之 本。 應等%境之影響亦小,並可降低成 清潔氣體本:::诵::藉遠程電漿產生裝置而電漿化之 ;I原料供給路徑、上部電極等之故,
554418 五、發明說明(21) 經電漿化之清潔氣體不會接觸或碰撞此等構件之壁部,因 而不會有清潔氣體與反應室之内壁、電極等之表面所附著 或堆積之S i 0 2、S i 3N 4等副生成物起反應,使副生成物氣化 為Si F4效率低落之情形。 ' 再者,本發明所用之清潔氣體係含氟化合物,可例 - 舉:碳原子數1至6之全氟代炭類;含氧之全氟代炭類;以 及含氮之氟化合物等,由於使用此等化合物為清潔氣體, 即可達成良好之清潔氣體之解離效率,可高效率方式去除 反應室之内壁、電極等之表面所附著或堆積之Si 02、Si 3N4 等副生成物,且所排出之清潔氣體之排出量亦極少,對溫 · 室效應等環境之影響亦小,而可降低成本等,在許多方面 可發揮特有之作用效果,誠為極為優異之發明。
313499.ptd 第29頁 554418 圖式簡單說明 28 原料氣體供給源 3 2、3 3 連接配管 100電漿CVD裝置 1 0 4電極(上部電極) 1 1 0高頻電力施加裝置 W 半導體製品 30 遠程電漿產生裝置 40 切換控制裝置 1 0 2反應室 106電極(下部電極) A 基材
313499.ptd 第31頁

Claims (1)

  1. ft WSi[|n〇5410 中年今月“日 修正 1. 一種化學汽相沉積裝置之清潔方法,係對反應室供給 反應氣體之同時,在反應室内所配置之基材表面上形 成堆積膜者,其特徵在: 使用前述化學汽相沉積裝置進行基板之成膜處理 後,使用遠程電漿產生裝置使含有含氟化合物之氟系 清潔氣體電漿化, 將前述電漿化之清潔氣體直接導入於反應室内, 以去除反應室内所附著之副生成物者。 2. 如申請專利範圍第1項之化學汽相沉積裝置之清潔方 法,其中,前述電漿化之清潔氣體係直接導入於反應 室内時,遠程電漿產生裝置與反應室之間的距離為0至 2 0 0 cm 〇 3. 如申請專利範圍第1項之化學汽相沉積裝置之清潔方 法,其中,將前述經電漿化之清潔氣體直接導入於反 應室内時,係從反應室之側部導入。 4. 如申請專利範圍第1項至第3項中任一項之化學汽相沉 積裝置之清潔方法,其中,前述電漿化之清潔氣體係 直接導入於反應室内之同時, 經由原料氣體供給路徑將前述電漿化之清潔氣體 導入於反應室内。 5. 如申請專利範圍第4項之化學汽相沉積裝置之清潔方 法,其中,前述經電漿化之清潔氣體,係按選擇性方 式切換為直接導入於反應室内,或經由原料氣體供給 路徑而導入於反應室内之方式進行。
    313499.ptc 2003.03.20.032 第1頁 554418 _案號91105410 年汐月 曰 修正_ 六、申請專利範圍 6. 如申請專利範圍第1項至第3項中任一項之化學汽相沉 積裝置之清潔方法,其中,前述含氟化合物係選自碳 原子數1至6之全氟代碳類,含氧之全氟代碳類以及含 氮之氟化合物中之至少一種。 7. 如申請專利範圍第1項至第3項中任一項之化學汽相沉 積裝置之清潔方法,其中,前述含氟化合物係碳原子 數1至6之全氟代碳類。 8. 如申請專利範圍第1項至第3項中任一項之化學汽相沉 積裝置之清潔方法,其中,前述化學汽相沉積裝置係 在反應室内所配置之上部電極與下部電極間施加高頻 電力,藉以使其產生電漿,並在反應室内之下部電極 上所配置之基材表面上形成堆積膜之電漿化學汽相沉 積裝置。 9. 一種化學汽相沉積裝置之清潔裝置,係對反應室供給 反應氣體之同時,在反應室内所配置之基材表面上形 成堆積膜者,其特徵在該裝置具備: 使用前述電漿化學汽相沉積裝置進行基板之成膜 處理後,使含有含氟化合物之氟系清潔氣體電漿化之 遠程電漿產生裝置;及 將前述遠程電漿產生裝置所電漿化之清潔氣體直 接導入於反應室内之清潔氣體導入路徑, 而使用導入於前述反應室内之清潔氣體,去除反 應室内所附著之副生成物者。 1 0.如申請專利範圍第9項之化學汽相沉積裝置之清潔裝
    313499.ptc 第2頁 2003.03.20.033 554418 _案號91105410 中^年汐月少/曰 修正_ 六、申請專利範圍 置,其中,前述電漿化之清潔氣體直接導入於反應室 内時,遠程電漿產生裝置與反應室之間之前述清潔氣 體導入路徑之距離為〇至2 0 0 cm。 1 1.如申請專利範圍第9項之化學汽相沉積裝置之清潔裝 置,其中,將前述經電漿化之清潔氣體直接導入於反 應室内時,前述清潔氣體導入路徑係從反應室之側部 導入者。 1 2 .如申請專利範圍第9項至第1 1項中任一項之化學汽相沉 積裝置之清潔裝置,其中,前述清潔氣體導入路徑具 備有: 將前述電漿化之清潔氣體直接導入於反應室内之 第1清潔氣體導入路徑;及 將前述電漿化之清潔氣體經由原料氣體供給路徑 導入於反應室内之第2清潔氣體導入路徑。 1 3 .如申請專利範圍第1 2項之化學汽相沉積裝置之清潔裝 置,其中,具備有按選擇性方式切換前述第1清潔氣體 導入路徑與第2清潔氣體導入路徑之切換控制裝置。 1 4 .如申請專利範圍第9項至第1 1項中任一項之化學汽相沉 積裝置之清潔裝置,其中,前述含氟化合物係選自碳 原子數1至6之全氟代碳類,含氧之全氟代碳類以及含 氮之氟化合物中之至少一種。 1 5 .如申請專利範圍第9項至第1 1項中任一項之化學汽相沉 積裝置之清潔裝置,其中,前述含氟化合物係選自碳 原子數1至6之全氟代碳類。
    313499.ptc 第3頁 2003. 03. 20. 034 554418 _案號91105410 今〉年孑月>/日 修正_ 六、申請專利範圍 1 6 .如申請專利範圍第9項至第1 1項中任一項之化學汽相沉 積裝置之清潔裝置,其中,前述化學汽相沉積裝置係 在反應室内所配置之上部電極與下部電極之間施加高 頻電力,使其產生電漿,並在反應室内之下部電極上 所配置之基材表面上形成堆積膜之電漿化學汽相沉積 裝置。
    313499.ptc 2003.03.20.035 第4頁
TW091105410A 2001-03-22 2002-03-21 Method and device for cleaning chemical vapor deposition apparatus TW554418B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001082840A JP2002280376A (ja) 2001-03-22 2001-03-22 Cvd装置のクリーニング方法およびそのためのクリーニング装置

Publications (1)

Publication Number Publication Date
TW554418B true TW554418B (en) 2003-09-21

Family

ID=18938735

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091105410A TW554418B (en) 2001-03-22 2002-03-21 Method and device for cleaning chemical vapor deposition apparatus

Country Status (8)

Country Link
US (1) US6935351B2 (zh)
EP (1) EP1304731B1 (zh)
JP (1) JP2002280376A (zh)
KR (1) KR100523069B1 (zh)
AT (1) ATE335285T1 (zh)
DE (1) DE60213536T2 (zh)
TW (1) TW554418B (zh)
WO (1) WO2002078073A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115142127A (zh) * 2022-08-29 2022-10-04 一道新能源科技(泰州)有限公司 一种基于lpcvd的多晶硅成型炉

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4112198B2 (ja) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 クリーニングガス及びエッチングガス、並びにチャンバークリーニング方法及びエッチング方法
JP2003197615A (ja) * 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
JP2003234299A (ja) * 2002-02-12 2003-08-22 Research Institute Of Innovative Technology For The Earth クリーニングガス及びエッチングガス
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
ES2360613T3 (es) * 2002-04-16 2011-06-07 Tyco Healthcare Group Lp Grapadora quirúrgica.
JP2004179426A (ja) * 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
US20040129223A1 (en) * 2002-12-24 2004-07-08 Park Jong Hyurk Apparatus and method for manufacturing silicon nanodot film for light emission
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4320389B2 (ja) * 2003-02-28 2009-08-26 関東電化工業株式会社 Cvdチャンバーのクリーニング方法およびそれに用いるクリーニングガス
JP4385086B2 (ja) 2003-03-14 2009-12-16 パナソニック株式会社 Cvd装置のクリーニング装置およびcvd装置のクリーニング方法
JP4264479B2 (ja) * 2003-03-14 2009-05-20 キヤノンアネルバ株式会社 Cvd装置のクリーニング方法
JP4374487B2 (ja) * 2003-06-06 2009-12-02 株式会社Sen イオン源装置およびそのクリーニング最適化方法
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
WO2005098086A2 (en) * 2004-03-24 2005-10-20 Massachusetts Institute Of Technology Remote chamber methods for removing surface deposits
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
JP2006114780A (ja) * 2004-10-15 2006-04-27 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成装置及びプログラム
US20060144820A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
KR100712529B1 (ko) * 2005-09-02 2007-04-30 삼성전자주식회사 플라즈마 어플리케이터의 인시츄 세정 방법 및 그 세정방법을 채용한 플라즈마 어플리케이터
US20070107750A1 (en) * 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
JPWO2007116768A1 (ja) 2006-03-27 2009-08-20 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR100765128B1 (ko) 2006-05-30 2007-10-11 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
KR100855002B1 (ko) * 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP4933979B2 (ja) * 2007-08-10 2012-05-16 株式会社アルバック 成膜装置のクリーニング方法
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
WO2010008102A1 (en) * 2008-07-14 2010-01-21 Ips Ltd. Cleaning method of apparatus for depositing carbon containing film
JP2011228546A (ja) * 2010-04-21 2011-11-10 Mitsubishi Electric Corp プラズマcvd装置およびそのクリーニング方法
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
KR101577782B1 (ko) * 2014-05-29 2015-12-16 참엔지니어링(주) 기판 처리장치 및 이의 세정방법
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
JP2019033236A (ja) 2017-08-10 2019-02-28 株式会社日本製鋼所 原子層成長装置並びに原子層成長装置を使用した成膜方法および原子層成長装置のクリーニング方法
WO2020014113A1 (en) * 2018-07-09 2020-01-16 Lam Research Corporation Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
US10751765B2 (en) * 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US11772137B2 (en) * 2021-07-23 2023-10-03 Applied Materials, Inc. Reactive cleaning of substrate support
US20230307216A1 (en) * 2022-03-25 2023-09-28 Applied Materials, Inc. Enhanced chamber clean and recovery with dual flow path

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3725358A1 (de) * 1987-07-30 1989-02-09 Telog Systems Gmbh Vorrichtung und verfahren zur oberflaechenbehandlung von materialien
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
JP2001020076A (ja) * 1999-07-06 2001-01-23 Hitachi Kokusai Electric Inc 反応室のクリーニング方法及び装置
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP2002057106A (ja) * 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115142127A (zh) * 2022-08-29 2022-10-04 一道新能源科技(泰州)有限公司 一种基于lpcvd的多晶硅成型炉
CN115142127B (zh) * 2022-08-29 2022-11-18 一道新能源科技(泰州)有限公司 一种基于lpcvd的多晶硅成型炉

Also Published As

Publication number Publication date
JP2002280376A (ja) 2002-09-27
KR100523069B1 (ko) 2005-10-24
ATE335285T1 (de) 2006-08-15
EP1304731B1 (en) 2006-08-02
DE60213536D1 (de) 2006-09-14
EP1304731A1 (en) 2003-04-23
EP1304731A4 (en) 2003-07-30
KR20030007668A (ko) 2003-01-23
US6935351B2 (en) 2005-08-30
DE60213536T2 (de) 2007-10-25
WO2002078073A1 (fr) 2002-10-03
US20030079757A1 (en) 2003-05-01

Similar Documents

Publication Publication Date Title
TW554418B (en) Method and device for cleaning chemical vapor deposition apparatus
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
JP3855081B2 (ja) フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法
KR100760891B1 (ko) 불소 이용 강화를 위한 방법
JP4264479B2 (ja) Cvd装置のクリーニング方法
KR100590307B1 (ko) Cvd장치 및 그것을 이용한 cvd장치의 클리닝 방법
US20190074176A1 (en) Oxide with higher utilization and lower cost
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
KR100755804B1 (ko) 알루미늄 함유 금속막 및 알루미늄 함유 금속 질화막을증착하는 박막 증착 장치의 세정방법
JP2004002944A (ja) Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP3855982B2 (ja) クリーニング方法及びクリーニング装置
CN101238238A (zh) 使用氟化硫从cvd/pecvd腔的内部除去表面沉积物的远程腔方法
JP4801709B2 (ja) Cvd装置を用いた成膜方法
JP2010192736A (ja) 原子層成長装置および方法
WO2015173003A1 (en) A process for etching and chamber cleaning and a gas therefor
JP2005200680A (ja) Cvd装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent