TW512458B - Apparatus and method for exposing a substrate to plasma radicals - Google Patents

Apparatus and method for exposing a substrate to plasma radicals Download PDF

Info

Publication number
TW512458B
TW512458B TW089107786A TW89107786A TW512458B TW 512458 B TW512458 B TW 512458B TW 089107786 A TW089107786 A TW 089107786A TW 89107786 A TW89107786 A TW 89107786A TW 512458 B TW512458 B TW 512458B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
plasma
substrate
nitrogen
page
Prior art date
Application number
TW089107786A
Other languages
Chinese (zh)
Inventor
Guangcai Xing
Gary Miner
David R Noble
Yashraj Bhatnagar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW512458B publication Critical patent/TW512458B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces
    • H01J2237/3387Nitriding

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

An apparatus and method for exposing a substrate to plasma radicals including a first reaction chamber adapted to generate a plasma comprising ions and radicals and a second reaction chamber coupled to the first reaction chamber and adapted to house a substrate at a sight in the second reaction chamber. The second reaction chamber is coupled to the first reaction chamber such that the plasma traveling from the first reaction chamber to the second reaction chamber is separated from the substrate location by a distance equivalent to the lifetime of the ions at a given plasma discharge rate. In this manner, radicals reach the substrate and react with the substrate or a material on the substrate while the ions that were initially present in the plasma convert to a charge neutral state.

Description

512458 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明() 發明領域: 本發明係關於晶圓或基板電漿反應;特別 疋哥關於 將晶圓或基板曝露至主要為游離基電漿之裝置及方法。、 發明背暑: 在新型積體電路一如微處理機及記憶體〜的製& 中’使用氧化處理來鈍化或氧化半導體膜。常用於使今 晶表面及薄膜—例如多矽晶閘極及基板一氧化的方法乎 及到純氧(〇2)及水汽或蒸汽佴2〇)的氧化處理。不論是= 種情況,氧或水汽放入反應窒内與含矽表面氧化形成 氧化矽(Si02)。 — 在許多超高性能積體電路的氧化處理過程 * 9 余φ — 氧化碎膜不能作為最終結構。舉例而言,雖然二氧化 膜能提供足夠的絕緣性能,但二氧化矽薄膜已經發現會 被掺雜物穿透而造成不良後果。例如,在互補式^氧3 導體(CMOS)電路中,利用閘極摻雜,部份為了 '' 巧* 相 個別電晶體元件的低限電壓(ντ)。因此,例如多矽晶 極捧雜删作為PMOS元件的一部份,或磷、绅或録Ζ NMOS元件的一部份。例如,在〇1〇至〇 2〇微米或更少 範圍内,植入閘極的摻雜物—特別是硼,會經過問極 化層擴散或遷移,特別在高溫回火活化步驟中,傳統 摻雜物是在擴散或接面區内進行活化。若是硼在閘 内,則-些硼會擴散到閘極氧化層而沉積在閘極氧化 下方的通道内,並增添更多電荷至通遒。增加的電荷成 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公爱) 半 關 閘 為 的 氧 上 層 .............费.......:訂.........Β (請先閱讀背面之注意事項再場寫本頁) 512458 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明説明() 為導電載子傳導電流時的散射中心。散射發生電磁場變 化而使元件的流動性變差。硼擴散進入通道亦產生不合 格的V τ修改而不符合元件的預設值。 為了防止摻雜物經過薄氧化層(如硼)經過薄閘極氧 化層,習知的處理方法運用含氮源一例如一氧化二氮 (Ν2〇)、一氧化氮(no)及氨(ΝΗ3)等。含氮物質形成膜或 層(通常為氮化矽(Si3N4)或氮氧化矽(SixNy〇z)膜或層)作 為防止摻雜物經過薄氧化層擴散的阻障層。 在閘極氧化層的情況中,一些習知的方法將含氮物 質放在閘極氧化層與基板的介面上。舉例而言,一種習 知的NO生長及回火方法在閘極氧化層與矽晶基板寬介面 產生高含氮量(至9%)。氮可作為優良的擴散阻擋體,然 而,介面上存有如此高百分率的氮,會使通道的流動性 變差。其他習知的方法會污染氧化層或不能提供充分的 摻雜物擴散阻擋。例如,NH3回火可形成良好掺雜物擴 散阻障層,但元件的可靠性因含有氫而降低。習知的一 氧化一氮生長或回火方法,在基板介面上併入少於3%的 氮,但對於薄閘極氧化層不具有充分的穿透阻擋作用。 因此,吾人碓實需要一種用於將阻擋物質併入氧化 層上的方法及裝置,可以在有效利用熱效率的狀態下完 成處理而不會降低元件的性能。 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(2丨οχ 297公楚) — — — — — — — 1 — — — — — — — — ^ — — — — — — — — — (請先閲讀背面之注意事項再填寫本頁) 512458 A7 B7 五、發明説明() :i二明目的及概述: 本文揭露一種將一基板曝露至電漿基之裝置及方 法。在一具體實施例中的裝置,第一反應室適合從一製 程氣體產生電漿。通常,生成的電漿主要由帶電荷的離 子、游離基及電子組成。第二反應室連接第一反應室, 並適合在基板面向第二反應室的位置存放基板。基板具 有一或多層的物質或多種物質在表面上,如氧化層或 層。第二反應室連接第一反應室,使得電漿從第一反應 室移動至第二反應室須與基板位置隔離,其距離相當於 在已知電漿放射速率中離子的壽命。在此種情況下,游 離基到達基板並與基板反應,其中初次出現在於電漿中 的離子變成電荷中和狀態。 在氮化反應的實例中,氮電漿併入基板上的氧化 層,氮電漿的氮游離基與氧化層急速反應而將氮併入在 氧化層的曝露表面上。在阻障層保護閘氧化層方面,氮 主要併入氧化層的曝露表面,而不在閘氧化層/基板介面 上。在此種情況中,含適量的氮配置於遠離基板處以作 為阻障層,其可減少由其他可滲透摻雜物所形成的散射 中心而不會損及通路的流動性。 本發明方法之具體實施例包括:產生電漿含在第一 反應室的離子及游離基;在第二反應室放置基板;以及 傳送基板至第二反應室與基板反應或併入基板。舉例而 言,如為氮電漿,則該方法使用氮電漿產生氮游離基, 以便用來將氮併入氧化層而作為上述阻障層。該方法對 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公楚) .............I (請先閱讀背面之注意事項再填寫本頁) 、v'cr ft. 512458 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 於將氮併入氧化層並產生阻障層以阻擋會滲透的閘摻雜 物非常有用,因為在一具體實施例中,併入的氮不會移 植至閘氧化層/基板介面。因此,可以形成阻障層而不會 產生如先前技藝方法遇到的閘性能的不良影響一例如通 路流動性變差。 本發明的其他特性及優點可從下列詳細說明、圖式 及所附申請專利範圍而得以明瞭。 圖式簡單說明: 第1圖為剖面簡圖,其顯示根據本發明具體實施例半導 體基板含氧化層進行電漿基氮化。 第2圖顯示根據本發明具體實施例在氧化層表面上或内 部形成氮化物膜步驟之後的第1圖的基板。 第3圖為簡要顯示根據本發明具體實施例遠端電漿系統 包含系統控制器,快速熱加熱裝置含一晶圓,一 電漿應用器及一微波源。 第4圖為簡要顯示根據本發明具體實施例的電漿應用器 用於供應電漿基至反應或處理窒。 第5圖為方塊圖顯示根據本發明具體實施例藉控制訊號 產生邏輯來操作處理系統的分級控制結構。 第6圖為流程圖顯示根據本發明具體實施例的遠端電漿 氮化處理。 第7圖為根據本發明具體實施例在第一組反應條件下遠 端電漿系統氮化氧化層形成含介電層的矽基板的 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) •.......................玎......... (請先閱讀背面之注意事項再填寫本頁) 512458 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明( 次質譜曲線(SIMS)。 第8圖為簡要顯示在第一組反應條件電漿氮化 G基板上二 氧化矽層形成介電層,該介電層覆蓋 3 日玲基板。 第9圖為根據本發明具體實施例在第二组及鹿 應條件下佳 遠端電漿源氮化氧化層形成含介雷屛 %層的矽基板# 次質譜曲線(SIMS)。 第10圖為簡要顯示在第二組反應條件下雪带备512458 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention () Field of the invention: The present invention relates to the plasma reaction of wafers or substrates; Device and method for pulp. Inventing the heat of the invention: In the manufacture of new integrated circuits such as microprocessors and memories, an oxidation treatment is used to passivate or oxidize the semiconductor film. The methods commonly used to oxidize crystal surfaces and thin films, such as polysilicon gates and substrates, involve oxidation treatments with pure oxygen (0 2) and water vapor or steam (2 0). In either case, oxygen or water vapor is put into the reactor and oxidized with the silicon-containing surface to form silicon oxide (Si02). — Oxidation process in many ultra-high-performance integrated circuits * 9 φ — — The broken oxide film cannot be used as the final structure. For example, although the dioxide film can provide sufficient insulation properties, the silicon dioxide film has been found to be penetrated by dopants and cause adverse consequences. For example, in complementary CMOS oxygen 3 conductor (CMOS) circuits, gate doping is used, partly for the purpose of “quick * phase” low limit voltage (ντ) of individual transistor elements. Therefore, for example, polysilicon is preferred as part of a PMOS device, or as part of a PMOS, ZNMOS, or ZNMOS device. For example, in the range of 010 to 020 microns or less, the implanted gate dopants, especially boron, will diffuse or migrate through the interfacial polarizing layer, especially in the high temperature tempering activation step. Dopants are activated in the diffusion or junction area. If boron is in the gate, some boron will diffuse into the gate oxide layer and deposit in the channel under the gate oxide, and add more charge to the gate. The increased charge becomes the oxygen upper layer of page 4 which is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 public love) at the paper size. ...: Order ......... Β (Please read the precautions on the back before writing this page) 512458 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Α7 Β7 V. Invention Description () is conductive The scattering center when a carrier conducts current. Scattering changes the electromagnetic field and deteriorates the fluidity of the device. The diffusion of boron into the channel also produces an unacceptable modification of Vτ and does not meet the preset value of the element. In order to prevent the dopants from passing through the thin oxide layer (such as boron) through the thin gate oxide layer, the conventional processing method uses a nitrogen-containing source such as nitrous oxide (N2O), nitric oxide (no), and ammonia (NΗ3). )Wait. Nitrogen-containing substances form a film or layer (usually a silicon nitride (Si3N4) or a silicon oxynitride (SixNyoz) film or layer) as a barrier layer to prevent dopants from diffusing through a thin oxide layer. In the case of a gate oxide layer, some conventional methods place a nitrogen-containing substance on the interface between the gate oxide layer and the substrate. For example, a conventional NO growth and tempering method produces a high nitrogen content (up to 9%) at the gate oxide and the wide interface of the silicon substrate. Nitrogen can be an excellent diffusion barrier. However, the presence of such a high percentage of nitrogen on the interface will deteriorate the flowability of the channel. Other conventional methods can contaminate the oxide layer or fail to provide adequate barriers to dopant diffusion. For example, NH3 tempering can form a good dopant diffusion barrier layer, but the reliability of the device is reduced due to the inclusion of hydrogen. The conventional method of nitric oxide growth or tempering incorporates less than 3% of nitrogen on the substrate interface, but it does not have a sufficient penetration barrier effect on the thin gate oxide layer. Therefore, we really need a method and an apparatus for incorporating a barrier substance onto an oxide layer, which can complete the process in a state that effectively uses thermal efficiency without degrading the performance of the device. Page 5 This paper size applies to Chinese National Standard (CNS) A4 specifications (2 丨 οχ 297 公 楚) — — — — — — — 1 — — — — — — — — — — — — — — — — — (— (Please read the precautions on the back before filling this page) 512458 A7 B7 V. Description of the invention (): i 2 Purpose and summary: This article discloses a device and method for exposing a substrate to a plasma base. In the apparatus of a specific embodiment, the first reaction chamber is adapted to generate a plasma from a process gas. Generally, the generated plasma is mainly composed of charged ions, free radicals and electrons. The second reaction chamber is connected to the first reaction chamber and is suitable for storing the substrate at a position where the substrate faces the second reaction chamber. The substrate has one or more substances on the surface, such as an oxide layer or layer. The second reaction chamber is connected to the first reaction chamber, so that the movement of the plasma from the first reaction chamber to the second reaction chamber must be isolated from the position of the substrate, and the distance is equivalent to the life of the ions in the known plasma emission rate. In this case, the free radicals reach the substrate and react with the substrate, where the ions first appear in the plasma become a charge-neutral state. In the example of the nitriding reaction, a nitrogen plasma is incorporated into the oxide layer on the substrate, and the nitrogen free radicals of the nitrogen plasma react rapidly with the oxide layer to incorporate nitrogen onto the exposed surface of the oxide layer. In terms of the barrier layer protecting the gate oxide layer, nitrogen is mainly incorporated into the exposed surface of the oxide layer, not on the gate oxide / substrate interface. In this case, a suitable amount of nitrogen is disposed away from the substrate as a barrier layer, which can reduce the scattering centers formed by other permeable dopants without impairing the flowability of the pathway. Specific embodiments of the method of the present invention include: generating plasma containing ions and radicals contained in the first reaction chamber; placing a substrate in the second reaction chamber; and transferring the substrate to the second reaction chamber to react with or incorporate the substrate into the substrate. For example, in the case of a nitrogen plasma, the method uses a nitrogen plasma to generate nitrogen radicals for use in incorporating nitrogen into an oxide layer as the barrier layer described above. This method applies the Chinese National Standard (CNS) A4 specification (210X297) on page 6 of this paper size ......... I (Please read the precautions on the back before filling this page) , V'cr ft. 512458 Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention () It is very useful to incorporate nitrogen into the oxide layer and create a barrier layer to block the pervious gate dopants, because In a specific embodiment, the incorporated nitrogen is not transplanted to the gate oxide / substrate interface. As a result, a barrier layer can be formed without adversely affecting the gate performance as encountered in the prior art methods-for example, the flowability of the path is deteriorated. Other features and advantages of the present invention will be apparent from the following detailed description, the drawings, and the scope of the appended patents. Brief description of the drawings: Figure 1 is a schematic cross-sectional view showing a semiconductor substrate containing an oxide layer for plasma-based nitridation according to a specific embodiment of the present invention. Fig. 2 shows the substrate of Fig. 1 after the step of forming a nitride film on or inside the oxide layer according to a specific embodiment of the present invention. FIG. 3 is a schematic diagram showing a remote plasma system according to a specific embodiment of the present invention including a system controller, a rapid thermal heating device including a wafer, a plasma applicator, and a microwave source. Fig. 4 is a schematic view showing a plasma applicator for supplying a plasma base to a reaction or a treatment process according to a specific embodiment of the present invention. FIG. 5 is a block diagram showing a hierarchical control structure for operating a processing system by controlling signal generation logic according to a specific embodiment of the present invention. Fig. 6 is a flowchart showing a remote plasma nitriding process according to a specific embodiment of the present invention. Figure 7 shows a silicon substrate with a dielectric layer formed by nitriding the oxide layer of the remote plasma system under the first set of reaction conditions according to a specific embodiment of the present invention. Page 7 This paper is applicable to China National Standard (CNS) A4 specifications (210X 297mm) • ............ 玎 ... (Please read the notes on the back before filling This page) 512458 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (Sub-mass Spectral Curve (SIMS). Figure 8 is a brief display of the first set of reaction conditions. Plasma nitrided G substrate silicon dioxide A dielectric layer is formed on the layer, and the dielectric layer covers the substrate. Figure 9 shows a nitrided oxide layer formed by a far-end plasma source nitride oxide layer under the conditions of the second group and Luying according to a specific embodiment of the present invention. % Layer of the silicon substrate # Sub-mass spectroscopy curve (SIMS). Figure 10 shows the snow band preparation under the second set of reaction conditions briefly.

屯衣虱化基板J 二氧化矽層形成介電層,該介電層覆蓋參晶違 板0 圖號對照說明: 100 基板 105 介面 110 二氧化矽層 115 電漿 120 含氮物質或層 200 快速熱處理(RTP)裝置 213 反應室 214 側壁 215 底壁 217 窗組 218 光管組 219 鶴綠齒素燈泡 221 光管 238 記憶體 241 短光管 244 密封外壁 24 7、 2 4 8 石英板 250 處埋器 252 訊號產生邏輯 253 管 262 支撐環 263 石英茼 269 氣體入口 270 氣贈出口 272 轉換板(隙閥) 275 氣體入口 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) M2458 A7 -—^ ____B1 五、發明說明() 經濟部智慧財產局員工消費合作社印製 300 電漿應用器 305 本 體 310 氣體入口 313 氣 體 源 320 管 360 入 口 件 375 #離基出口 380 能 源 入 口 400 調諧器 420 磁 控 管 426 <量控制器 450 能 量 源 473 程序選擇器次程式 475 處 理 程 序 器 次 程 式 477a '° 反應室管理器次 程 式 478a '° 能源管理器次程 式 483 處埋氣體控制次程: 式 485 壓 力 控 制 次 程 式 487 加熱器控制次程式 600 晶 圓 620 介電層 700 晶 圓 720 介電層 發明 明: 本發明描述一種使用 遠 端電 漿 源 將 電 漿 併 入 基 板 或 基板 上物質的裝置及方法 〇 一般 電 漿 源 的 產 生 係 藉 由 例 如能 量激發含帶電荷離子 電 漿、 游 離 基 及 電 子 ◦ 本 發 明 確認 電漿基在較理想的狀 態 下與 基 板 或 基 板 上 物 質 如 氧化 物、離子或游離基與 離 子混 合 物 反 應 0 對 此 1 本 發 明描 述一種遠端電漿源提 供 免除 大 部 份 電 漿 離 子 而 只 與 基板 或基板上物質反應的 裝 置及: 7 ; 去 0 以下說明中,列有許 多 細節 y 如 裝 置 的 配 置 及 處 理 過程 的條件如時間及溫度 等 ,以 利 徹 底 了 解 本 發 明 〇 熟 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂· § 五 、發明說明( B7 經濟部智慧財產局員工消費合作社印製 ::ί技藝者當可明暸’使用不背離本發明而與所揭露的 ::不同的其他配置及處理細節的能力。另外,對一般 热知的半導體處 又 明洋1 里叹備及技術則不加詳述,以免對本發 產生不必要的誤解。 圖及第2圖顯示本發明的一具體實施例…圖 在=a 110—例* :氧切(Si〇2)層11〇-覆蓋基板100。 -具體實施例中,二氧切層110作為隔絕電晶體問 !與基板:的閑極氧化層。基板H)。可為.晶基板100。 ,-中^化矽層1 1 0受電漿1 1 5轟擊。電漿1 1 5的反應 邵份主要包含游離基。基本上,本發明希望在與二氧 ♦層110接觸之前消除所有在電漿產生(含游離基)中出 的,子。-邊帶正電荷的離子藉由結合電子(亦出 在電If產生中的電漿中)而被消除,並恢復成為非離子 或π中丨生芑荷的狀態。電漿藉由隔離電漿產生源與基 t置’如反應位置,其間距比已知電漿放射速率中離 的命命長。在此種狀況下,游離基(離子除外)雖然存 到達基板1 00的移動距離,但卻失去其離子特性而成 帶中性電荷。 在一具體實施例中,二氧化矽層i i 0為閘極氧化層 而%漿為氮電漿併入閘極氧化層作為阻障層。第2圖 不在電聚基與二氧化矽層11〇反應後的基板1〇〇。第 顯示形成含氮物質或層120覆蓋二氧化矽層。氮基(N*)的 氮電漿與氧化物反應或取代二氧化矽層1 1 0表面的氧, 以獲得介電層(如二氧化矽層i 10加含氮物質或層120)含 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再場寫本頁) 化 化 板 子 留 為 顯 圖 訂· 五 經濟部智慧財產局員工消費合作社印製 A 7 -- —____B7___— 、發明說明() 較大密度的含氮物質在表面上,介電層面對介電層與基 板1 0 0之間的介面1 q 5。在此種情況中,含氮離物質在介 %層中作為有效阻障層,防止摻雜物(例如硼)擴散並穿 過二氧化矽層Η〇。由於含氮物質或層12〇並沒有相當量 在介面1 〇 5上,因而習知結構遇到的元件性能負作用不 會發生。 可以瞭解的是,本發明不限於須在反應表面上確實 產生膜或層的方法;例如,產生電漿反應只在產生明顯 的含電漿物質及氧化層。相反地,即如下文所詳細討論 者’例如在某些具體實施例中,電漿基曝露在反應表面 時於氧化層中產生反應。閘極氧化層氮化的情況下,例 如在二氧化矽層110之上或之内藉由將二氧化矽層110曝 露至絕對N *游離基電漿而有效產生含氮物質。此為一種 原理,N*游離基取代二氧化矽層1 1〇中的氧原子形成si3N4 及SixOyNz物質。 第3圖顯示一裝置或系統的具體質施例,其用於使 主要為電漿基的電漿與基板產生反應,例如包含二氧化 矽層11 0之類的物質層的基板1 0 0。該裝置或系統包含快 速熱處理(RTP)裝置200,例如(但不限於)應用材料公司 (Applied Materials,Inc·)之 RTP Centura® 及蜂巢源 tm。另 一適合的RTP裝置及其操作方法列於本發明申請人所獲 得的美國專利第5,1 5 5,3 3 6號中。其他可代替RTP裝置的 熱反應器包括 Applied Materials, Inc·的 Epi 或 Poly Centura®單晶圓「冷牆」反應器,其用於產生高溫膜, 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公楚) ..............费..........可.......丨丨蠢 (請先閲讀背面之注意事項再填寫本頁) 512458 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 如磊晶矽、複晶矽、氧化物及氮化物。Applied Materials, Inc.的DielectricxZTM反應室亦適用。 電漿應用器300連結於RTP裝置200,以提供電漿至 RTP裝置200。能量源450連結於電漿應用器300,以產生 用來形成電漿的激發能源。 在第3圖所顯示的具體質施例中,RTp裝置2〇〇包含 反應室2 1 3,其為側壁2 1 4及底壁2 1 5所封閉。側壁2 1 4及 底壁2 1 5較理想用不銹鋼製成。反應室2丨3的側壁2丨4的 窗組2 1 7用「Ο型環」密封。幅射能光管組2丨8位於窗組2 1 7 上方並與之連結。光管組2 1 8包含複數鎢絲鹵素燈泡 219,例如Sylvania EYT燈泡,各裝在光管221内,光管 可為不銹鋼、黃銅、鋁或其他金屬。 晶圓或基板100在反應室213内緣由碳化矽支撐環 262支撐。支撐環262固定在可旋轉的石英筒263上面。 藉由石英筒2 63旋轉,支撐環262及晶圓或基板100產生 旋轉。一補助碳化矽連接環可用以處理不同直徑的晶圓 或基板(如150 mm及200 mm)。支撐環262的外緣較佳地 伸出離晶圓或基板1 〇〇的外徑小於2吋。反應室2 1 3之容 積約兩公升。 RTP裝置200之底壁215包含鍍金頂部表面211,以用 於反射能量至晶圓或基板1 〇〇的背面上。另外,rTP裝置 200包含多根光纖探針,其位於RTp裝置2〇〇的底壁215, 以探測晶圓或基板1 〇〇多處底部表面的溫度。 RTP裝置200包含氣體入口 269穿過側壁214喷射處理 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公楚) ..............费-........、可.........蠢 (請先閲讀背面之注意事項再填寫本頁) 512458 A7 B7 五、發明説明() 氣體或電漿基進入反應室213’以便在反應室213内完成 各項處理步驟。連結氣體入口 269為電漿應用器300用來 噴射電漿基進入反應室213。位於氣體入口 269的對面側 壁214内為氣體出口 270。氣體出口 27〇連結真空源,如 幫浦,排除反應室213的處理氣體及減少反應室213的壓 力。真空源維持適當的壓力,其間處理氣體,包括電漿 基,係連續喷入反應室2 1 3。 光管組2 1 8較理想包含1 87個燈泡2 1 9,並放在六角 形或「蜂巢形」架内。燈泡2 1 9的位置須足以覆蓋晶圓 或基板100及支撐環2 62的全部表面積。燈泡219分區分 組可以獨立控制使晶圓或基板1 00可以獲得非常均勻的 加熱。光管22 1可藉由冷卻劑(如水)在各加熱管之間流動 獲得冷卻。 經濟部智慧財產局員工消費合作社印製 窗組217包含複數短光管241,其上下凸緣片為銅焊 並具有外緣密封外壁244。冷卻劑(如水)可以導入光管241 的間隙冷卻光管241及凸緣。光管241與照明器的光管221 對齊。具光管型的水冷凸緣係以三明治式夹在石英板247 和248之間與燈套對齊。此等板藉靠近凸緣圓周的〇型環 與凸緣密封。石英板247和248包含凹槽作為複數光管241 之間通道。在複數光管241中藉由幫浦經過連接光管241 其中之一的管2 5 3產生真空,而管2 5 3係以凸緣面上非常 小的隙或溝與其餘的光管連接。如此,當三明治型結構 放在反應室213上面,則金屬凸緣(通常為不鎊鋼並具有 良好的機械強度)提供充分的結構支撑。下石英板248 — 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 512458 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 確實密封反應室213的板—只有微小或沒有壓力差,因為 板可以做成非常薄且兩邊真空。窗組2 1 7的連接板觀念 容許石英板於清潔或分析時容易更換。另外,窗組2 1 7 的石英板247及248之間的真空提供額外保護面防止毒氣 從反應室逃逸。 RTP裝置200為一單晶圓反應窒能以25-1 00t /S的速 率提升晶圓或基板100溫度。RTP裝置200之所以稱為「冷 壁」反應室是因為晶片或基板溫度在氧化過程中至少大 於室侧壁2 1 4的溫度400 °C。加熱/冷卻流體流經側壁2 1 4 及/或底壁2 1 5循環,以維持壁溫在所需溫度。 如上所述,電漿應用器300連結RTP裝置200以提供 電漿基源至RTP裝置200。在一具體實施例中,電漿應用 器300由入口管360連結RTP裝置200。電漿應用器300亦 包含氣體入口 310。連接氣體入口 310為氣體源包括含氮 氣體槽,其包含但不限於N2氣體。電漿應用器3 00亦包 含一管311,其藉由一波導連結能量源450。 第3圖顯示一具體質施例,其中電漿應用器3 00係遠 離RTP裝置200 ,因而電漿係在RTP裝置200的反應室213 外面產生。藉由電漿應用器3 00遠離RTP裝置200的反應 室2 1 3的定位,可以選擇產生電漿源限制曝露至晶圓或 基板1 00的電漿成份主要為基。如此,離子電漿、游離 基及電子都在電漿應用器3 00内產生。然而,因為電漿 應用器3 00的尺寸(即長度及體積)或電漿應用器3 00及入 口管360的合併尺寸,全部或大部份由處理氣體成為電 第14頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) --I---— II--I 1 I I I — — — — — — — — — (請先閲讀背面之注意事項再填寫本頁) 512458 A7 B7 五、發明説明() 漿激發產生的離子壽命超過自有的離子壽命並成電荷中 性。因此,供應RTP裝置200的氣體入口 269主要為游離 基。 (請先閱讀背面之注意事項再填寫本頁) 若是氮氣源,例如由微波幅射激發產生N*游離基電 漿,帶正電荷離子如N+及N2+離子及電子。N*游離基一 般視為中性原子且具有南能狀態。本發明的裝置配置使 帶正電荷離子(即N+及N2 + )成為電荷中性,不論在電装應 用器300或入口管360之内,但不會到達RTp裝置2〇〇的反 應室213。帶正電荷氮離子結合現有的電子成為電荷中 性因而失去自有的離子狀態。在此情況中,主要的N*游 離基及電荷中性氮到達反應室213並曝露至晶圓或基板 1 〇〇或晶圓或基板1 〇〇上面的物質(如氧化層)^總之,結 合帶正電荷氮離子,電漿中產生的電子不能曝露至反應 室2 1 3中的基板1 〇〇。如此,沒有帶電荷電漿粒散基板1 或基板100上的二氧化矽層110。在此情況中,N*游離基 的氮電漿反應造成相對無損壞介電層。 經濟部智慧財產局員工消費合作社印製 第4圖顯示對本發明遠端電漿產生裝置有用的電衆 應用咨300的具體質施例。電漿應用器3〇〇對根據上述氮 化反應及參考第1圖及第2圖附件說明產生氮電漿特別有 用。電漿應用器300包含本體305可為鋁或不銹鋼製成。 本體305的周圍為管320。管32〇可為石英或藍寶石。以 較佳情況而言,管320不含任何會吸引帶電荷粒(即離子) 的偏電壓。本體305的一端包含氣體入口 31〇。連結氣體 入口 3 1 0係為氣體源3 1 3,如含氮氣體槽包括,但不限於, 第15頁 五、發明説明() 氮氣體。流量控制器4 2 6,如質量流控制器,連接氣體 源313及氣體入口 310,以調節進入至電漿應用器3〇〇之 氣流。在一具體實施例中,流量控制器4 2 6接收來自系 統控制器260的類比訊號(如〇與5 v之間的訊號)用於起動 一值來控制流量或氣體源3 1 3至氣體入口 3 1 0釋放的氣體 量。在此具體實施例中,在流量控制器426之間置有轉 化板272,以協調系統控制器260與流量控制器426之間 的訊號,例如,訊號規格不同。氣體入口 3 1 〇的孔徑係 經選擇容許氣體源313的需要流量進入管32〇。 放在氣體入口 310對面為游離基出口 375。在一具體 實施例中,游離基出口 375連接入口管360 ,以供應電漿 至RTP裝置200的反應室213。游離基出口 375具有直徑大 於氣體入口 3 1 0,以容許激發基以所需的流量有效排放 並減少基與管320之間接觸。產生的游離基及電漿應用 器3 00排放流量主要由氣體源入口流量、管320及游離基 出口 375大小及電漿應用器3 00内的壓力來決定。 經濟部智慧財產局員工消費合作社印製 在氣體入口 310與本體305的游離基出口 375之間為 能源入口 380。能源入口 380容許激發能源從能量源450 輸入管3 2 0,例如含微波頻率能。若是微波頻率,激發 能源移動進入電漿應用器300的本體305並經過管320, 以激發沿垂直能源入口 3 8 0方向移動的氣體源仍為電 漿。以此種方式激發能源須要破壞一些氣體分子穩定的 結構或較高的能狀態,如某些游離基及離子。舉例而言, 若是N2,則微波在電漿應用器300中產生N*游離基、帶 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 512458 A7 B7 五、發明説明() 正電荷離子(如N+及N2 + )及電子。Tuning the substrate J The silicon dioxide layer forms a dielectric layer, and the dielectric layer covers the parametric crystal. 0 Drawing number contrast description: 100 substrate 105 interface 110 silicon dioxide layer 115 plasma 120 nitrogen-containing substance or layer 200 fast Heat treatment (RTP) device 213 Reaction chamber 214 Side wall 215 Bottom wall 217 Window group 218 Light tube group 219 Crane green tooth bulb 221 Light tube 238 Memory 241 Short light tube 244 Sealed outer wall 24 7, 2 4 8 Quartz plate 250 buried 252 Signal generation logic 253 Tube 262 Support ring 263 Quartz 茼 269 Gas inlet 270 Gas gift outlet 272 Conversion plate (gap valve) 275 Gas inlet Page 8 This paper size applies to China National Standard (CNS) A4 specifications (210X 297 mm) ) M2458 A7-_ ____B1 V. Description of the invention () Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, Employee Consumption Cooperative 300 Plasma Applicator 305 Body 310 Gas Inlet 313 Gas Source 320 Tube 360 Inlet 375 # Off-base Outlet 380 Energy Inlet 400 Tuner 420 magnetron 426 < volume controller 450 energy source 473 program selector subprogram 475 processor program 477a '° reaction chamber manager program 478a' ° energy manager program 483 buried gas control program: type 485 pressure control program 487 heater control program 600 wafer 620 dielectric layer 700 Wafer 720 Dielectric layer invention description: The present invention describes a device and method for incorporating a plasma into a substrate or a substance on a substrate using a remote plasma source. The generation of a general plasma source is excited by, for example, energy with a charge Ion plasma, free radicals and electrons ◦ The present invention confirms that the plasma base reacts with a substrate or a substance on the substrate such as oxides, ions or free radicals and ion mixtures in an ideal state. 0 This 1 The present invention describes a remote electricity The plasma source provides a device that eliminates most of the plasma ions and only reacts with the substrate or the substance on the substrate: 7; go to 0. In the following description, there are many details such as the configuration and processing of the device. Process conditions such as time and temperature, in order to thoroughly understand the present invention. Page 9 The paper size is applicable to Chinese National Standard (CNS) A4 specifications (210X297 mm) (Please read the precautions on the back before filling this page) Revision § V. Description of the invention (B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs :: The artist should be able to understand the use of other configurations and the ability to deal with details without departing from the present invention ::. In addition, the general knowledge of semiconductors and technologies in Mingyang 1 will not be described in detail, so as to avoid unnecessary misunderstanding of the present invention. The figure and the second figure show a specific embodiment of the present invention ... FIG. = A 110—Example *: Oxygen-Cutting (SiO2) Layer 11- Covering the Substrate 100. -In a specific embodiment, the dioxy-cut layer 110 is used as an insulating transistor to isolate the transistor from the substrate: Substrate H). It may be a crystalline substrate 100. The -siliconized silicon layer 1 10 was bombarded by the plasma 1 15. The reaction of plasma 1 15 mainly contains free radicals. Basically, the present invention hopes to eliminate all ions produced in the plasma generation (containing radicals) before contacting with the dioxygen layer 110. -The positively charged ions in the sideband are eliminated by binding electrons (also appear in the plasma during the production of If), and return to the non-ion or π charged state. Plasma by isolating the plasma generating source and the substrate, such as the reaction site, has a longer distance than the known plasma emission rate. Under this condition, although the free radicals (except ions) reach the substrate 100, they lose their ionic characteristics and become neutrally charged. In a specific embodiment, the silicon dioxide layer i i 0 is a gate oxide layer and the% plasma is a nitrogen plasma and is incorporated into the gate oxide layer as a barrier layer. FIG. 2 The substrate 100 after the electropolymer-based reaction with the silicon dioxide layer 110 is not performed. First, a nitrogen-containing substance or layer 120 is formed to cover the silicon dioxide layer. A nitrogen-based (N *) nitrogen plasma reacts with an oxide or replaces oxygen on the surface of the silicon dioxide layer 110 to obtain a dielectric layer (such as a silicon dioxide layer i 10 plus a nitrogen-containing substance or layer 120). 10 pages of this paper are in accordance with Chinese National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before writing this page) Cooperative prints A 7-— _B7 7 —, description of the invention () Nitrogen-containing materials with higher density are on the surface, and the dielectric layer faces the interface 1 q 5 between the dielectric layer and the substrate 100. In this case, the nitrogen-containing ion species acts as an effective barrier layer in the interlayer, preventing dopants (such as boron) from diffusing and passing through the silicon dioxide layer. Since the nitrogen-containing substance or layer 120 does not have a considerable amount on the interface 105, the negative effect of the element performance encountered in the conventional structure does not occur. It can be understood that the present invention is not limited to the method in which a film or layer must be formed on the reaction surface; for example, the plasma reaction is generated only when a significant plasma-containing substance and an oxide layer are generated. In contrast, as discussed in detail below ', for example, in certain embodiments, a plasma-based reaction occurs in an oxide layer when exposed to a reaction surface. In the case where the gate oxide layer is nitrided, for example, a nitrogen-containing substance is effectively generated by exposing the silicon dioxide layer 110 to an absolute N * free radical plasma on or within the silicon dioxide layer 110. This is a principle. N * radicals replace the oxygen atoms in the silicon dioxide layer 110 to form si3N4 and SixOyNz substances. Figure 3 shows a concrete embodiment of a device or system for reacting a plasma based plasma mainly with a substrate, such as a substrate 100 including a material layer such as a silicon dioxide layer 110. The device or system includes a rapid thermal processing (RTP) device 200, such as (but not limited to) RTP Centura® and Honeycomb Source TM from Applied Materials (Inc.). Another suitable RTP device and method of operating it are listed in U.S. Patent No. 5,155,36,36, obtained by the applicant of the present invention. Other thermal reactors that can replace RTP devices include Applied Materials, Inc.'s Epi or Poly Centura® single-wafer "cold wall" reactors, which are used to produce high-temperature films. Page 11 This paper applies Chinese national standards (CNS ) A4 size (210x297) .............. Fees ............ Can ......... Stupid (please read the first one on the back) Note: Please fill out this page again) 512458 Printed by A7 B7, Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of Invention () Such as epitaxial silicon, polycrystalline silicon, oxides and nitrides. Applied Materials, Inc.'s DielectricxZTM reaction chamber is also suitable. The plasma application device 300 is connected to the RTP device 200 to provide a plasma to the RTP device 200. The energy source 450 is connected to the plasma application device 300 to generate an excitation energy for forming a plasma. In the specific embodiment shown in FIG. 3, the RTp device 200 includes a reaction chamber 2 1 3 which is closed by the side wall 2 14 and the bottom wall 2 15. The side walls 2 1 4 and the bottom wall 2 1 5 are preferably made of stainless steel. The window groups 2 1 7 of the side walls 2 丨 4 of the reaction chamber 2 丨 3 are sealed with "o-rings". The radiant energy light tube group 2 丨 8 is located above the window group 2 1 7 and connected to it. The light pipe group 2 1 8 includes a plurality of tungsten halogen bulbs 219, such as Sylvania EYT bulbs, each of which is housed in a light pipe 221. The light pipe may be stainless steel, brass, aluminum or other metals. The wafer or substrate 100 is supported on the inner edge of the reaction chamber 213 by a silicon carbide support ring 262. The support ring 262 is fixed on the rotatable quartz tube 263. As the quartz tube 2 63 rotates, the support ring 262 and the wafer or substrate 100 rotate. A complementary silicon carbide connection ring can be used to process wafers or substrates of different diameters (such as 150 mm and 200 mm). The outer edge of the support ring 262 preferably projects less than 2 inches from the outside diameter of the wafer or substrate 100. The volume of the reaction chamber 2 1 3 is about two liters. The bottom wall 215 of the RTP device 200 includes a gold-plated top surface 211 for reflecting energy onto the back surface of the wafer or substrate 1000. In addition, the rTP device 200 includes a plurality of optical fiber probes, which are located on the bottom wall 215 of the RTp device 2000 to detect the temperature of the bottom surface of the wafer or substrate at more than 100 locations. The RTP device 200 contains a gas inlet 269 sprayed through the side wall 214. Page 12 This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297) .............. Fees-. ......., but ......... stupid (please read the notes on the back before filling out this page) 512458 A7 B7 V. Description of the invention () Gas or plasma-based enters the reaction chamber 213 'In order to complete the various processing steps in the reaction chamber 213. The connection gas inlet 269 is a plasma applicator 300 for ejecting a plasma base into the reaction chamber 213. Inside the side wall 214 opposite the gas inlet 269 is a gas outlet 270. The gas outlet 270 is connected to a vacuum source, such as a pump, to remove the processing gas from the reaction chamber 213 and reduce the pressure of the reaction chamber 213. The vacuum source maintains an appropriate pressure during which the processing gas, including the plasma base, is continuously sprayed into the reaction chamber 2 1 3. The light pipe group 2 1 8 preferably contains 1 87 bulbs 2 1 9 and is placed in a hexagonal or "honeycomb" rack. The position of the light bulb 2 1 9 must be sufficient to cover the entire surface area of the wafer or substrate 100 and the support ring 2 62. The bulb 219 sub-groups can be independently controlled so that the wafer or substrate 100 can be heated very uniformly. The light pipe 221 can be cooled by a coolant (such as water) flowing between the heating pipes. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, the window group 217 includes a plurality of short light pipes 241, the upper and lower flanges of which are brazed and have outer walls sealed with outer walls 244. A coolant (such as water) can be introduced into the gap of the light pipe 241 to cool the light pipe 241 and the flange. The light pipe 241 is aligned with the light pipe 221 of the illuminator. The water-cooled flange of the light pipe type is sandwiched between the quartz plates 247 and 248 and aligned with the lamp cover. These plates are sealed to the flange by O-rings near the circumference of the flange. The quartz plates 247 and 248 include grooves as channels between the plurality of light pipes 241. In the plurality of light pipes 241, a vacuum is generated by pumping through a tube 2 5 3 connected to one of the light pipes 241, and the tube 2 5 3 is connected to the remaining light pipes with a very small gap or groove on the flange surface. In this way, when the sandwich structure is placed on the reaction chamber 213, the metal flange (usually stainless steel and good mechanical strength) provides sufficient structural support. Lower Quartz Plate 248 — page 13 This paper size applies Chinese National Standard (CNS) A4 specification (210X 297 mm) 512458 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention () The sealed reaction chamber is indeed 213 Plates—with little or no pressure difference, because plates can be made very thin and vacuum on both sides. The connection plate concept of the window group 2 1 7 allows the quartz plate to be easily replaced during cleaning or analysis. In addition, the vacuum between the quartz plates 247 and 248 of the window group 2 1 7 provides an additional protective surface to prevent poisonous gases from escaping from the reaction chamber. The RTP device 200 is a single wafer reaction that can raise the temperature of the wafer or substrate 100 at a rate of 25-1 00t / S. The RTP device 200 is called a "cold wall" reaction chamber because the temperature of the wafer or substrate during the oxidation process is at least 400 ° C higher than the temperature of the side wall 2 1 4 of the chamber. The heating / cooling fluid is circulated through the side walls 2 1 4 and / or the bottom wall 2 1 5 to maintain the wall temperature at a desired temperature. As described above, the plasma application device 300 is connected to the RTP device 200 to provide a plasma-based source to the RTP device 200. In a specific embodiment, the plasma application device 300 is connected to the RTP device 200 by an inlet pipe 360. The plasma applicator 300 also includes a gas inlet 310. The connection gas inlet 310 is a gas source including a nitrogen-containing gas tank, which includes, but is not limited to, N2 gas. The plasma applicator 300 also includes a tube 311 which is connected to an energy source 450 via a waveguide. FIG. 3 shows a specific embodiment, in which the plasma application device 300 is remote from the RTP device 200, so the plasma is generated outside the reaction chamber 213 of the RTP device 200. By positioning the plasma applicator 3 00 away from the reaction chamber 2 1 3 of the RTP device 200, a plasma source can be selected to limit the plasma components exposed to the wafer or substrate 100 mainly based on the plasma component. In this way, ionic plasma, free radicals and electrons are all generated in the plasma applicator 300. However, because of the size (ie, length and volume) of the plasma applicator 3 00 or the combined size of the plasma applicator 3 00 and the inlet pipe 360, all or most of the process gas has become electricity. Page 14 This paper is applicable to China National Standard (CNS) A4 Specification (210X 297mm) --I ----- II--I 1 III-— — — — — — — (Please read the notes on the back before filling out this page) 512458 A7 B7 V. Explanation of the invention () The lifetime of the ions generated by the plasma excitation exceeds its own lifetime and becomes charge neutral. Therefore, the gas inlet 269 for supplying the RTP device 200 is mainly free radicals. (Please read the notes on the back before filling this page.) If it is a nitrogen source, such as N * radical plasma generated by microwave radiation, positively charged ions such as N + and N2 + ions and electrons. N * radicals are generally considered as neutral atoms and have a state of south energy. The device configuration of the present invention makes the positively charged ions (i.e., N + and N2 +) neutral in charge, regardless of whether it is within the electrical equipment 300 or the inlet pipe 360, but does not reach the reaction chamber 213 of the RTp device 2000. The positively charged nitrogen ions combine with the existing electrons to become charge neutral and thus lose their own ionic state. In this case, the main N * free radicals and charge-neutral nitrogen reach the reaction chamber 213 and are exposed to the wafer or substrate 1000 or a substance (such as an oxide layer) on the wafer or substrate 1000. In short, the combination With positively charged nitrogen ions, the electrons generated in the plasma cannot be exposed to the substrate 100 in the reaction chamber 2 1 3. In this way, the charged plasma particle dispersion substrate 1 or the silicon dioxide layer 110 on the substrate 100 is not present. In this case, the nitrogen plasma reaction of N * radicals results in a relatively non-damaging dielectric layer. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Fig. 4 shows a concrete example of a TV application 300 useful for the remote plasma generating device of the present invention. The plasma applicator 300 is particularly useful for generating a nitrogen plasma based on the above-mentioned nitrogenation reaction and referring to the description of the appended figures 1 and 2. The plasma applicator 300 includes a body 305 that can be made of aluminum or stainless steel. The body 305 is surrounded by a tube 320. The tube 32 may be quartz or sapphire. In a preferred case, the tube 320 does not contain any bias voltage that would attract charged particles (ie, ions). One end of the body 305 contains a gas inlet 31. The connection gas inlet 3 1 0 is a gas source 3 1 3. For example, a nitrogen-containing gas tank includes, but is not limited to, page 15 V. Description of the invention () Nitrogen gas. A flow controller 4 2 6 such as a mass flow controller is connected to the gas source 313 and the gas inlet 310 to regulate the air flow entering the plasma application device 300. In a specific embodiment, the flow controller 4 2 6 receives an analog signal (such as a signal between 0 and 5 v) from the system controller 260 for activating a value to control the flow or the gas source 3 1 3 to the gas inlet. 3 1 0 Amount of gas released. In this specific embodiment, a conversion board 272 is placed between the flow controllers 426 to coordinate the signals between the system controller 260 and the flow controller 426, for example, the signal specifications are different. The pore size of the gas inlet 31 is selected to allow the required flow of the gas source 313 into the tube 32. Opposite the gas inlet 310 is a radical outlet 375. In a specific embodiment, the radical outlet 375 is connected to the inlet pipe 360 to supply the plasma to the reaction chamber 213 of the RTP device 200. The free radical outlet 375 has a diameter larger than the gas inlet 3 10 to allow the excited radicals to be efficiently discharged at the required flow rate and reduce contact between the radicals and the tube 320. The generated free radical and plasma application device 300 discharge flow is mainly determined by the gas source inlet flow, the tube 320 and the free radical outlet 375 size, and the pressure in the plasma application device 300. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The energy inlet 380 is between the gas inlet 310 and the free radical outlet 375 of the body 305. The energy inlet 380 allows excitation energy from the energy source 450 to enter the tube 3 2 0, such as containing microwave frequency energy. If it is a microwave frequency, the excitation energy moves into the body 305 of the plasma application device 300 and passes through the tube 320, so that the gas source moving along the vertical energy inlet 380 direction is still plasma. Excitation of energy in this way requires the destruction of stable structures or higher energy states of some gas molecules, such as certain free radicals and ions. For example, if it is N2, the microwave generates N * free radicals in the plasma application device 300, with page 16 This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) 512458 A7 B7 V. Description of the invention () Positively charged ions (such as N + and N2 +) and electrons.

請 先 閲 讀 背 面 之 )主 意 事 項 再 填 寫 本 I 本發明的系統及方法的目標為減少電漿離子(即ν +及 Ν2 + )與基板100覆蓋在基板100表面上的氧化層。因此, 在一具體實施例中,電漿應用器3〇〇的構造中管3 2〇的長 度係以維持—定的處理氣體流量(即一定的電漿產生 率);幾乎所有能源受激發產生的離子已經受壓制或離開 管320之前與電子或其他帶電荷粒子反應而喪失激發狀 態。在一具體實施例中,主要為Ν*游離基的電漿送至反 應室2 1 3内的基板丨〇〇。在某些實例中,少量的電漿離子 送至基板現場對基與基板或基板與基板上物質之間的反 應沒有重大的影響。本發明了解到基板1 〇〇趨向反應比 離子或基與離子混合物更為理想。因此,本發明尋找的 一種特徵為減少基板或基板上物質曝露至離子。 經 濟 部 智 慧 財 產 局 員 X 消 費 合 社 印 製 管320的長度需要在一定的氣源氣體流量下消除所 有電漿離子,其流量可由試驗決定或用壽命計算。在一 具體實施例中’管320具有長度為12吋及内徑1吋,包含 直徑1吋的游離基出口 375以產生Ν*游離基為主的電漿及 惰性氮氣,在游離基出口 375的氣源氣體流量為300 cc /min。在另一具體實施例中,離子的激發壽命並不只限 於在電漿應用器300,亦包含在連結電漿應用器300及RTP 裝置200的入口件360中。 在一具體實施例中,能量源450由磁控管420、絕緣 器及空負載425組成。磁控管420產生激發能源,例如電 磁或感應耦合頻率。來自磁控管4 2 0的激發能源經由絕 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) A7 --- B7 五、發明説明() 緣器$負載425及一波導再至管3 2〇。在某種意義上,空 負載425的作用像一止回閥容許能流向電漿應用器3〇〇而 不能流向磁控管42〇。在電漿應用器3〇〇與能量源彳”之 管320之間為調諧器4〇〇。調諧器將從電漿應用器3⑼反 射的放射折回電漿應用器300 ,以增加供應至電漿應用 益3 0 0的能量。 參考第3圖,控制訊號產生邏輯252供應至系統控制 器2 6 0的型式,例如軟體指令邏輯可為一電腦程式儲存 主电腦可碩媒介—例如系統控制器2 6 0的記憶體2 3 8。以 較佳情況而了,記憶體2 3 8為硬碟,但記憶體亦可以為 其他型式的記憶體。電腦程式包含指令組,其控制氣體 流量、室壓、室溫、RF功率量、能源調整及特定程序的 其他參數。可以明暸,其他電腦程式,如儲存在其他記 憶體裝置的程式(其中包括但不限於軟碟)亦可以用來操 作系統控制器2 6 0。電腦程式由系統控制器2 6 0在處理器 2 50中處理。使用者與系統控制器26〇之間可以藉介面操 作,例如經由陰極管(CRT)監視器或指令操作的監視器 藉由介面裝置一如鍵盤、滑鼠、光筆或觸摸螢幕監視器。 第5圖為方塊圖顯示根據本發明具體實施例的控制 訊號產生邏輯252的分級控制結構。在多反應室環境中, 例如使用者輸入處理步驟號碼及反應室號碼到程序選擇 器次程式473,以回應選擇表或CRT監視器上螢幕顯示。 處理步驟為完成所需程序需要的預設參數組,並由預設 組號碼加以辨認。程序選擇器次程式473辨認(i)所需的 第18頁 本紙張&amp;度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 、^τ. § 經濟部智慧財產局員工消費合作社印製 512458 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 反應室;及(U)規定需要的參數組用來操作反應室。執行 特別程序的處理參數關係處理條件,例如處理氣體流 量、溫度、反應壓力、冷卻氣體壓力及室壁溫度,並以 處方的型式提供給使用者。由處方標示的參數利用CRT 監視器介面輸入。監控處理程序的訊號由用於系統控制 器2 6 〇的類比輸入及數位輸入提供,而用於控制處理程 序的訊號則由系統控制器460的類比輸出及數位輸出板 輸出。 i 經濟部智慧財產局員工消費合作社印製 在一具體實施例中,如第5圖所示,處理程序器次 程式475包含程式碼,用來接受經確認的反應室及來自 程序選擇器次程式473的處理參數,以控制反應室的操 作。多使用者可以輸入處理步驟號碼及反應室號碼或單 一使用者,可輸入複數處理步驟號碼及反應室號碼,如 此處理程序器次程式475操作按所需次序安排選擇的處 理步驟。處理程序器次程式475包含程式碼以進行步驟 監控反應室操作以決定是否使用該反應室;(ii)決定在使 用反應室中須完成該等步驟;及(iii)建立依照反應室的 可利用率及完成處理方法的型式需要的處理空間。傳統 的反應皇監視方法可以使用,如查詢。若執行步驟排定, 處理私序器次程式4 7 5的設計便可以考慮比較使用的反 應室條件與選擇方法的所需條件,或各特別使用者輸入 要求的「年齡」,或任何與系統程式要求有關的因素包 含決定及排時程的優先次序。 一旦處理程序器次程式475決定執行那一個反應室 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 512458 A7 B7 五、發明説明() 及處理步驟組合,然後,處理程序器次程式475藉由核 准特別處理步驟參數至反應室管理器次程式477a_c達成 步驟執行,該常式則根據由程序選擇器次程式4 7 5決定 的步驟,控制反應室内多項處理作業。例如,反應室管 理器次程式477a包含程式碼用.於控制進入反應室2丨3 (經 過電漿應用器30 0)的處理氣體/電漿流量。反應室管理器 次程式4 7 7 a亦控制各反應室組件次程式以控制反應室組 件必須完成的步騾的操作。反應室組件次程式的例子為 處理氣體控制次程式483、壓力控制次程式485及加熱器 控制次程式487。可以明暸,根據處理方法的需要,可 以包含其他的反應室控制次程式。 在操作中,反應室管理器次程式477a如第5圖所示 選擇性安排時程或根據執行的特別步驟呼叫處理組件次 程式。反應室管理器次程式477a安排處理組件次程式475 時程的方法與反應室管理器次程式477安排下一個執行 的反應室及步驟相似。一般而言,反應室管理器次程式 477a包含監視各反應室組件的步驟,根據執行步騾參數 決定那個組件需要操作,並造成反應室組件次程式的執 行回應監視及決定步驟。 處理氣體控制次程式483具有程式碼用於控制處理 氣體的成分及流量。處理氣體控制次程式483控制安全 截斷閥的開/關位置,並調整流量控制器的上/下開度以 獲所需的氣體流量。如上所述,處理氣體進入電漿應用 器300的流量部份決定送至反應室213基板1〇〇的電蒙基 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公楚) (請先閱讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 512458 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 流量。因此,在處理氣體控制次程式中考慮的參數包含(但 不限於)管320及管320内電漿產生點與基板100之間的距 離。處理氣體控制次程式483由反應室管理器次程式477a 調整如同所有反應室組件次程式,並接收來自反應室管 理器次程式477a關於所需氣體流量的處理參數。一般而 言,處理氣體控制次程式483由打開氣體供應管進行操 作(起動流量控制器426)讓氣體開始流入電漿應用器 3 0 0,並重覆(i)讀取需要的流量控制器;(i i)比較流量控 制器顯示與接收來自反應室管理器次程式477a的所需流 量;及(iii)必要時調整氣體源313。另外,處理氣體控制 次程式483包含監視不安全的氣體流量,並在發現不安 全條件時起動安全截斷閥。 壓力控制次程式485如第5圖所示包含程式碼藉由調 整反應室排氣系統中節氣閥開口的大小用來控制壓力反 應室2 1 3。節氣閥開口大小設定係根據總處理氣體流量、 反應室尺寸以及排氣系統起動壓力設定值,用以控制反 應室壓力至規定值。若壓力控制次程式485經過調整, 則將接收的規定目標壓力值作為來自反應室管理器次程 式477 a的參數。壓力控制次程式48 5藉由讀取連結反應室 的一或多壓力計的顯示測量反應室内2 1 3的壓力,比較 測量值與目標值,從保存壓力表取相當於目標壓力的 PID (比例、積分及微分),並根據pid值調整節氣閥。另 外,壓力控制次程式485可以寫入打開或關閉節氣閥至 特定的開口尺寸以調整反應室2 1 3壓力至規定值。 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) i I I----— 111 — I I I I I ^ — — — — — I — (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 512458 A7 _ B7 五、發明説明() 加熱器控制次程式487包含程式碼用於控制加熱處 理室213及基板1〇〇用至光管組218的電流。加熱器控制 次程式487亦由反應室管理器次程式477&amp;調整並接收目標 溫度設定值。加熱器控制次程式487藉由冬自光纖探針 2 7 1的溫度訊號測量溫度、比較測量溫度與溫度設定值, 以及增加或減少供應至光管組2丨8的電流以獲得設定溫 度。溫度係藉由查閱保存的換算表或利四次多項式計算 的測量值而獲得。 另外’核准資料至特別的反應室管理器次程式如反 應主管理器次程式477a,處理程序器次程式475藉由核准 特別處理步驟參數至能源管理器次程式478a-c達成步驟 執行’該常式控制由處理程序器次程式475決定的能量 源4 5 0的多項處理步驟。例如,能源管理器次程式4 7 8 a包 含程式碼用於控制產生激發能源。能源管理器次程式47 8a 亦可以監視2負載4 2 5及調諳器4 〇 〇以確認任何反射能折 回電聚應用器300以減少能供應至電漿應用器3〇〇。 控制處理氣體至反應室2 1 3的方法可以使用電腦程 式產品貫現由系統控制器260執行。電腦碼可以寫成電 腦可讀程式語言;例如,68000組合語言、〇 C + +、Pascal、 Fortran或其他語言。適當的程式碼通常輸入單一檔案或 複數檔案,使用文件編輯器儲存或併入電腦使用媒介(如 電腦記憶系統)。因為輸入文件係用高階語言,經過編碼, 及最後編譯器碼連結預先編譯物件碼的物件碼,系統呼 叫物件碼,促使電腦系統將物件碼載入記憶體,由此中 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) -----------------------*可 (請先閱讀背面之注意事項再填寫本頁) 512458 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 央處理機(CPU)讀取並執行該碼以完成程式中所需的作 業。 一種根據本發明具體實施例,在反應室產生電漿基 的方法如第6圖流程圖500所示。此具體實施例說明的方 法為第3圖及第4圖所述使用遠端電漿系統的氮電漿反應 方法。另外,本發明所述的遠端電漿產生方法為含二氧 化矽層氮基一如閘極氧化層,在矽晶圓或第1圖及第2圖 所示的基板100上面反應。舉例而言,使用此種方法可 產生閘極介電含適當的摻雜物阻障層供高性能電晶體元 件用。 一氧化矽層的形成可以在與本發明電漿氮化反應相 同反應至元成。如此,根據本發明此具體實施例的第一 步驟,如方塊圖5〇2所示,係為移種晶片或基板1〇〇進入 反應S213。因為使用標準新型欉狀工具,晶片或基板1〇〇 將由機器人手臂從負載鎖經過轉換室傳送並放在如第3 圖所示位於反應室213的支撐環262上面。晶片或基板1〇〇 一般傳送至反應室213含惰性氮空氣,傳送壓力約2〇 mm Hg。然後反應室213密封。接著,反應室213壓力因氮空 氣經過氣體出口 270抽氣而繼續降低。反應室213抽氣至 能充分排除氮氣體的壓力。 根據本發明之具體實施例,晶圓或基板1 00藉由數 種已知方法之一氧化形成二氧化咬層。例如,氧化可以 在100%氧(〇2)或乾氧空氣在1〇5〇。〇,5〇秒獲得厚度為50人 之氧化層。另外,處理氣體可包含反應性混合氣體由兩 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(2ΐ〇χ297公楚) — — — — — — — — — — — I — — I! — — — — — » — — — — — — — — — (請先閱讀背面之注意事項再填寫本頁) 512458 A7 B7 五、發明説明() 種反應氣體組成:含氫氣體及含氧氣體一起反應形成蒸 汽或水汽,溫度為400-1250 °C。含氫氣體較理想為氫氣 (H2),或其他含氫氣體,如(但不限於)氨、氘(重氫)及碳 氫化合物(如甲烷CH4)。含氧氣體較理想為氧氣,但可以 為其他含氧氣體如(但不限於)一氧化二氮(N20)。 經濟部智慧財產局員工消費合作社印製 吾人已證實,添加含氫氣體至含氧氣體會增加基板 上的氧化速率。此種速率增加對含氫氣體及含氧氣體在 反應室213或現埸内完成反應特別顯著。氣體在或靠近 基板由晶圓或基板產生的熱量加熱產生反應。因此,含 氫氣體及含氧氣體較為理想在反應室2 1 3内混合,以形 成反應混合氣體及控制的反應混合氣體分壓(即合併含氫 氣體分壓及含氧氣體分壓)而確保安全反應條件。安全反 應條件藉由補充處理氣體至反應室2 1 3維持,讓反應混 合氣體分壓小於規定濃度的反應氣體全部體積自發性燃 燒的分壓,但不致於產生預定量的***壓力波。預定量 係指反應室2 1 3能可靠處理不會發生故障的壓力量。一 種現場方法如說明,使用1 % H2氣體及99%氧氣體,在溫 度95 0°C下持續10秒而在晶圓或基板1〇〇上產生厚度30A 的氧化層。 上述現場方法以外,一種對閘極氧化層特別有用的 氧化方法為使用含氧氣體一氧化二氮來氧化晶圓或基板 100。一氧化二氮在l〇5〇°C (如40A 60秒)形成合格氧化 層。使用含氧氣體一氧化二氮的優點為一氧化二氮氧化 只須放置约〇. 5 %的含氮物質在二氧化矽層π 〇及矽晶基 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 512458 A7 B7___ 五、發明説明() 板100的介面105上。吾人已確定,在介電層/碎晶介面上 約0.5%的氮氣存在會抑制熱通道載子,如載子移動經過 電晶體通道可能會擴散閘極介電層。因此,在介面上存 有氮軋I接近0.5%會改善氧化層的整體性及可靠性。 一旦所需的二氧化矽層Π0形成,RTP裝置200的反 應室21 3冷卻受清洗’以準備作氮化反應 接著,如第6圖步驟504所示,電力係設定至能量源 45 0及建互適當的頻率,例如微波頻率2 45千兆赫。激發 能源經能源入口 380供應至電漿應用器3〇〇,然後,如方 塊圖)04所不’氮氣源以所需流量導入電漿應用器3〇〇。 |L處理氣經管3 2 0移動並通過能源入口 3 8 〇。處理氣體流 經入口管360進入反應室213。因為氮氣源通過能源入口 3 80 ’由能量源450供應的激發能源將氮氣源轉變成電漿 應用器300管320内的氮電漿。氮電漿主要為^^*游離基、 帶正電荷氮離子及電子。一般而言,帶正電荷氮離子的 壽命比不帶電荷N*游離基的壽命短。吾人相信,帶正電 荷氮離子很快找出電漿中存有的電子並與管320内電子 結合成為電荷中性。 根據本發明,若電漿從電漿應用器300傳送至RTP裝 置2 00的反應室2 1 3及至基板表面,電漿係由電荷中性氣 體及電漿基組成。電漿基主要與第6圖的晶圓或基板100 上面的二氧化矽層11〇反應(第6圖步驟512)。RTP裝置200 的反應至213中有任何電偏壓會造成不連續,因為任何 電偏壓會吸引帶正電荷氮離子進入反應室213。一旦偏 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) I I * -^^裝-1τ··~— (請先閲讀背面之注意事項再填寫本頁) 五 I 經濟部智慧財產局員工消費合作社印製 、發明說明() ®消除並達到溫度穩定及前反應壓力,反應室2 1 3以所 而的〉昆合處理氣體,如游離基(步驟5 1 4)。若是氮電漿, 貝]电装中現有供與二氧化矽層11 0反應的氮氣為N*游離 基° 接著’氮化反應溫度的建立如第6圖的方塊5 1 6所 717 ^供電給燈泡219增加晶片或基板1〇〇的溫度上升至處 玉里、、田 _ 〇 g /皿又°时片或基板1〇〇的溫度,較理想以1〇-1〇〇。〇/S的 速率從穩定溫度上升至處理溫度。較佳的氧化層氮化處 理溫度為600°c。然而,可以明暸,氮化可以在各種溫 度下冗成’包括室溫。氮化反應在6〇〇°c與在室溫(25。(:) 的比較如下列說明。 如方塊5 1 8所示,一旦達到反應溫度,氮化反應須 經持相當的反應時間,在此情況中,適當的反應時間是 讓充分的氮併入閘極氧化層作為閘極掺雜物阻障層。反 應時間及溫度一般根據所需併入閘極氧化層的氮量、熱 預算、氮化目的及處理氣體流量而定。 如方塊520所示,如開關打開,減少供電給燈泡219 或關閉開關以減少晶圓或基板1〇〇的溫度。晶圓或基板 1〇〇的溫度按可以下降的速度減少(約5&lt;rc /秒)。在此同 時’ N2清洗氣被供應到反應室2丨3。通常,當電蒙游離 基消失時,氮化反應即中止。因此,當存在乂清洗氣時, 氮化反應大致不會繼續。然後反應室2丨3補充N2氣至所 需的傳送壓力,約為20 τ〇ΓΓ,並將晶圓或基板ι〇〇送出 反應室213而完成處理(步驟522)。此時,新的晶圓或基 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公楚) 經濟部智慧財產局員工消費合作社印製 512458 A7 B7 五、發明説明() 板可以送入反應室213並重覆流程圖500所示之步騾。 第7圖顯示矽晶基板的次級離子質譜(SIMS)曲線, 該基板含介電層由氧化膜氮化形成,即如以上參照第1 圖至第6圖及其相關說明所述者。第7圖的次級離子質譜 (SIMS)曲線顯示從表面(深度0)至介電層與矽晶基板介面 的介電原子輪廓。如此,深度代表進入介電層的深度。 第7圖顯示根據第一組反應條件在矽晶圓或基板上 形成含氮介電物質的SIMS輪廓。在此種情況下,氮化係 在含二氧化矽層晶圓上完成。根據本發明電漿氮化在600 。(:時處理60秒及1 Tori:條件下完成。氮電漿係藉由注入n2 氣,流量為每秒300立方公分,至電漿應用器3〇〇而產生。 電漿應用器300包括長12吋及直徑1吋石英管(管32 0)。N* 基係經由接觸由功率約1200 W產生微波頻率2.45千兆赫 的激發能而產生。處理氣體流量及電漿應用器3 〇 〇係分 別決定尺寸;因此,幾乎所有電漿產生的帶正電荷離子 在電漿應用器中變成電荷中性。 第7圖顯示大部份介電層中存有的含氮物質(由於氛 電漿反應)朝向介電層表面(即介電層表面5_10人内)而不 在介電層/矽晶基板介面。第8圖顯示根據第一組反應條 件利用電漿氮化處理在晶圓600或基板上形成的介電層 620的側視圖。第7圖顯示介電層62〇,總厚度約為22人, 在介電層620表面深度l〇A以内之最高氮濃度。 ’則量介電層6 2 0厚度的一般方法係藉由測量光線細 過介電層620的速度。因為二氧化矽介電層620的折射产 .............·裝.........訂.........麻 (請先閱讀背面之注意事項再填寫本頁) 第27頁Please read the) idea items on the back side first, and then fill out the objective of the system and method of the present invention is to reduce the plasma ions (ie, ν + and Ν 2 +) and the oxide layer on the surface of the substrate 100 covered by the substrate 100. Therefore, in a specific embodiment, the length of the tube 3 200 in the configuration of the plasma applicator 300 is to maintain a constant process gas flow (ie, a certain plasma generation rate); almost all energy is generated by being excited. The ions have been suppressed or lost their excited state by reacting with electrons or other charged particles before leaving the tube 320. In a specific embodiment, a plasma mainly containing N * radicals is sent to a substrate in the reaction chamber 2 1 3. In some instances, the delivery of a small amount of plasma ions to the substrate site has no significant effect on the reaction between the substrate and the substrate or the substrate and the substance on the substrate. The present invention understands that the substrate 100 tends to react more favorably than ions or a mixture of radicals and ions. Therefore, a feature sought by the present invention is to reduce the exposure of substrates or substances on the substrate to ions. The length of the printed tube 320 of the Ministry of Economic Affairs and Intellectual Property Bureau X Consumers Co., Ltd. needs to eliminate all plasma ions at a certain gas source gas flow rate. The flow rate can be determined by experiments or calculated by life. In a specific embodiment, the 'tube 320 has a length of 12 inches and an inner diameter of 1 inch, and includes a free radical outlet 375 with a diameter of 1 inch to produce a plasma mainly composed of N * radicals and inert nitrogen. Air source gas flow is 300 cc / min. In another specific embodiment, the excitation lifetime of the ions is not limited to the plasma application device 300, and is also included in the entrance piece 360 connecting the plasma application device 300 and the RTP device 200. In a specific embodiment, the energy source 450 is composed of a magnetron 420, an insulator, and a no-load 425. The magnetron 420 generates excitation energy, such as electromagnetic or inductively coupled frequencies. The excitation energy from the magnetron 4 2 0 passes through page 17. This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) A7 --- B7 V. Description of the invention () Edge device $ Load 425 and one The waveguide goes to tube 3 2 0. In a sense, the no-load 425 acts like a check valve allowing energy to flow to the plasma applicator 300 but not to the magnetron 42. Between the plasma applicator 300 and the tube 320 of the energy source 为 "is a tuner 400. The tuner folds back the radiation reflected from the plasma applicator 3⑼ to the plasma applicator 300 to increase the supply to the plasma Apply the energy of 3 0 0. Referring to Fig. 3, the control signal generating logic 252 is supplied to the system controller 2 60. For example, the software instruction logic can store a computer program as a host computer's master medium—such as the system controller 2 6 0 memory 2 3 8. As a better case, memory 2 3 8 is a hard disk, but the memory can also be other types of memory. The computer program contains a command set that controls the gas flow and room pressure , Room temperature, RF power, energy adjustment, and other parameters of specific programs. It is clear that other computer programs, such as programs stored in other memory devices (including but not limited to floppy disks) can also be used for operating system controllers. 2 6 0. The computer program is processed by the system controller 2 60 in the processor 2 50. The user and the system controller 26 can operate via an interface, such as monitoring via a cathode-ray tube (CRT) monitor or command operation The device uses an interface device such as a keyboard, a mouse, a light pen, or a touch screen monitor. FIG. 5 is a block diagram showing a hierarchical control structure of the control signal generation logic 252 according to a specific embodiment of the present invention. In a multi-reaction chamber environment, For example, the user enters the processing step number and reaction chamber number into the program selector subprogram 473 in response to the selection table or the screen display on the CRT monitor. The processing steps are the preset parameter groups required to complete the required procedures, and the preset groups The number is identified. The program selector subprogram 473 identification (i) page 18 of this paper &amp; degree applies Chinese National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling in this Page), ^ τ. § Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 512458 A7 B7 V. Description of the invention () (Please read the notes on the back before filling this page) Reaction room; and (U) required parameters The group is used to operate the reaction chamber. The processing parameters that execute special procedures are related to the processing conditions, such as the processing gas flow rate, temperature, reaction pressure, cooling gas pressure, and chamber wall temperature, It is provided to the user in the form of prescription. The parameters indicated by the prescription are input using the CRT monitor interface. The signal of the monitoring processing program is provided by the analog input and digital input for the system controller 26, and is used to control the The signal is output by the analog output and digital output board of the system controller 460. i Printed by a consumer cooperative of the Intellectual Property Bureau of the Ministry of Economy In a specific embodiment, as shown in FIG. 5, the processor program 475 contains code , Used to accept the confirmed reaction chamber and processing parameters from the program selector program 473 to control the operation of the reaction chamber. Multiple users can enter the processing step number and the reaction chamber number or a single user can enter multiple processing steps Number and the number of the reaction chamber, so that the processing unit 475 operates to arrange the selected processing steps in the required order. The handler program 475 contains code to perform steps to monitor the operation of the reaction chamber to decide whether to use the reaction chamber; (ii) decide that these steps must be completed in using the reaction chamber; and (iii) establish the availability of the reaction chamber And the processing space required to complete the type of processing method. Traditional reactive surveillance methods can be used, such as queries. If the step scheduling is performed, the design of the processing sequence of the private sequencer 4 7 5 can consider comparing the conditions of the reaction chamber used with the requirements of the selection method, or the "age" entered by each special user, or any other system Factors related to program requirements include decision and scheduling priorities. Once the processing program program 475 decides which reaction chamber to execute Page 19 This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) 512458 A7 B7 V. Description of the invention () and processing step combination, then, processing The programmer subprogram 475 is executed by approving the parameters of the special processing step to the reaction chamber manager subprogram 477a_c. This routine controls multiple processing operations in the reaction chamber based on the steps determined by the program selector subprogram 47.5. For example, the reaction chamber manager subroutine 477a contains code for controlling the process gas / plasma flow into the reaction chamber 2 through 3 (via the plasma applicator 300). The reaction chamber manager subprogram 4 7 7 a also controls the subprogram of each reaction chamber component to control the steps that the reaction chamber component must complete. Examples of the subroutines of the reaction chamber assembly are the process gas control subroutine 483, the pressure control subroutine 485, and the heater control subroutine 487. It is clear that according to the needs of the processing method, other reaction chamber control subroutines can be included. In operation, the reaction chamber manager subroutine 477a, as shown in Figure 5, selectively schedules or calls the processing module subroutine according to the particular steps performed. The method of the reaction chamber manager subroutine 477a to arrange the processing module subroutine 475 is similar to the method of the reaction chamber manager subroutine 477 to arrange the next reaction chamber and the steps to be executed. In general, the reaction chamber manager subroutine 477a includes steps for monitoring each reaction chamber component, determines which component needs to be operated according to the execution step parameters, and causes the reaction chamber component subroutine to perform response monitoring and decision steps. The process gas control subroutine 483 has code for controlling the composition and flow rate of the process gas. The process gas control subroutine 483 controls the open / close position of the safety shutoff valve and adjusts the up / down opening of the flow controller to obtain the required gas flow. As mentioned above, part of the flow rate of the process gas entering the plasma application device 300 is determined to be sent to the reaction chamber 213 substrate 100. Page 20 This paper size applies the Chinese National Standard (CNS) A4 specification (210X297) (Please read the precautions on the back before filling out this page.) Order · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 512458 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 5. Description of the invention () Therefore, the parameters considered in the process gas control subroutine include (but are not limited to) the tube 320 and the distance between the plasma generation point in the tube 320 and the substrate 100. The process gas control subroutine 483 is adjusted by the reaction chamber manager subroutine 477a like all reaction chamber component subroutines and receives processing parameters from the reaction chamber manager subroutine 477a regarding the required gas flow. In general, the process gas control subroutine 483 is operated by opening the gas supply pipe (starting the flow controller 426) to allow gas to start flowing into the plasma application device 300, and repeating (i) reading the required flow controller; ( ii) comparing the flow controller display and receiving the required flow from the reaction chamber manager subroutine 477a; and (iii) adjusting the gas source 313 if necessary. In addition, the process gas control subroutine 483 includes monitoring an unsafe gas flow rate and activating a safety shut-off valve when an unsafe condition is found. The pressure control subroutine 485 includes code as shown in FIG. 5 to adjust the size of the throttle valve opening in the reaction chamber exhaust system to control the pressure reaction chamber 2 1 3. The throttle valve opening size is set according to the total process gas flow rate, the size of the reaction chamber, and the starting pressure of the exhaust system to control the pressure of the reaction chamber to a predetermined value. If the pressure control subroutine 485 is adjusted, the received predetermined target pressure value is used as a parameter from the reaction chamber manager subroutine 477a. The pressure control subroutine 48 5 measures the pressure in the reaction chamber 2 1 3 by reading the display of one or more pressure gauges connected to the reaction chamber, compares the measured value with the target value, and takes the PID corresponding to the target pressure from the saved pressure gauge (ratio , Integral and derivative), and adjust the throttle according to the pid value. In addition, the pressure control subroutine 485 can be written to open or close the throttle valve to a specific opening size to adjust the pressure of the reaction chamber 2 1 3 to a predetermined value. Page 21 This paper size applies Chinese National Standard (CNS) A4 specification (210X 297 mm) i I I — — 111 — IIIII ^ — — — — — I — (Please read the notes on the back before filling (This page) Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 512458 A7 _ B7 V. Description of the invention () The heater control subroutine 487 contains code for controlling the heating processing chamber 213 and the substrate 100 to the light pipe group 218 Of current. The heater control subroutine 487 is also adjusted and received by the reaction chamber manager subroutine 477 &amp; The heater control subroutine 487 measures the temperature by using the temperature signal of the winter fiber probe 2 71, compares the measured temperature and the temperature set value, and increases or decreases the current supplied to the light pipe group 2 丨 8 to obtain the set temperature. The temperature is obtained by referring to the saved conversion table or the measured value calculated by a fourth-order polynomial. In addition, 'approve the data to a special reaction chamber manager subprogram such as the reaction master manager subprogram 477a, and the processor subprogram 475 achieves the steps by approving the special processing step parameters to the energy manager subprogram 478a-c'. It controls the multiple processing steps of the energy source 4 50 determined by the processing program subroutine 475. For example, the energy manager subroutine 4 7 8 a contains code for controlling the generation of excitation energy. The energy manager subroutine 47 8a can also monitor 2 loads 4 2 5 and the regulator 4 〇 to confirm that any reflected energy is returned to the electropolymer application 300 to reduce the energy supply to the plasma application 300. The method of controlling the processing gas to the reaction chamber 2 1 3 can be implemented by the system controller 260 using a computer program product. Computer code can be written in a computer-readable programming language; for example, 68000 combined languages, 0 C ++, Pascal, Fortran, or other languages. Appropriate code is usually entered in a single file or multiple files, stored in a document editor, or incorporated into a computer-use medium (such as a computer memory system). Because the input file is in a high-level language, after being encoded, and the final compiler code links the object code of the pre-compiled object code, the system calls the object code to cause the computer system to load the object code into memory. Applicable to China National Standard (CNS) A4 specification (210X297 mm) ----------------------- * Yes (Please read the precautions on the back before filling in this Page) 512458 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of Invention () The central processing unit (CPU) reads and executes the code to complete the required operations in the program. A method for generating a plasma base in a reaction chamber according to a specific embodiment of the present invention is shown in flowchart 500 in FIG. The method illustrated in this embodiment is a nitrogen plasma reaction method using a remote plasma system as described in FIG. 3 and FIG. 4. In addition, the method for generating a remote plasma according to the present invention is that a silicon oxide-containing layer, such as a gate oxide layer, is reacted on a silicon wafer or the substrate 100 shown in FIG. 1 and FIG. 2. For example, using this method can produce a gate dielectric with a suitable dopant barrier layer for high-performance transistor devices. The formation of the silicon monoxide layer can be performed in the same manner as in the plasma nitriding reaction of the present invention. In this way, according to the first step of this specific embodiment of the present invention, as shown in block diagram 502, the seed wafer or substrate 100 is moved to reaction S213. Because a standard new 欉 -shaped tool is used, the wafer or substrate 100 will be transferred by the robot arm from the load lock through the conversion chamber and placed on the support ring 262 located in the reaction chamber 213 as shown in FIG. 3. The wafer or substrate 100 is generally transferred to the reaction chamber 213 containing inert nitrogen air, and the transfer pressure is about 20 mm Hg. The reaction chamber 213 is then sealed. Subsequently, the pressure in the reaction chamber 213 continues to decrease as nitrogen gas is evacuated through the gas outlet 270. The reaction chamber 213 is evacuated to a pressure capable of sufficiently removing the nitrogen gas. According to a specific embodiment of the invention, the wafer or substrate 100 is oxidized to form a dioxide bite layer by one of several known methods. For example, oxidation can be at 100% oxygen (02) or dry oxygen air at 1050. An oxide layer having a thickness of 50 was obtained in 0.5 seconds. In addition, the processing gas may contain reactive mixed gases from the two papers on page 23 to the Chinese National Standard (CNS) A4 specification (2ΐ〇χ297 公 楚) — — — — — — — — — — — — — — I! — — — — — »— — — — — — — — — (Please read the notes on the back before filling out this page) 512458 A7 B7 V. Description of the invention () Reactive gas composition: hydrogen gas and oxygen gas together The reaction forms steam or water vapor at a temperature of 400-1250 ° C. The hydrogen-containing gas is preferably hydrogen (H2), or other hydrogen-containing gas, such as (but not limited to) ammonia, deuterium (deuterium), and hydrocarbons (such as methane CH4). The oxygen-containing gas is preferably oxygen, but may be other oxygen-containing gas such as (but not limited to) nitrous oxide (N20). Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs I have confirmed that adding hydrogen-containing gas to oxygen-containing gas will increase the oxidation rate on the substrate. This rate increase is particularly significant for the completion of the reaction of the hydrogen-containing gas and the oxygen-containing gas in the reaction chamber 213 or the present reactor. The gas is reacted by heating the heat generated by the wafer or the substrate at or near the substrate. Therefore, it is ideal to mix the hydrogen-containing gas and the oxygen-containing gas in the reaction chamber 2 1 3 to form the reaction mixture gas and the controlled partial pressure of the reaction mixture gas (that is, to combine the partial pressure of the hydrogen-containing gas and the partial pressure of the oxygen-containing gas) to ensure Safe reaction conditions. Safe reaction conditions are maintained by replenishing the processing gas to the reaction chamber 2 1 3 so that the partial pressure of the reaction mixture gas is less than the partial pressure of the spontaneous combustion of the entire volume of the reaction gas with a predetermined concentration, but not causing a predetermined amount of explosion pressure wave. The predetermined amount refers to the amount of pressure that the reaction chamber 2 1 3 can reliably handle without failure. An on-site method, as illustrated, uses 1% H2 gas and 99% oxygen gas at a temperature of 95 ° C for 10 seconds to produce an oxide layer with a thickness of 30A on a wafer or substrate 100. In addition to the field method described above, an oxidation method that is particularly useful for the gate oxide layer is the use of an oxygen-containing gas, nitrous oxide, to oxidize the wafer or substrate 100. Nitrous oxide forms a qualified oxide layer at 105 ° C (for example, 40A for 60 seconds). The advantage of using the oxygen-containing gas dinitrogen monoxide is that only about 0.5% of the nitrogen-containing substance needs to be placed in the silicon dioxide layer π 〇 and silicon crystal base on the oxidation of nitrous oxide. Page 24 CNS) A4 specification (210X297 mm) Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 512458 A7 B7___ 5. Description of the invention () on the interface 105 of the board 100. I have determined that the presence of about 0.5% nitrogen on the dielectric layer / fragmented interface will inhibit hot channel carriers. If the carriers move through the transistor channel, the gate dielectric layer may be diffused. Therefore, the presence of nitrogen rolling I close to 0.5% on the interface will improve the integrity and reliability of the oxide layer. Once the required silicon dioxide layer Π0 is formed, the reaction chamber 21 3 of the RTP device 200 is cooled and cleaned to prepare for the nitriding reaction. Then, as shown in step 504 in FIG. 6, the power system is set to the energy source 450 and the power source Mutually appropriate frequencies, such as microwave frequencies of 2 45 GHz. The excitation energy is supplied to the plasma applicator 300 through the energy inlet 380, and then, as shown in the block diagram), the nitrogen source is introduced into the plasma applicator 300 at the required flow rate. The L process gas moves through the tube 3 2 0 and passes through the energy inlet 3 8 0. The process gas flows into the reaction chamber 213 through the inlet pipe 360. Because the nitrogen source passes the energy inlet 3 80 ', the excitation energy supplied by the energy source 450 transforms the nitrogen source into a nitrogen plasma in the plasma application device 300 tube 320. Nitrogen plasma is mainly free radicals, positively charged nitrogen ions and electrons. In general, the lifetime of positively charged nitrogen ions is shorter than the lifetime of uncharged N * radicals. I believe that the positively charged nitrogen ions quickly find the electrons in the plasma and combine with the electrons in the tube 320 to become charge neutral. According to the present invention, if the plasma is transferred from the plasma application device 300 to the reaction chamber 2 1 3 of the RTP device 2000 and to the surface of the substrate, the plasma is composed of a charge-neutral gas and a plasma base. The plasma base mainly reacts with the silicon dioxide layer 11 on the wafer or the substrate 100 in FIG. 6 (step 512 in FIG. 6). Any electrical bias in the reaction of the RTP device 200 to 213 will cause discontinuities because any electrical bias will attract positively charged nitrogen ions into the reaction chamber 213. Once on page 25, this paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) II *-^^ 装 -1τ ·· ~ — (Please read the precautions on the back before filling this page) Five I Economy Printed by the Consumer Cooperative of the Ministry of Intellectual Property Bureau, the description of the invention () ® eliminates and reaches the temperature stability and the pre-reaction pressure. The reaction chamber 2 1 3 is based on the processing of gases such as free radicals (step 5 1 4). If it is a nitrogen plasma, the current nitrogen used in the Denso to react with the silicon dioxide layer 110 is N * free radical °. Then, the temperature of the nitriding reaction is established as shown in box 5 1 6 in Figure 6 717 ^ The bulb 219 increases the temperature of the wafer or substrate 100 to Chu Yuli, Tian _ 0g / plate and ° when the temperature of the wafer or substrate 100, and more preferably 10-100. The rate of O / S rises from the stable temperature to the processing temperature. The preferred nitriding temperature of the oxide layer is 600 ° C. However, it is clear that nitriding can be superposed at various temperatures including room temperature. The comparison of the nitriding reaction at 600 ° C and room temperature (25. (:) is as follows. As shown in box 5 1 8, once the reaction temperature is reached, the nitriding reaction must be held for a considerable reaction time, at In this case, the appropriate reaction time is to allow sufficient nitrogen to be incorporated into the gate oxide layer as a gate dopant barrier layer. The reaction time and temperature are generally based on the amount of nitrogen, thermal budget, The purpose of nitriding and the flow of the process gas are determined. As shown in block 520, if the switch is turned on, reduce the power to the light bulb 219 or turn off the switch to reduce the temperature of the wafer or substrate 100. The temperature of the wafer or substrate 100 The rate of decline can be reduced (approximately 5 <rc / s). At the same time, 'N2 purge gas is supplied to the reaction chamber 2 丨 3. Generally, the nitriding reaction is stopped when the free radicals disappear, so when there is乂 When cleaning the gas, the nitriding reaction will not continue. Then the reaction chamber 2 丨 3 is supplemented with N2 gas to the required transfer pressure, about 20 τ〇ΓΓ, and sends the wafer or substrate ι〇〇 out of the reaction chamber 213. Processing is completed (step 522). At this point, the new wafer or Page 26 This paper applies the Chinese National Standard (CNS) A4 specification (210X297). Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 512458 A7 B7 V. Description of the invention () The board can be sent to the reaction chamber 213 and repeat the process Step 500 shown in Figure 500. Figure 7 shows a secondary ion mass spectrum (SIMS) curve of a silicon substrate, the substrate containing a dielectric layer formed by nitriding an oxide film, as described above with reference to Figures 1 to 6 and Its related description is described. The secondary ion mass spectrum (SIMS) curve in Figure 7 shows the contour of the dielectric atom from the surface (depth 0) to the interface between the dielectric layer and the silicon substrate. Thus, the depth represents the Depth. Figure 7 shows the formation of a SIMS profile of a nitrogen-containing dielectric substance on a silicon wafer or substrate according to the first set of reaction conditions. In this case, the nitride system is completed on a silicon dioxide-containing wafer. According to The plasma nitridation of the present invention is completed at 600 ° C (60 hours of processing time and 1 Tori :). The nitrogen plasma is injected with n2 gas at a flow rate of 300 cubic centimeters per second to the plasma application device 300. Generated. Plasma applicator 300 includes 12 inches in length and 1 inch in diameter. British tube (tube 32 0). The N * base is generated by contact with an excitation energy of 2.45 GHz generated at a microwave frequency of about 1200 W. The gas flow and plasma application device 300 respectively determine the size; therefore, almost All positively-charged ions generated by the plasma become charge-neutral in the plasma applicator. Figure 7 shows that most of the nitrogen-containing species in the dielectric layer (due to atmospheric plasma reactions) face the surface of the dielectric layer ( That is, the surface of the dielectric layer is within 5-10 people) but not the dielectric layer / silicon substrate interface. Figure 8 shows the dielectric layer 620 formed on the wafer 600 or the substrate using plasma nitridation according to the first set of reaction conditions. Side view. Figure 7 shows the highest nitrogen concentration of the dielectric layer 62, with a total thickness of about 22, and a depth of 10 A on the surface of the dielectric layer 620. The general method of measuring the thickness of the dielectric layer 620 is to measure the speed at which light passes through the dielectric layer 620. Because of the refractive index of the silicon dioxide dielectric layer 620 ............. install ......... order ... (please read first (Notes on the back, please fill out this page) Page 27

512458 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 數已知(約1.46),光線經過二氧化 ^ 化矽介電層速度發生變 化便可決疋介電層厚度。 本發明氮化反應理論為N*游離其 ^ 呢暴取代氧原子而形成 氮化矽及氮氧化矽分子。Si N及 ^ 乳乳化矽具有的折射指 數大於二氧化矽。因此,根據本發明、★ — 赞明 &lt; 第一組反應條件 的氮化反應效果會造成介電層62G厚度明顯變化…變化 以「明顯」形容是因為雖然氮化反應造成氧原子置換之 前與之後的實際厚度相似,但是折射指數已發生變化, 而物質的折射指數變化則會影響厚度測量。目此,在第 一組反應條件下,吾人可觀察到丨電層厚度差或μ4·24 Α。 測量介電層620表面含氮物質.面積密度顯示氮面積 密度為4.0E14/Cmh面積密度測量決定介電層62〇表面積 的氮含量。 .第9圖顯示根據第二組反應條件在矽晶基板或晶圓 上形成含氮介電物質的SIMS輪廓。第8圖電漿氮化反應 係在室溫(25 C )持續60秒及1 Torr條件下完成。氮電漿係 藉由注入氮氣,流量為300 cc /秒,至電漿應用器3〇〇 (長 1 2吋,直徑1吋)並曝露氮氣至激發能含微波頻率2.4 5千 兆赫’功率約1 2 0 0 W。處理氣體流量及電漿應用器3 〇 〇 係分別決定尺寸,因此,幾乎所有電漿產生的帶正電荷 離子在電漿應用器300中變成電荷中性。在此種情況下, 氮化反應係在二氧化矽層完成,持續時間6 0秒。第9圖 顯示電槳氮化造成大部份含氮物質存在介電層表面(即, 第281 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) .............·裝.........訂........-春 (請先閲讀背面之注意事項再填、寫本頁) 512458 X- 經濟部智慧財產局員工消費合作社印製 A7 B7 、發明説明() 46 A的厚介電層表面深度10 Λ之内而不在介電層/秒晶基 板介面。 第1 0圖簡要顯示根據第二組反應條件利用電漿氮化 處理在晶圓7 0 0上形成的介電層7 2 0的側視圖。厚度4 6人 的介電層720具有最高含氮物質濃度(大概是氮化矽及氮 氧化矽),介電層720表面10 Α之内折射指數改變厚度測 量顯示介電層厚度明顯變化為3.44人厚的二氧化秒介電 層。介電層720表面含氮面積密度測量為4.〇E14/cm2。 第9圖及第10圖顯示適當的含氮物質阻障層可以在 室溫反應的氧化物上形成。在此種情況下,本發明希望 將阻障層併入積體電路晶片處理程序中,而不增加處理 的熱預算。 上述反應證明利用主要為N*基的電聚可以有效產生 氧化物氮化。另外,反應證明基(N*)氮化造成在氧化物 表面上而不在氧化物與碎晶片或基板之間的介面上放置 有效阻障層。在此種情況下,電漿氮化處理造成在介電 層上形成阻障層以容許阻障層併入,例如閘介電層,而 不致於降低電晶體裝置的性能。因為反應主要發生在N* 基與氧化物(二氧化矽)之間,因而氮化(或形成阻障層) 可以快速完成,在一具體實施例中,不需要加熱。因此, 含N*基電漿氮化提供一種將阻擋物質併入氧化物的方 法,而不需要明顯的熱預算。 上述發明已經說明氮電漿與氧化物(二氧化矽)或其 他碎晶基板或晶片上物質的反應。然而,上述技術並不 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .............#裝: (請先閲讀背面之注意事項再填寫本頁) 、可· # 512458 A7 B7 五、發明説明() 限於氮化矽晶基板或晶片上的物質。相反地,考量有效 併入物質及管理熬預算,任何時候實施電漿合併處理, 便可使用本技術。總之,本發明確認主要為游離基的電 漿與基板或基板上物質反應的效果。 以上已詳細說明一種運用電漿的新潁裝置及方法。 (請先閲讀背面之注意事項再填寫本頁) _裝- 訂· Φ 經濟部智慧財產局員工消費合作社印製 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)512458 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 5. The number of inventions is known (approximately 1.46), and the thickness of the dielectric layer can be determined by the change in the speed of the silicon dielectric layer after the light dioxide changes. The nitriding reaction theory of the present invention is that N * frees its oxygen atoms to replace oxygen atoms to form silicon nitride and silicon oxynitride molecules. Si N and ^ emulsified silicon have a refractive index greater than that of silicon dioxide. Therefore, according to the present invention, ★ — Zanming &lt; The nitriding reaction effect of the first set of reaction conditions will cause a significant change in the thickness of the dielectric layer 62G ... The change is described as "significant" because although the nitriding reaction caused the replacement of oxygen atoms with The actual thickness thereafter is similar, but the refractive index has changed, and changes in the refractive index of the material will affect the thickness measurement. Therefore, under the first set of reaction conditions, we can observe the difference in thickness of the electrical layer or μ4 · 24 Α. The nitrogen-containing material on the surface of the dielectric layer 620 was measured. The area density showed that the nitrogen area density was 4.0E14 / Cmh. The area density measurement determined the nitrogen content of the surface area of the dielectric layer 62. Figure 9 shows the SIMS profile of a nitrogen-containing dielectric substance formed on a silicon substrate or wafer according to the second set of reaction conditions. Figure 8 Plasma nitriding reaction is completed at room temperature (25 C) for 60 seconds and 1 Torr. Nitrogen plasma is injected with nitrogen at a flow rate of 300 cc / s to a plasma applicator 300 (12 inches in length and 1 inch in diameter) and exposed to nitrogen with excitation energy containing a microwave frequency of 2.4 5 GHz. The power is about 1 2 0 0 W. The process gas flow and the plasma applicator 300 are individually sized. Therefore, almost all positively-charged ions generated by the plasma become charge-neutral in the plasma applicator 300. In this case, the nitriding reaction is completed in the silicon dioxide layer, and the duration is 60 seconds. Figure 9 shows that most of the nitrogen-containing substances are present on the surface of the dielectric layer due to the nitriding of the electric paddle (that is, the 281th paper size applies the Chinese National Standard (CNS) A4 specification (210x297 mm) ... ..... · Install ......... Order ........- Spring (Please read the notes on the back before filling in and write this page) 512458 X- Intellectual Property Bureau, Ministry of Economic Affairs Employee Consumer Cooperative printed A7 B7, Invention Description () 46 A thick dielectric layer with a surface depth of 10 Λ and not on the dielectric layer / second crystal substrate interface. Figure 10 briefly shows the use of electricity according to the second set of reaction conditions Side view of the dielectric layer 7 2 0 formed on the wafer 700 by slurry nitriding. The dielectric layer 720 with a thickness of 46 has the highest nitrogen-containing substance concentration (probably silicon nitride and silicon oxynitride), The measurement of the thickness of the refractive index within 10 A of the surface of the dielectric layer 720 shows that the thickness of the dielectric layer changes significantly to a thickness of 3.44 person-seconds. The nitrogen-containing area density of the surface of the dielectric layer 720 is 4.0 O14 / cm2. Figures 9 and 10 show that a suitable nitrogen-containing material barrier layer can be formed on an oxide that reacts at room temperature. In this case, the present invention hopes that the barrier The layers are incorporated into the integrated circuit wafer processing program without increasing the thermal budget of the process. The above-mentioned reaction proves that the oxide nitriding can be effectively produced using the electropolymerization mainly of N * group. In addition, the reaction proves that the (N *) nitride As a result, an effective barrier layer is placed on the oxide surface without the interface between the oxide and the broken wafer or substrate. In this case, plasma nitridation treatment results in the formation of a barrier layer on the dielectric layer to allow resistance. Incorporation of barrier layers, such as gate dielectric layers, without degrading the performance of the transistor device. Because the reaction mainly occurs between the N * group and the oxide (silicon dioxide), it nitrides (or forms a barrier layer) It can be done quickly, and in a specific embodiment, no heating is needed. Therefore, plasma nitriding with N * -based plasma provides a method for incorporating a barrier material into an oxide without requiring a significant thermal budget. The above invention has already described nitrogen Reaction of Plasma with Oxide (Silicon Dioxide) or Other Fragmented Crystal Substrates or Substances on the Wafer. However, the above technology is not on page 29. The paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) .. .... ....... # 装 : (Please read the precautions on the back before filling in this page) , 可 · # 512458 A7 B7 V. Description of the invention () Limited to substances on silicon nitride substrates or wafers. On the contrary Considering the effective integration of material and management budget, this technology can be used at any time when plasma consolidation is implemented. In short, the present invention confirms the effect of free radical plasma and substrate or substance reaction on the substrate. Describe a new device and method using plasma. (Please read the precautions on the back before filling out this page) _Installation-Order · Φ Printed by the Employees' Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Page 30 This paper is applicable to China Standard (CNS) A4 (210X297 mm)

Claims (1)

512458 ABCD 々、申請專利範圍 1. 一種將基板曝露至電漿之裝置’其至少包含: 一第一反應室; 一氣體源,其連結該第一反應室,以供應氮氣至 該第一反應室; 一激發能源,其連結該第一反應室,以自該氮氣 產生包括離子及游離基之氮電衆;以及 一第二反應室,其適合放置一基板在該第二反應 室中的位置以形成薄膜’ 其中該第一反應室連結該第二反應室,並以相當 於電漿產生速率的離子壽命的距離與該基板位置相 隔,以使該等游離基在薄膜轉變步驟中與該基板反應。 2 ·如申請專利範圍第1項所述之裝置,其中上述激發能源 供應能含由氣體產生電漿的微波頻率。 3 ·如申請專利範圍第1項所述之裝置’其中上述第二反應 室無電偏壓。 4.如申請專利範圍第1項所述之裝置,其中上述第一反應 室適合產生氮電漿,且該第一反應室之尺寸配置能讓 所有由電漿產生的離子在該第二反應室中從離子狀態 轉變為電荷中性狀態。 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) •裝· 、言 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 512458 A8 B8 C8 D8 、申請專利範圍 5 ·如申請專利範圍第1項所述之裝置,其中上述第二反應 室為一快速熱處理室。 6. —種將基板曝露至電漿之裝置,其至少包含: 一第一反應室; 將氮氣供應至該第一反應室所用之裝置; 由該氮氣產生電漿所用之裝置,該電装包含離子 及游離基; 一第二反應室,其具有將一基板納入以供薄膜形 成處理所用之裝置;以及 將該電漿提供至該第二反應室所用之裝置,其本 質上無離子,以使該等游離基在薄膜轉變步驟中與該 基板反應。 7. 如申請專利範圍第6項所述之裝置,其中上述提供電漿 所用之裝置包含一裝置,其用於在該第一反應室中將 該氣體轉變成電漿。 8. —種在反應室中形成電漿基之方法,其至少包含: 在第一反應室中產生電漿,該電漿包含離子及游 離基; 將一基板放置於第二反應室;以及 將該電漿傳送進入該第二反應室’使其與該基板 在實質上無離子的狀態下反應。 第32頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .............•裝.........訂:'.......%. (請先閲讀背面之注意事項再填寫本頁) 512458 8 8 8 8 ABCD 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 9 ·如申請專利範圍第8項所述之方法,其中上述基板之表 面具有*氧化物,且該電聚為氮電蒙’該方法更包* , 使該等游離基與該氧化物產生反應。 1 〇.如申請專利範圍第8項所述之方法’其中在傳送游離 基的步驟之前,該方法另包含實質上改變所有離子從 離子狀態成為中性狀態之步驟。 Π . —種使氧化物氮化之方法,其至少包含: 在第一反應室中產生一電漿,該電漿包含離子及 游離基; 將具有氧化層的基板放置於第二反應室内的表面上; 傳送該等電漿基進入該第二反應室,其實質上無 離子; 使部份氧化層與部份電漿產生反應;以及 使基板之部份氧化層氮化。 1 2·如申請專利範圍第丨丨項所述之方法,其中上述氧化物 與電漿反應步驟包含游離基與氧化物反應形成氮化碎 分子及氮氧化矽分子其中之一。 第33頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) ·裝· % 512458 A8 B8 C8 D8 、申請專利範圍 1 3 .如申請專利範圍第1 1項所述之方法,其中上述部份氧 化層之氮化步驟包含使氧化層之曝露表面氮化。 (請先閲讀背面之注意事項再填寫本頁) 1 4. 一種在氧化物存在的情況下形成含氮物質之方法,其 至少包含: 在第一反應室中產生電漿,該電漿包含離子及游 離基; 在第二反應室内放置一含氧化層的矽晶片; 消除所有來自該電漿的離子; 將電漿基傳送進入該第二反應室; 使部份氧化層與部份電漿產生反應;以及 在基板之部份氧化層内形成含氮物質。 1 5 .如申請專利範圍第1 4項所述之方法,其中上述形成含 氮物質之步驟包含形成氮化矽及氮氧化矽其中之一。 1 6.如申請專利範圍第1 4項所述之方法,其中上述含氮物 質係在該氧化層的曝露表面上形成。 經濟部智慧財產局員工消費合作社印製 17.—種用於電漿與基板反應之系統,包括: 一第一反應室; 一氣體源,其連結該第一反應室,該氣體源包含 適合與基板在處理步驟中反應的成分; 一能量源,其連結該第一反應室; 第34頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 512458 ABCD 申請專利範圍 一第二反應室,其配置適合放置一基板供薄膜形 成處理; (請先閲讀背面之注意事項再填寫本頁) 一系統控制器,其控制自該氣體源導入該第一反 應室的氣體,並控制自該能量源所導入之能量;以及 一記憶體,其連結該控制器,該記憶體包含電腦 可讀媒介,該電腦可讀媒介内含電腦可讀程式,其用 於指導系統操作,該電腦可讀程式包含: 指令,其用於控制該氣體源及該能量源,使由該 氣體源所供應的部分氣體轉變成電聚,該電漿包含離 子及游離基, 其中,該第一反應室與該第二反應室之間的相隔 距離適於將該電漿傳送至該第二反應室,其本質上無 離子,以便在薄膜轉變步驟中與該第二反應室内的基 板反應。 1 8 .如申請專利範圍第1 7項所述之系統,其中上述第一反 應室的尺寸配置使所有電漿中產生的離子在該第一反 應室内,實質上從離子狀態轉變成電荷中性狀態。 經濟部智慧財產局員工消費合作社印製 1 9.如申請專利範圍第1 8項所述之系統,其中上述氣體為 氮氣。 20. —種機器可讀儲存媒介,其包含可執行程式指令,當 執行該等指令時,會致使數位處理系統執行電漿與基 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 512458 ABCD 申請專利範圍 板反應之方法,該方法至少包含: 在第一反應室中產生電漿,該電漿包含游離基及 離子;以及 將該電漿基傳送進入第二反應室,其實質上無離 子0 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第36頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)512458 ABCD 々 、 Scope of patent application 1. A device for exposing a substrate to a plasma 'at least includes: a first reaction chamber; a gas source connected to the first reaction chamber to supply nitrogen to the first reaction chamber An excitation energy source connected to the first reaction chamber to generate a nitrogen gas group including ions and radicals from the nitrogen gas; and a second reaction chamber adapted to place a substrate in the second reaction chamber at a position such that Forming a thin film 'wherein the first reaction chamber is connected to the second reaction chamber, and is separated from the substrate position by a distance equivalent to the ion lifetime of the plasma generation rate, so that the radicals react with the substrate in the thin film conversion step . 2. The device according to item 1 of the scope of the patent application, wherein the excitation energy supply includes a microwave frequency of a plasma generated from a gas. 3. The device according to item 1 of the scope of the patent application, wherein the second reaction chamber is not electrically biased. 4. The device according to item 1 of the scope of patent application, wherein the first reaction chamber is suitable for generating a nitrogen plasma, and the size of the first reaction chamber is configured to allow all ions generated by the plasma to be in the second reaction chamber. From the ionic state to the charge neutral state. Page 31 This paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm) (Please read the precautions on the back before filling out this page) The Ministry of Intellectual Property Bureau's Employee Cooperative Cooperative printed 512458 A8 B8 C8 D8 and applied for a patent scope 5 · The device described in item 1 of the patent scope, wherein the second reaction chamber is a rapid heat treatment chamber. 6. —A device for exposing a substrate to a plasma, comprising at least: a first reaction chamber; a device for supplying nitrogen to the first reaction chamber; a device for generating a plasma from the nitrogen, the device containing ions And free radicals; a second reaction chamber having means for incorporating a substrate for thin film formation processing; and a means for supplying the plasma to the second reaction chamber, which is essentially ion-free so that the The free radicals react with the substrate during the thin film conversion step. 7. The device according to item 6 of the scope of patent application, wherein the device for providing a plasma above includes a device for converting the gas into a plasma in the first reaction chamber. 8. A method for forming a plasma base in a reaction chamber, comprising at least: generating a plasma in a first reaction chamber, the plasma including ions and radicals; placing a substrate in a second reaction chamber; and The plasma is transferred into the second reaction chamber and reacts with the substrate in a substantially ion-free state. Page 32 This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) ............. • Installation ......... Order: '... ....%. (Please read the notes on the back before filling out this page) 512458 8 8 8 8 ABCD Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 6. Scope of patent application 9 The method described above, wherein the surface of the substrate has an * oxide, and the electropolymerization is a nitrogen electrode, the method is more inclusive *, so that the free radicals react with the oxide. 10. The method according to item 8 of the scope of the patent application, wherein the method further comprises a step of substantially changing all ions from an ionic state to a neutral state before the step of transferring radicals. Π. A method for nitriding oxides, at least comprising: generating a plasma in the first reaction chamber, the plasma including ions and radicals; placing a substrate having an oxide layer on a surface of the second reaction chamber Transmitting the plasma base into the second reaction chamber, which is substantially ion-free; causing a part of the oxide layer to react with a part of the plasma; and nitriding a part of the oxide layer of the substrate. 1 2. The method according to item 丨 丨 in the scope of patent application, wherein the step of reacting the oxide with the plasma includes the reaction of free radicals with the oxide to form one of nitriding molecules and silicon oxynitride molecules. Page 33 This paper size applies to China National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling out this page) · Installation ·% 512458 A8 B8 C8 D8, patent application scope 1 3 The method according to item 11 of the scope of the patent application, wherein the nitriding step of the partial oxide layer includes nitriding the exposed surface of the oxide layer. (Please read the notes on the back before filling this page) 1 4. A method for forming nitrogen-containing substances in the presence of oxides, which at least includes: generating a plasma in a first reaction chamber, the plasma containing ions And free radicals; a silicon wafer containing an oxide layer is placed in the second reaction chamber; all ions from the plasma are eliminated; the plasma base is transferred into the second reaction chamber; part of the oxide layer and part of the plasma are generated Reaction; and forming a nitrogen-containing substance in a part of the oxide layer of the substrate. 15. The method according to item 14 of the scope of patent application, wherein the step of forming a nitrogen-containing substance includes forming one of silicon nitride and silicon oxynitride. 16. The method according to item 14 of the scope of patent application, wherein the nitrogen-containing substance is formed on the exposed surface of the oxide layer. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 17. A system for plasma and substrate reactions, including: a first reaction chamber; a gas source connected to the first reaction chamber, the gas source containing Components reacted by the substrate in the processing step; an energy source, which is connected to the first reaction chamber; page 34 This paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm) 512458 ABCD application patent scope one second The reaction chamber is configured to place a substrate for film formation processing; (Please read the precautions on the back before filling this page) A system controller that controls the gas introduced from the gas source into the first reaction chamber and controls the The energy introduced by the energy source; and a memory connected to the controller, the memory containing a computer-readable medium containing a computer-readable program for guiding system operation, the computer may The read program includes: instructions for controlling the gas source and the energy source, so that a part of the gas supplied by the gas source is converted into electropolymerization, and the plasma contains Ions and radicals, wherein the separation distance between the first reaction chamber and the second reaction chamber is suitable for transmitting the plasma to the second reaction chamber, which is essentially ion-free in order to interact with The substrate in the second reaction chamber reacts. 18. The system according to item 17 of the scope of patent application, wherein the size of the first reaction chamber is configured so that all ions generated in the plasma are substantially changed from an ionic state to a charge neutral state in the first reaction chamber. status. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 1 9. The system described in item 18 of the scope of patent application, wherein the above gas is nitrogen. 20. —A machine-readable storage medium containing executable program instructions that, when executed, will cause the digital processing system to execute plasma and base page 35 This paper is sized to Chinese National Standard (CNS) A4 specifications ( 210X297 mm) 512458 ABCD patent application method for plate reaction, the method at least comprises: generating a plasma in a first reaction chamber, the plasma comprising free radicals and ions; and transferring the plasma base into a second reaction chamber , Which is virtually ion-free 0 (Please read the precautions on the back before filling out this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, page 36 This paper size applies to China National Standard (CNS) A4 (210X297 mm)
TW089107786A 1999-04-22 2000-04-24 Apparatus and method for exposing a substrate to plasma radicals TW512458B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29806499A 1999-04-22 1999-04-22
US09/439,476 US6450116B1 (en) 1999-04-22 1999-11-12 Apparatus for exposing a substrate to plasma radicals

Publications (1)

Publication Number Publication Date
TW512458B true TW512458B (en) 2002-12-01

Family

ID=26970452

Family Applications (2)

Application Number Title Priority Date Filing Date
TW089107786A TW512458B (en) 1999-04-22 2000-04-24 Apparatus and method for exposing a substrate to plasma radicals
TW089107785A TW512457B (en) 1999-04-22 2000-06-26 Apparatus and method for exposing a substrate to a plasma radicals

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW089107785A TW512457B (en) 1999-04-22 2000-06-26 Apparatus and method for exposing a substrate to a plasma radicals

Country Status (6)

Country Link
US (1) US6450116B1 (en)
EP (1) EP1196938A2 (en)
JP (1) JP2002543584A (en)
KR (1) KR20010110795A (en)
TW (2) TW512458B (en)
WO (1) WO2000065631A2 (en)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6682913B1 (en) * 1999-02-03 2004-01-27 Institute For Drug Research Ltd. Microbial process for preparing pravastatin
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
KR100767762B1 (en) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6686298B1 (en) 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6833329B1 (en) 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6649543B1 (en) * 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6660657B1 (en) 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
US6723172B2 (en) * 2000-08-18 2004-04-20 Texas Instruments Incorporated Method and system for processing semiconductor wafers
JP4000762B2 (en) * 2000-09-07 2007-10-31 ウシオ電機株式会社 Processing equipment
US6638877B2 (en) * 2000-11-03 2003-10-28 Texas Instruments Incorporated Ultra-thin SiO2using N2O as the oxidant
JP4587251B2 (en) * 2001-02-21 2010-11-24 株式会社半導体エネルギー研究所 Heat treatment equipment
KR20080103609A (en) * 2001-05-30 2008-11-27 에이에스엠 아메리카, 인코포레이티드 Low temperature load and bake
WO2003015151A1 (en) * 2001-08-02 2003-02-20 Tokyo Electron Limited Base material treating method and electron device-use material
KR100641762B1 (en) * 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
US7517751B2 (en) * 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
US7163901B2 (en) * 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
WO2003088345A1 (en) * 2002-03-29 2003-10-23 Tokyo Electron Limited Material for electronic device and process for producing the same
JP4256340B2 (en) * 2002-05-16 2009-04-22 東京エレクトロン株式会社 Substrate processing method
US6699796B2 (en) * 2002-06-14 2004-03-02 Macronix International Co., Ltd. Single chip pad oxide layer growth process
CN1666315A (en) * 2002-07-03 2005-09-07 东京电子株式会社 Method and apparatus for non-invasive measurement and analysis of semiconductor process parameters
AU2003249030A1 (en) * 2002-07-15 2004-02-02 Aviza Technology, Inc. Servomotor control system and method in a semiconductor manufacturing environment
US6968850B2 (en) * 2002-07-15 2005-11-29 Intel Corporation In-situ cleaning of light source collector optics
JP5005170B2 (en) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド Method for forming ultra-high quality silicon-containing compound layer
US20040149224A1 (en) * 2002-08-30 2004-08-05 Albert Wang Gas tube end cap for a microwave plasma generator
US6893978B1 (en) 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
US20060003100A1 (en) * 2002-12-12 2006-01-05 Sarin Vinod K CVD process to deposit aluminum oxide coatings
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
KR100576503B1 (en) * 2003-01-07 2006-05-10 주식회사 하이닉스반도체 Method of forming a gate oxide in a semiconductor device
JP4895803B2 (en) * 2003-02-04 2012-03-14 アプライド マテリアルズ インコーポレイテッド Dielectric film and gate stack forming method, and dielectric film processing method
US6830996B2 (en) * 2003-03-24 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
US20040224533A1 (en) * 2003-05-07 2004-11-11 Yao-Hui Huang Method for increasing polysilicon granin size
US7087440B2 (en) * 2003-05-23 2006-08-08 Texas Instruments Corporation Monitoring of nitrided oxide gate dielectrics by determination of a wet etch
US7291568B2 (en) * 2003-08-26 2007-11-06 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
JP3980539B2 (en) * 2003-08-29 2007-09-26 唯知 須賀 Substrate bonding method, irradiation method, and substrate bonding apparatus
US6933157B2 (en) * 2003-11-13 2005-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer manufacturing methods employing cleaning delay period
WO2005048337A1 (en) * 2003-11-14 2005-05-26 Tokyo Electron Limited Plasma igniting method and substrate processing method
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US7309395B2 (en) * 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
JP2006093218A (en) * 2004-09-21 2006-04-06 Sharp Corp Lamp heating device and manufacturing method of semiconductor device
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
KR100643493B1 (en) * 2004-09-23 2006-11-10 삼성전자주식회사 Method for forming silicon oxynitride layer in semiconductor device and fabricating equipment thereof
JPWO2006046634A1 (en) * 2004-10-28 2008-05-22 東京エレクトロン株式会社 Method for forming gate insulating film, semiconductor device, and computer recording medium
JP2006165516A (en) 2004-11-12 2006-06-22 Tokyo Electron Ltd Attachment detecting method of thermally treated substrate, thermal treatment apparatus, program, and computer-readable recording medium with program stored therein
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20070049048A1 (en) * 2005-08-31 2007-03-01 Shahid Rauf Method and apparatus for improving nitrogen profile during plasma nitridation
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US7572741B2 (en) * 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
KR100707983B1 (en) * 2005-11-28 2007-04-16 주식회사 에이이티 Atomic layer etching method for silicon dioxide film
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7910494B2 (en) * 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
US7635655B2 (en) * 2006-03-30 2009-12-22 Tokyo Electron Limited Method for replacing a nitrous oxide based oxidation process with a nitric oxide based oxidation process for substrate processing
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
KR100814409B1 (en) * 2006-08-14 2008-03-18 삼성전자주식회사 Method of ashing an object and apparatus for performing the same
EP2111631A1 (en) * 2007-01-25 2009-10-28 BTU International, Inc. Microwave hybrid and plasma rapid thermal processing or semiconductor wafers
JP2008270764A (en) * 2007-03-29 2008-11-06 Hitachi Kokusai Electric Inc Substrate processing device and method for manufacturing semiconductor in the substrate processing device
US7534731B2 (en) * 2007-03-30 2009-05-19 Tokyo Electron Limited Method for growing a thin oxynitride film on a substrate
US20080249885A1 (en) * 2007-04-03 2008-10-09 Yahoo! Inc. Systems and methods for providing an internet asset marketplace
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US20090035463A1 (en) * 2007-08-03 2009-02-05 Tokyo Electron Limited Thermal processing system and method for forming an oxide layer on substrates
US7851307B2 (en) * 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7659214B2 (en) * 2007-09-30 2010-02-09 Tokyo Electron Limited Method for growing an oxynitride film on a substrate
JP2011517368A (en) * 2008-02-29 2011-06-02 アプライド マテリアルズ インコーポレイテッド Method and apparatus for removing polymer from a substrate
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8435906B2 (en) * 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
US9431237B2 (en) * 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20120043198A1 (en) * 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
JP5750339B2 (en) * 2011-08-31 2015-07-22 株式会社ニューフレアテクノロジー Vapor phase growth method and vapor phase growth apparatus
TWI549163B (en) * 2011-09-20 2016-09-11 應用材料股份有限公司 Surface stabilization process to reduce dopant diffusion
JP5977986B2 (en) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ Heat treatment equipment
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US9177788B2 (en) * 2012-03-12 2015-11-03 Veeco Ald Inc. Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation
US8852695B2 (en) * 2012-09-10 2014-10-07 The Research Foundation For The State University Of New York Optical barriers, waveguides, and methods for fabricating barriers and waveguides for use in harsh environments
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9217201B2 (en) * 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN107431033B (en) * 2015-03-20 2021-10-22 应用材料公司 Atomic layer processing chamber for 3D conformal processing
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10879049B2 (en) * 2015-07-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition tool and operating method thereof
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9831301B1 (en) * 2016-09-19 2017-11-28 International Business Machines Corporation Metal resistor structures with nitrogen content
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN108109900B (en) * 2016-11-24 2021-04-09 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method for manufacturing the same
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11615944B2 (en) 2017-05-31 2023-03-28 Applied Materials, Inc. Remote plasma oxidation chamber
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6991795B2 (en) * 2017-08-30 2022-01-13 株式会社Screenホールディングス Heat treatment equipment and heat treatment method
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10847337B2 (en) * 2018-01-24 2020-11-24 Applied Materials, Inc. Side inject designs for improved radical concentrations
USD924825S1 (en) 2018-01-24 2021-07-13 Applied Materials, Inc. Chamber inlet
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7301075B2 (en) * 2018-06-14 2023-06-30 エムケーエス インストゥルメンツ,インコーポレイテッド Radical power monitor for remote plasma source and method of use
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP6875336B2 (en) * 2018-08-27 2021-05-26 信越化学工業株式会社 Film formation method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20230170130A (en) * 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10535524B1 (en) 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
WO2020205203A1 (en) * 2019-04-05 2020-10-08 Applied Materials, Inc. Process system with variable flow valve
TW202104644A (en) * 2019-06-17 2021-02-01 美商應用材料股份有限公司 Deuterium-containing films
EP3990678A4 (en) * 2019-06-25 2023-01-04 Picosun Oy Plasma in a substrate processing apparatus
TW202117217A (en) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 Clean isolation valve for reduced dead volume
KR20210042810A (en) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
JP2023503578A (en) * 2019-11-27 2023-01-31 アプライド マテリアルズ インコーポレイテッド Processing chamber with multiple plasma units
KR20220108111A (en) 2019-11-27 2022-08-02 어플라이드 머티어리얼스, 인코포레이티드 Dual plasma pre-clean for selective gap filling
KR102635841B1 (en) * 2020-10-13 2024-02-13 에이피시스템 주식회사 Thin film processing apparatus and method thereof
AU2022234467A1 (en) * 2021-03-12 2023-09-21 Recarbon, Inc. Plasma chamber with ancillary reaction chamber

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1159012A (en) 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
EP0326191A3 (en) 1983-02-25 1991-12-27 Toyota Jidosha Kabushiki Kaisha Apparatus and method for plasma treatment of resin material
JPS6289873A (en) * 1985-10-14 1987-04-24 Semiconductor Energy Lab Co Ltd Formation of transparent conductive film
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4857132A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Processing apparatus for wafers
JPH03212938A (en) * 1990-01-18 1991-09-18 Seiko Epson Corp Forming method of silicon nitride film
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5294571A (en) 1992-07-22 1994-03-15 Vlsi Technology, Inc. Rapid thermal oxidation of silicon in an ozone ambient
JPH0645320A (en) * 1992-07-27 1994-02-18 Nec Corp Manufacture of semiconductor device and manufacturing device thereof
JPH06333857A (en) 1993-05-27 1994-12-02 Semiconductor Energy Lab Co Ltd Device and method for forming film
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH0823095A (en) * 1994-07-07 1996-01-23 Sanyo Electric Co Ltd Semiconductor device and production process thereof
JPH0864390A (en) * 1994-08-22 1996-03-08 Ryoden Semiconductor Syst Eng Kk Plasma processing device
JPH08250488A (en) * 1995-01-13 1996-09-27 Seiko Epson Corp Device and method for plasma treatment
JPH0992643A (en) * 1995-09-28 1997-04-04 Toshiba Corp Plasma treating device and method
DE19611538C1 (en) * 1996-03-23 1997-08-21 Daimler Benz Ag Apparatus for coating substrates with use of a filamentless ion source
US5895548A (en) 1996-03-29 1999-04-20 Applied Komatsu Technology, Inc. High power microwave plasma applicator
US6040249A (en) * 1996-08-12 2000-03-21 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
US5902404A (en) * 1997-03-04 1999-05-11 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
JP3222404B2 (en) 1997-06-20 2001-10-29 科学技術振興事業団 Method and apparatus for forming insulating film on semiconductor substrate surface
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric

Also Published As

Publication number Publication date
JP2002543584A (en) 2002-12-17
WO2000065631A2 (en) 2000-11-02
KR20010110795A (en) 2001-12-13
US6450116B1 (en) 2002-09-17
TW512457B (en) 2002-12-01
EP1196938A2 (en) 2002-04-17
WO2000065631A3 (en) 2001-02-15
US20020073925A1 (en) 2002-06-20

Similar Documents

Publication Publication Date Title
TW512458B (en) Apparatus and method for exposing a substrate to plasma radicals
US6114258A (en) Method of oxidizing a substrate in the presence of nitride and oxynitride films
JP5889959B2 (en) Oxide formation method
US7159599B2 (en) Method and apparatus for processing a wafer
US7585686B2 (en) Method and apparatus for processing a wafer
US6395092B1 (en) Apparatus for depositing high deposition rate halogen-doped silicon oxide layer
JP4386573B2 (en) Method and apparatus for depositing carbon
US6190233B1 (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
TW408363B (en) Systems and methods for high temperature processing of semiconductor wafers
US7638161B2 (en) Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
EP1018150B2 (en) Method for oxidation involving in situ vapor generation
JPH08250488A (en) Device and method for plasma treatment
JP6721695B2 (en) Substrate processing apparatus, semiconductor device manufacturing method and program
TW200402093A (en) Manufacturing method of electronic device material
US20030019427A1 (en) In situ stabilized high concentration BPSG films for PMD application
Sharangpani et al. Steam-based RTP for advanced processes
Kumar Synthesis and characterization of silicon dioxide films using diethyl silane and oxygen

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees