TW466627B - Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber - Google Patents

Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber Download PDF

Info

Publication number
TW466627B
TW466627B TW089105934A TW89105934A TW466627B TW 466627 B TW466627 B TW 466627B TW 089105934 A TW089105934 A TW 089105934A TW 89105934 A TW89105934 A TW 89105934A TW 466627 B TW466627 B TW 466627B
Authority
TW
Taiwan
Prior art keywords
processing
isolator
channel
gas
patent application
Prior art date
Application number
TW089105934A
Other languages
English (en)
Inventor
Stephen N Golovato
Robert W Milgate Iii
Paul Louis Consoli
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW466627B publication Critical patent/TW466627B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

4 6 6 6 2 T 五、發明說明(1) 發明範·: 一般而言,本發明係關於形成積體電路的電漿處理,並 針對電漿處理來使用一平行板電漿放電裝置,其中一電極 是利用隔離板而與一接地參考隔離。 發明背景: 1 - | 氣體電漿被廣泛地使用在不同的積體電路(1C)的製造過 程中,包含電漿蝕刻,及電漿沉積應用,而使用在一半導 體基板上。一般而言,這種電漿是在一處理室中產生,藉 由加入一低壓氣體進入處理室,接著導引電能進入此室, 而用以在其中製造一電場。此電場在處理室中造成一電子 流,並經由電子-分子碰撞而轉換運動能量到氣體分子 上,而將個別的處理氣體分子離子化11電子流中的電子在 i
I :處理室内的電場中加速,用於氣體分子的高效率離子化, :及處理氣體的離子化分子,並共同地釋放電子而形成為氣 : !
ί體電漿或電漿放電。 I : 在處理室中產生的氣體電漿,不需要任何額外的處理氣 丨 : ; 體就可以運用,例如用於蝕刻基板暴露的表面,或其可以 丨與其它選定的處理氣體結合,而用於在基板上沉積不同的 丨材料層。舉例而言,在一#刻過程中,離子化的電聚粒子 :通常會被充正電,此基板會成為負向偏壓,所以正電漿粒 子會被吸引到基板表面而衝擊此表面,因此而银刻及移除 ;
:其材料層。 I 如果有必要沉積薄材料膜或材料層在基板上,像是提供ί I c製程上的導電及歐姆的接點,提供一沉積處理而可用於 4 6 662? 五、發明說明(2)
化學汽相沉積(chemical vapor deposition, CVD)。在 CVD中’處理氣體被注入到處理室中,此氣體在接近基板 處進行化學反應而形成產品別的反應,而接著沉積到基板 上而形成所需要的材料層,使用氣體電漿的CVD處理,一 般而言稱之為一電漿加強型CV])或PECVD處理。舉例而言, PECJD通常用於降低處理溫度及熱能,而通常為標準CVd的 適當化學反應所必須的處理^在^(^〇中,傳遞電能來形 成及維持電漿,可以降低化學反應所需要的熱能D :用於電敷触刻及PECVD中的通用硬體架構,係稱之為 二=仃板射頻放電裝置。在此裝置中,一平面基板支撐及 平面氣體供應元件,像是一注入頭,—般是在處理室中 做彼此平行的配置。一個或兩個電極是由射頻能量做電氣 =壓’而做為對向射頻節點,用以充能一種或多種處理氣 -成為離子化電毁。電極間的距離與電極的尺寸相比是很 小的,此距離舉例而言約為!英叶左右。處理氣體經由注 )頭電極中的小孔而注入,射頻能量係加在注入頭上,因 =左入碩必須與任何接地參考隔離開。一種這樣的 =及注入頭結構,係揭示於美國專利編號5, 5 67, 243, 二::本發明所共同擁有。另一種適合的注入頭結構是揭示 痛=國專利編號〇8/ 9 4 0,7 7 9,名稱為|,防止(^1)及15£;(^1)反 應氣體過早混合的裝置與方法",其亦為本發明所 2 I:有°以上兩項專利及申請中專利皆完整引用於此做 馬茶考。 平行板裝置的接地參考通常為電極沉積時的金屬處理
4. 6 6 62 ^ 五、發明說明(3) I室。基板支撐節點可以接地,或同樣地不接地。一隔離器 |以隔離材料平板(如石英)的型式,置於接地的處理室及注 |入頭電極之間。電極與隔離板通常為平坦的平面結構,雖 |然它們可以有一些曲度在内。因為處理氣體被導引至注入
I I頭電極,此氣體必須通過隔離板,但是,在絕緣板上必須 :形成有孔洞或開口 ,以允許氣體通到注入頭電極,其會不 利於電漿的穩定性。 更準確地說,通過隔離器的開口會在偏壓的射頻電極及 接地的處理室之間構成一電漿分解路徑。此電漿分解係在 電漿形成於開口内,並在射頻注入頭電極及一接地參考之 間產生一導電路徑時發生,其會發生在像是處理室蓋子或 處理室的一些其它地方。然後,電漿會傾向於與地極產生 電弧,其會對電漿穩定性造成不利的影響,而因此影響了 :電漿處理的穩定性。電漿分解通常發生在一特定的射頻功 I率位準及系統壓力,並因此限制了可應用於電漿放電的射 I頻功率。電漿功率的限制會降低電漿的密度。分解功率與 !氣體壓力之間的關係可由不同的系統參數來決定 > 例如處 :理室的尺寸,射頻頻率及所使用的處理氣體種類。 在隔離器開口處存在電漿分解現象的另一個缺點,是當 :PECVD採用此處理系統時,在此情況下,開口處的電漿放 電會造成一導電表層沉積,另外也會造成電漿的不穩定, 甚至在電漿並未真正發生電弧及分解現象的處理條件下也 會造成問題。 有些方法已應用來防止電漿分解;但是,這些方法皆會
第7頁 五、發明說明(4) 使電漿處理系統的製造複雜化,並因此增加整個系統的成‘ 本。舉例而言,隔離板可以做的比較厚,來增加板上開口 的長度。再者,開口可做槽狀處理或具有凹槽,來增加通 過開口時的有效路徑長度。另外,開口也可做成斜角狀, 也可增加通過開口時的有效路徑長度。這些方法增加了隔 離板製作的複雜性,因此增加了隔離板的製造成本。 另一個解決分解電壓問題的方法是在處理室中保持壓力 在—範圍内’因此允許傳遞較多的射頻功率給電漿,而不
會分解。但是,這樣的限制也會限制了電漿處理中平行板 裝置及其應用的作業D 因此’本發明的目的是能夠在廣泛的處理條件及壓力範 圍,下,在一平行板放電裝置中維持一穩定的電漿。 t 4喂八5發明的另一目的是在一平行板裝置中降低及防 =電桌刀解,並利用位在注入頭電極及接土也參考間的隔離 目的為在一平行板裝置中降低及防止電费 刀解而不增加裝置的整體成本及複雜度。 本發明的另一目的為在PECVD處理中所使用 裝置的隔離板的開口中,降低電漿沉積出— 發明總結: 等電層。 本發明可以達到 元件中的開口導電 處理氣體供應端傳 一注入頭電極。如 上述目的,並降低因 而造成的電漿分解* 送器體到一偏壓的氣 此一來,本發明可維 為形成於一隔離板 該開口係用於由一 aa散佈元件,例如 持—電氣式穩定的 4 6 662 五、發明說明(5) 電漿,而用於處理一基板。因此,本發明 -處理室’用於定義出一處理空間,心::系”含 而用於支撐一基板。處理室中的一氣體注一支撐結構 氣體供應耦合,而用以加入處理氣體到處理室,/、、處理 處。一氣體散佈元件,例如注入頭,也與處 合,而用以散佈處理氣體到接近基板處。 ’併應麵 本發明所提出的原理1…隔離器组件是 處理室之間,而用於將注入頭電氣隔離於、入頭及 器,件在其中包含,,用以將由氣體注二 隔離器組件而傳送一處理氣體,其中的 人過此 隔開的區I ’用以防止一直接視線式開口以向 一交叉通道區段延伸於橫向隔開通道區段 化,'且牛。 道區段耦合在一起,並經由此組件而形‘二完m通 /本發明?-具體實施例中,㈤離器組件其離 益兀件’像疋平面隔離板’其由―電氣隔^ 如石英。每一片隔離板皆具有—通 抖構成例 入口經由隔離板而傳送處理氣體。:二以由氣體注 段係彼此橫向地隔開,所以可以防 t應的通道區 視線式開口。一交X通道區段形成於至少1 7 $, 並延伸於隔開的通道區段間而將通道區段耗合 ,並 透過絕緣板形成的通道,用於經由隔離板 一 處理氣體到注入頭。車交佳地是在注入頭及處理氣體之間形 成-至少90度’而在注入頭及處理室間避免—直接視線式 的通道,而可降低及防止電浆分解。在本發明的一且體實
胃9頁
4 6 662T !----- —— __ ______________ 五、發明說明(6) ^ 施例中,使用兩個相鄰的胰離板。另外,多對橫向隔開的. 丨通道區段的多個隔離板及相對應的管道,可以用來根據本 丨發明的原理而電氣式地隔離注入頭。 在本發明的一具體實施例中,在隔離板上會形成4對的 '通道’並使用相對應的4對橫向隔開的區段及交叉的通道 | 區段。這些隔離板通常為圓形的截面,交叉通道區段則形 !成一半圓形的形狀’並跟著隔離板的輪廓及外形變化。陶 |瓷對準腳是配置於隔離板之間,用以提供相對應隔開的通 :道區段及交叉通道區段間適當的對準,而形成穿過隔離板 !的不同氣體通道。對準腳也可應用於隔離板,處理室及注i :入頭之間的介面’而保證在處理室中隔離板的適當定位及 對準。 除了藉由消除穿過隔離板之直接視線式導電路徑,而防 j 土電毁分解’本發明亦可以在氣體供應線中消除電氣隔離 | 戒射頻阻礙結構,其通常與處理系統並用來防止電極的射 頻偏壓進入處理氣體供應。再者,本發明一具體實施例中 多個絕緣板可以做得比使用於先前技術的處理系統的典型 |單一隔離板之厚度要薄。如此,如果加熱處理空間可以抽 !氣到大氣中’則多個隔離板比較不受熱衝擊及破壞的影 丨響。 I 在下面本發明詳細說明中,將提出其它的目的及好處。丨 | | ! 所附的圖面,其構成本規範的一部份,可以用來說明本 ;發明的具體實施例,配合下述本發明的一整體說明,可以
第ίο頁 4 6 662 7 五、發明說明(7) 解釋本發明的原理。 圖1所示為一先前技藝的平行板裝置的側面剖視圖; 圖2所不為一利用本發明提出原理之隔離’纟且件之側 剖視圖, 圖3所示為圖1及圖2所示裝置中,一氫氣電漿負栽電阻 中一射頻電極的直流偏壓,相對於射頻功率的曲線圖; 圖4A所示為一隔離板的上視圖,用於符合本發明原理的 隔離板組件; 圖4B所示為一隔離板的下視圖,如圖4A,亦用於符合本 發明原理的隔離板組件; 詳細說明: 圖1說明一先前技藝的處理系統1 0,其在1C製程中用於 處理半導體,並採用一平行板電漿放電裝置。系統1 〇採用 —平面隔離板12 ’ 一處理氣體穿過其中而導引到一偏壓的 注入頭1 4 °如前面在本申請案背景說明部份所述’這樣的 架構因為處理氣體直接穿過隔離板12而容易造成電漿分 解,由於有效地提供了在偏壓注入頭1 4及接地處理室1 6及 /或接地處理氣體供應線1 8,及相關的氣體供應元件之間 的一導電電漿路徑。現有平行板處理系統中電漿分解的缺 點’可由本發明來解決,也提供額外的好處,包含一電毁 處理系統’其能更不受熱衝擊的影響,並可減少不同的氣 體供應元件,例如射頻開關,或射頻障礙元件,其可在注 入頭中防止射頻透過氣體供應線而進入到氣體供應元件 上。
第U頁 五、發明説明(8) 圖丄中現存的系統1 0會加以詳細說明,而幫助對本發明 所納入既有電漿處理系統部份的瞭解。先前技藝系統丨0及 發明系統1 中一些共同或類似的元件,皆使用相似的參 考編號。系統1 0中本發明所納入的部份包含一處理室】6其 係由適當的金屬構成,例如不鏽鋼。處理室1 6定義了一處 理空間20,在其中產生電漿。將處理室16關上,而形成封 閉處理空間20的是處理室蓋子22,其也最好由不鏽鋼製 作。處理室蓋2 2適當地與處理室16密封在一起,用以提供 一低壓或真空的環境,以符合電漿處理原則^ 一支撐結構 24,可用於與處理室蓋22耦合’而支撐隔離板μ及—氣體 散佈元件’例如所說明的注入頭1 4。隔離板1 2可由一電氣 離材料來製作’例如石英。注入頭1 4包含複數個適當形 成的孔洞於其上及底面2 9上,用以將處理氣體注入在靠在 一基板支樓或谷納處32的基板30之上。注入頭丨4可採用任 何適當的形式’並通常設定為可由一處理氣體供應19及氣 體供應線1 8接收處理氣體,及將氣體透過孔洞28均勾地散 佈在基板30上。孔洞28的型式及數目’最好是能夠在基^ 上k供一均勻及平穩的處理氣體流動。適合的注入頭設計 在美國專利編號5, 5 6 7, 243及08/ 94 0, 779,如上所述。注 入頭是以適當的金屬來製造,例如鋁或是lnc〇nel。氣體 供應線18會通過一形成於處理室上的適當注入口21。 谷益32靠在基座33之上’並支樓一平面基板3〇,其方向 一般皆平行於注入頭1 4。對於一特定的處理,如c v D或 P E C V D處理(或一蝕刻製程),基板3 0及相關的容器3 2,需
第12頁 46 662 7 i五、發明說明(9) 一」 要被加熱(或編碼),因此經由基座33而與適當的加執或冷 ,系統,及溫度控制系統(未示)轉合。並且,也需要旋轉 丨容器32來促使基板30的均勻沉積。因此,容器32可盥—外 :部旋轉控制系統37耦合在一起。對於本技藝的專業;;士可 以立即瞭解到’其它的容器控制系、統,像是背面加熱系 ,-先,基板墊塊,或夾持系統等,皆可在本技藝所熟知的原 貝J之下用於合器32。在處理過程中,處理室丨6的處理空間 2^0是處於低壓狀態,因此處理室u係透過處理室16上一適 田的開口而麵合至一真空系統3 9,例如真空開口 3 4。在處 :理空間2 0中的壓力係由真空系統3 9維持,並符合已知的製 裎參數。 為了在處理空間2 0中製造及維持一電漿,注入頭丨4由一 射頻功率供應4 0做偏壓。如此一適當的供應係在大约 1 3 ‘ 5 6 Μ Η z運作,並能夠以大約1 〇 〇 _ 1 2 〇 〇瓦提供給注入頭 14 °再者’在處理系統1〇中平行板架構中,上部容器表面 3 5之間保持一微小距離,並用以支撐基板3 〇,並與注入頭 :1 4的下表面2 9相對。這樣一適當的距離大約在2 5mm,或約 丨1英吋。為了保證注入頭14及隔離板12相對於基板30及處 I理氣體供應線1 8的適當對準,將對準腳42用在處理室蓋22 !輿隔離板之間’以及隔離板1 2及注入頭1 4之間。處理室蓋 ! 9 〇 : 隔離板1 2及注入頭1 4皆要符合熟知的原則而加以適當 地密封,藉以保證能夠維持一適當的真空,使處理氣體能 :維待在處理室1 6中,特別是處理空間2 0中,而提供一均勻 :及密實的電漿於基板近處3 0。
第13頁
4 6 662 T 丨五、發明說明(ίο) : | 處理系統10的電極是由大致呈平面及平行的注入頭14及 I容器32所構成。注入頭14及容器32可以分別保持曲面29 !及曲面35。但是曲面29, 35最好為平面,最好彼此之間成; :平行的排列。如上所言,注入頭1 4由射頻功率供應40進行i 丨偏壓。容器32基本上會接地(雖然其也被偏壓),並為此而I ;耗合於處理室16 ’其亦耗合於一接地參考45。處理氣體供 應,特別是供應線1 8 ’也耦合於接地參考4 5。如圖1所 |示’ 一通道46係透過隔離板12而形成,並將氣體供應線! 8 i耦合至注入頭14。注入頭14通常包含一空間(未示),用於 導入處理氣體’並接著由孔洞2 8進行散佈。開口 4 6係適當 地麵合與密封於供應線1 8及注入頭1 4的介面,而保證氣體 I能夠有效率地傳送給注入頭,而不會漏氣。舉例而言,一丨 i 0型環’圖中未示,可用於隔離板12及處理室蓋22之間, 來防止供應線1 8與隔離板1 2介面之處的氣體洩漏。
: I 圖2說明本發明可以應用一處理系統,其類似於圖1所示 的系統1 0。為此,相似的元件使用相同的參考編號。圖2 所示的發明系統1 0a採用一隔離板組件49,其中包含—通 1 |道,配合橫向隔開的通道區段,而能夠防止在偏壓注入頭 丨及接地的處理室或氣體線之間的視線式氣體通道。在一且 | y N ! I體實施例十,此組件包含多個隔離元件,像是個別的隔離 I板50a,50b。此兩片隔離板50a, 50b共同降低及防止電焚 分解。組件4 9,像是隔離板,係由一電氣隔離材料所適當 ; 丨構成的,例如石英。當一組件4 9採用所示的多個元件時, :本發明也可利用一單一元件而形成與本發明相符的通道。
第14頁 4 6 662 7 五 '發明說明(11) 丨由供應線18而來的處理氣體是經由第一隔離板5〇a及一通' 丨 運區段52 ’並接著透過一通道區段54及第二隔離板5〇1)來 注入。為與本發明原則相符,通道區段52, 54係彼此橫向 地隔開,以使不會在偏壓的注入頭電極14,接地處理室16 | 或供應線1 8之間構成一直線路徑或是直接視線式路徑。通 迢區段52, 54係以一交叉通道區段56而耦合在一起,並在 丨隔開的通道區段間形成至少_個元件,或是隔離板5〇a, 50b。此隔開的通道區段52, 54及交叉通道區段56共同透| |過隔離器組件49形成通道。在圖2所示的具體實施例中, ’父又通道區段56完全形成於上部元件5〇a ;但是,交叉通 迢區段56也可以形成於元件5〇b,或可同時形成於元件 丨50a’ 50b部份區域上。因此,處理氣體在偏壓注入頭電極 i 14 ’及處理室16,或氣體供應線a之間所經過的路徑,可 以有效地延長,而不會使電漿形成直接視線式路線而構成 與接地參考短路。在本發明一較佳具體實施例中,交叉通 道區段56將具有與通道區段52, 54相同或稍大的橫截面尺 寸’而可以最小化或防止氣體流的限制。如圖2所示,在 |通道區段52, 54及交叉通道區段56中的電漿流動,會碰到 至少兩個直角或9 0度的轉彎’其係形成於通道區段5 2,5 4 及區段56間的介面。隔離板50a, 50b係形成及設置成堆叠 在一起’所以隔離板間的介面51,提供一基本上隔絕氣體 |的通道區段52, 54及區段56的耦合。
| 在堆疊的隔離板50a, 50b中的通道區段52, 54,可依需 I :要加以配置在板之間,而能夠滿足處理系統1 〇 a的特定幾 ;
第15頁 I五、發明說明(12) ; :何及設計考量。在本發明的一具體實施例中,注入頭1 4及.| :隔離板50a, 50b為圓形’區段56則遵循其形成板的圓形幾| I何形狀。再者’多個氣體供應線18可用來引入氣體到注入i 頭。在本發明的此具體實施例中,成對的適當地形成的通 道區段52, 54及相對應的交叉通道區段56,可應用於隔離 板50a, 50b°為了進行不同的隔離板5〇a, 5〇b間的對準, I處理室蓋22及注入頭14,對準腳42可適當地運用。在一較 佳具體實施例中,對準腳最好是陶瓷的。 i 圖4A及4B說明上部及下部隔離板5〇a, 50b組成的具體實 丨施例,並符合本發明的一具體實施例。隔離板包含多對橫 |向隔開的通道區段,並有相關的交叉通道區段形成於成對 |的隔開的通道區段之間。如此一來,可採用多個氣體供應 |線來傳送氣體給注入頭14,並經由隔離器組件形成多個通 '道。更特定地說,隔離板50a, 50b —般為圓形的橫截面, 並包含成對的通道區段,標示為52a,54a ; 52b,54b; 丨 丨52c’ 54c ;及52d, 54d。相對應的交叉通道區段56a, i 56b,56c,及5 6d與成對的通道區段耦合在一起。此交又 通道區段為一半圓形的外形,而可吻合隔離板5〇a, 的i :橫載面形狀。在圊4A及4B所示的具體實施例中,外部的通 道區段56a, 56d ’及相對應的成對隔開的通道區段52a, ! i 54a及52d, 54d比内部通道區段56b及56c來得大,以及相 關的隔開的通道區段。 i 圖4A,4B的組合件,因此可以用於注入多種處理氣體到 i
I I 一注入頭。舉例而言,一處理氣體供應可與組合件的内部
第16頁 ,丨 6 669 了
五 '發明說明(13) I 通道相耦合,而當另一個不同處理氣體供應可與外部通道 相耦合。一非混合的注入頭,如專利編號08/94〇, 779所 示,引用於此做為參考,可以用於本發明的隔離器組件 適當的孔洞5 7係形成於上部或頂部板5 0 a的上表面,用 以在隔離板50a及處理室蓋22的介面之間而接受陶究對準 丨 i 腳4 2。同樣地’適當的開口 5 8係同樣形成於上部板5 0 a的 下表面59 ’及下部板50b的上表面60,用以在板之間的介 面上接受對準腳42。最後,一適當的開口 62係形成於一下 部板50b的下表面63,用以在注入頭14及下部隔離板5〇b間 耦合於一支對準腳。
為了構成注入頭的偏壓,射頻能量必須透過隔離器組件 搞合於注入頭。為此’隔離器纟且件4 9包含一射頻孔洞於其i 中。參考圖4A,4B,孔洞62a, 62b係形成於板上,來構成I 一整體的射頻孔洞。一金屬板或墊圈可以應用於元件 5 0 b,用以實質地耦合注入頭至隔離器組件。 圖3 s兒明在一處理系統1 〇及1 〇 &中所產生的電製放電的負: 載電阻圖,以及在一系統中射頻偏壓注入頭的直流自我偏 丨 壓相對於射頻功率,應用於一氫氣電漿及單一的隔離板,| 如圖1所示,隔離器組件49與隔離板5〇a, 50b’如圖2, 4Λ 及4B所示。如圖3中不同的資料點所示,在處理室16的空 i 間中’其射頻頻率為13_ 5 6MHz及處理壓力0.45 To rr,傳 送至系統1 0的射頻功率限制為3 5 〇瓦。處理空間是保持在 約175-2 00t。如圖3的資料點70及在大約350瓦的功率位 | 準之參考箭頭71,72所示,負載電阻有一陡峭的增加,如 |
第17頁 4 6 6S2.了 五、發明說明(14) 一一— 參考箭頭71所示’及注入頭的直流自我偏壓有一陡峭的下. 降,如參考編號72所示。電漿的負載電阻的陡峭增加,以 及注入頭直流自我偏壓的陡峭下降,皆表示經由隔離板在| 通道46令形成一寄生放電,其中注入頭14開始透過電漿形: 成電弧到接地。注入頭内的電漿係在使用CVD處理的系統 1 0中加強,因為沉積會發生在個別通道或注入頭丨4的孔洞 2 8。一般而言,系統1 〇的射頻功率限制將依據在處理空間 20中壓力增加而增加,因為在較高的壓力下,在注入頭必i 須用於傳遞一特定射頻功率到電漿的射頻電壓可以較低。 圖3中參考編號75所指出的資料點,其中與本發明相符 的系統10a,係以兩片堆疊的隔離板5〇a, 5〇b來使用,如 圖4A及4B所示。利用系統10a所發明的設計,幾乎沒有功 率的限制,並可到1 3 0 〇瓦。 為與上 來引入處 理相符, 可使用多 率位準, 身而言, 成於超過 明亦包含 適當的管 橫向隔開 —通道 > 供應線1 8可以用 上述的本發明原 ,5 0b之外,也 中需要較高的功 短的長度。就本 短的通道區段形 如此一來,本發 疊隔離板,配合 面,以及適當的 隔離板中,提供 及注入頭1 4間的 述的本發明原理相符,多個氣體 理氣體到注入頭14。再者,為與 在圖2所示的兩個堆疊隔離板5〇a 個隔離板。舉例而言,在—處理 交叉通道區段56可能需要—非常 通道區段56可以利用—系列的較 兩個多個隔離板的介面來 具體實施例,採用任何i::堆 道形成於隔離板之間的每—個介 及非對準的氣體通道,而形成於 而用於一個或多個氣體供應線18
G 6 6 2 T 五、發明說明(15) 處理氣體。 本發明提供在射頻電極及一接地參考間的一較長的路徑 I長度,以便能夠防止電漿分解。再者,橫向隔開及非對準 丨通道區段52 ,54耦合於通道區段56,能夠防止注入頭電極 :與一接地參考間一直接視線式孔洞,以阻止在電漿分解發 生時的次級電子崩潰。本發明的系統10a也較不易受到熱 衝擊的影響,因為多個較薄的板比單一較厚的板較不受破 |壞的影響,如果系統在一高溫環境下可以抽氣到大氣中。 ! 丨本發明的系統1 0 a的另外的好處在於可以省略某些氣體供 應元件,因而可以製作較簡化的氣體傳送系統。舉例而 :言,因為氣體供應線丨8沒有直接視線式的連接到注入頭電 i i極14,因此在氣體供應線18中不需要利用分開的射頻中止 1元件,其通常是圖1所示系統10的必要元件。 當本發明已藉由上述的具體實施例加以說明,而具體實 施例也有詳細的說明,但並非發明人的意圖將這些做為限 制,或以任何方式來限制所提出申請專利範圍。此技藝的 專業人士將可立即瞭解到額外的好處及其它修正。因此, ;本發明以廣泛角度而言,並不限於特定所述細節中代表裝 置及方法,以及所示及說明的範例。因此,由這些細節可 做若干的改變,皆可在不背離本發明人通用的創新概念之 精神及範圍之内完成。

Claims (1)

  1. 662 7 案號 89105934 六、申請專利範圍 1. 一種用於利用電 統包 結構 理室 體到 理氣 組件 入口 係橫 區段 通過 在處2. 件包 段延 離器 含: 一處理室,其定 ,用於在處理空 一氣體注入口, 中; 一注入頭 處理空間 一電能供 體形成一 一隔離器 用來將注 此隔離器 經由隔離 向地隔_ 此通道另 之間而將 隔離器組 藉此可防 理過程中 ,位於 之内; 應,用 電漿; 組件, 入頭與 組件包 器組件 之區段 可包含 橫向隔 -ff 漿來處理基板之處理系統,此處理系 義一處理空間,此處理室包含一支撐 間中支撐一基板; 在處理室中用於引入一處理氣體到處 處理室内,用以由注入口散佈處理氣 於偏壓注入頭而以注入頭所散佈之處 位於注入頭及處理 處理室形成電氣隔 含一通道一通過期 傳送一處理氣體, 室之間,此隔離器 離; 間,用於由氣體注 此通道具有彼此間 交叉通道區段,延伸於橫向隔開的 開的通道區段耦合在一起,並形成 件的通道 > 用以傳送一處 止一直接目視式通過隔離 持電漿的穩定性" 第1項之處理系統 能夠維 如申請專利範圍 第二電氣隔離元件,每一 而用於 對應通 含第一及 伸於其中 元件的相 理氣體到注入頭; 器組件的通道,而 其中的隔離器組 元件包含一通道區 穿過隔離器元件來透傳送一氣體,隔 道區段係彼此橫向地隔開,交叉通道
    O:\63\6338l.ptc 第1頁 2001.09. 03. 021 662 7 _案號89105934 彳。年7月〆0曰 修正_ 六、申請專利範圍 區段則橫向地形成於至少一個元件中,並延伸於隔開的通 道區段之間而將通道區段耦合在一起,並形成完整的通 道。 3. 如申請專利範圍第2項之處理系統,其中該交叉通道 區段係完全形成於一元件上。 4. 如申請專利範圍第1項之處理系統,其中該隔離器組 件由石英構成。 5 .如申請專利範圍第1項之處理系統,其中該電能供應 為一射頻功率供應,利用射頻能量對注入頭進行偏壓。 6. 如申請專利範圍第2項之處理系統,該隔離器元件為 平面隔離板,其中一片堆疊於另一片之上。 7. 如申請專利範圍第6項之處理系統,另可包含對準 腳,定位於隔離板之間,用於提供相對應通道區段及交叉 通道區段間的對準,以形成上述的通道。 8. 如申請專利範圍第1項之處理系統,其中該通道在注 入頭與處理室間形成至少一 90度角度,用於一處理氣體的 流動 9. 如申請專利範圍第1項之處理系統,其中隔離器組件 另可包含穿過的多個通道,每一個通道具有一對橫向隔開 的通道區段,以及一交又通道區段與隔開的通道區段耦合 在一起。 1 0.如申請專利範圍第9項之處理系統,其中多個通道彼 此是實質性地隔開。 1 1 .如申請專利範圍第1項之處理系統,其中該交叉通道
    O:\63\63381.ptc 第2頁 2001.09. 03. 022 466627 案號 89105934 Ο 修正 六、申請專利範圍 區段為半圓形的形狀。 1 2 .如申請專利範圍第6項之處理系統,其中該隔離板, 每一片形成一平面,而上述橫向隔開通道區段的配置,一 般是垂直於上述的隔離板平面,交叉通道區段一般則配置 成與其所形成於其上的隔離板相平行。 1 3. —種利用電漿處理一基板之處理系統,此處理系統 包含: 一處理室,其定義一處理空間,此處理室包含一支撐 結構,用於在處理空間_支撐一基板; 一氣體注入口 ,在處理室中用於引入一處理氣體到處 理室中; 一注入頭,位於處理室内,用以由注入口散佈處理氣 體到處理空間之内; 一電能供應,用於偏壓將注入頭而以注入頭所散佈之 處理氣體形成一電漿; 一隔離器組件,位於注入頭及處理室之間,此隔離器 組件用來將注入頭形成與處理室做電氣隔離,並具有複數 個電氣隔離器元件,在注入頭與處理室之間配置成彼此相 鄰; 每一個上述的隔離器元件具有穿過其間的一通道區 段,用於由氣體注入口傳送一處理氣體通過隔離室元件, 相鄰隔離器元件的相對應通道,係彼此橫向地隔開; 至少一交叉通道區段在相鄰隔離器元件之橫向隔開通 道區段間延伸,而將橫向隔開的通道耦合在一起,用於通
    O:\63\63381.ptc 第3頁 2001.09. 03.023 46 6627 _案號89105934 7 年(月(0曰 修正_ 六、申請專利範圍 過相鄰的隔離器元件而傳送一處理氣體; 藉此可防止一直接目視通過隔離器組件的通道,而在 處理過程中能夠維持電漿的穩定性。 14. 一種電氣隔離組件,用於在一處理系統中將一偏壓 的氣體散佈元件隔離於一接地參考,而利用電漿來處理一 基板,此隔離組件包含: 一處理室,其定義一處理空間,此處理室包含一支撐 結構,用於在處理空間中支撐一基板: 一電氣隔離器組件,設定在定位於一偏壓氣體散佈元 件與一接地參考之間,而為氣體散佈元件的電氣隔離; 此隔離器組件具有穿過其間的一通道區段,用於由氣 體注入口傳送一處理氣體通過隔離室元件,此通道其中具 有彼此間係橫向地隔開之區段I 此通道另可包含一交叉通道區段在橫向隔開通道間延 伸,而將橫向隔開的通道耦合在一起,並形成通過隔離器 元件的完整通道,用於傳送一處理氣體到一氣體散佈元 件; 藉此可防止一直接目視通過隔離器組件的通道,而在 處理過程中能夠維持電漿的穩定性。 1 5.如f請專利範圍第1 4項之組件,其中隔離器組件包 含第一及第二電氣隔離元件,每一元件具有一通道區段延 伸穿過,用以穿過此隔離器元件而傳送一氣體,隔離器元 件的相對應通道區段係彼此橫向地隔開,交叉通道區段則 形成於至少一個元件上,並延伸於相隔開的通道區段之
    O:\63\63381.ptc 第4頁 2001. 09. 03.024 46 6627 _案號 89105934 年,月p 曰__ 六、申請專利範圍 間,而將通道區段耦合在一起,並形成完整的通道。 1 6 .如申請專利範圍第1 4項之組件,其中該隔離器組件 係由石英構成。 1 7 .如申請專利範圍第1 4項之組件,其中該隔離器組件 為平面的隔離板,並一片堆疊於另一片之上。 1 8 .如申請專利範圍第1 4項之組件,其中該通道形成至 少一 90度角度,用於通過隔離器組件而形成一處理氣體的 流動。 1 9.如申請專利範圍第1 4項之組件,其中隔離器組件另 可包含穿過的多個通道,每一個通道具有一對橫向隔開的 通道區段,以及一交叉通道區段與隔開的通道區段耦合在 一起。 2 0. —種用於利用電漿處理一基板之方法,此方法包 含: 在一處理室中定位一基板而在其中定義一處理空間; 引入處理氣體進入處理室; 利用位於處理室中的注入頭來散佈處理氣體; 對注入頭做電氣偏壓而形成一電漿,並由注入頭與處 理氣體共同散佈; 將注入頭與處理室做電氣隔離,利用一隔離器組件定 位於處理室與注入頭之間; 將通過該隔離器組件的處理氣體透過通過該隔離器組 件的通道傳送至注入頭; 此通道其中具有係彼此橫向地隔開之區段,另可包含
    O:\63\63381.ptc 2001.09. 03.025 第5頁 4 6 6627 ^ _案號89105934 勺々年吖月/ 〇曰 修正_ 六、申請專利範圍 一交叉通道區段延伸於橫向隔開的通道間,而將橫向隔開 的通道耦合在一起,並用於穿過隔離器組件的通道而傳送 一處理氣體到注入頭; 藉此可防止一直接目視通過隔離器組件的通道,而在 處理過程中能夠維持電漿的穩定性。 2 1 .如申請專利範圍第2 0項之方法,其中隔離器組件包 含第一及第二電氣隔離元件,每一元件具有一通道區段延 伸穿過,用以穿過此隔離器元件而傳送一氣體,隔離器元 件的相對應通道區段係彼此橫向地隔開,交叉通道區段則 形成於至少一個元件上,並延伸於相隔開的通道區段之 間,而將通道區段耦合在一起,並形成完整的通道。 2 2 .如申請專利範圍第2 0項之方法,其中所稱的隔離器 组件由石英構成。 2 3 .如申請專利範圍第2 0項之方法,其中所稱的隔離器 元件為平面隔離板,其f 一片堆疊於另一片之上。 2 4.如申請專利範圍第2 3項之方法,另可包含利用對準 腳進行隔離板的對準,其對準腳係定位於隔離板之間,用 於提供相對應通道區段及交叉通道區段間的適當的對準, 以形成上述穿過組件的通道。 2 5 .如申請專利範圍第2 0項之方法,另可包含多個橫向 隔開的通道區段,其形成於相對應的隔離器元件,一交叉 通道區段形成於隔離器組件,並與相關於每一個隔開的通 道區段,而將每一個通道區段輕合在一起。 2 6 .如申請專利範圍第2 0項之方法,其中所稱通道在注
    O:\63\63381.ptc 第6頁 2001.09. 03. 026
    O:\63\63381.ptc 第7頁 2001.09. 03.027
TW089105934A 1999-03-31 2000-03-30 Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber TW466627B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/282,534 US6173673B1 (en) 1999-03-31 1999-03-31 Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber

Publications (1)

Publication Number Publication Date
TW466627B true TW466627B (en) 2001-12-01

Family

ID=23081961

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089105934A TW466627B (en) 1999-03-31 2000-03-30 Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber

Country Status (7)

Country Link
US (1) US6173673B1 (zh)
JP (1) JP3884620B2 (zh)
KR (1) KR100440658B1 (zh)
DE (1) DE10081173T1 (zh)
GB (1) GB2356082B (zh)
TW (1) TW466627B (zh)
WO (1) WO2000059005A1 (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395640B2 (en) * 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US20020053694A1 (en) 1998-06-10 2002-05-09 Sutcliffe Victor C. Method of forming a memory cell with self-aligned contacts
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
JP4847009B2 (ja) * 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7140374B2 (en) 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20140057388A1 (en) * 2010-07-27 2014-02-27 Amtech Systems, Inc. Systems and Methods for Depositing and Charging Solar Cell Layers
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
CN108431930A (zh) * 2016-01-07 2018-08-21 应用材料公司 具有远程等离子体源和dc电极的原子层蚀刻***
US10533252B2 (en) * 2016-03-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Showerhead, semicondcutor processing apparatus having the same and semiconductor process
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
SG11202103808YA (en) * 2018-11-05 2021-05-28 Applied Materials Inc Magnetic housing systems

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5391664A (en) 1977-01-24 1978-08-11 Hitachi Ltd Plasma cvd device
JPS6098629A (ja) 1983-11-02 1985-06-01 Hitachi Ltd プラズマ処理装置
JPS60116126A (ja) 1983-11-28 1985-06-22 Ricoh Co Ltd プラズマcvd装置
JPS6141763A (ja) 1984-04-24 1986-02-28 Anelva Corp 薄膜作成装置
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4728528A (en) 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
US4853251A (en) 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US4798165A (en) 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4800105A (en) 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
DE3742110C2 (de) 1986-12-12 1996-02-22 Canon Kk Verfahren zur Bildung funktioneller aufgedampfter Filme durch ein chemisches Mikrowellen-Plasma-Aufdampfverfahren
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5018479A (en) 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
JPH0192375A (ja) 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4908330A (en) 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
JPH01198481A (ja) 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
JP2776826B2 (ja) 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02234419A (ja) 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
US4979465A (en) 1989-04-03 1990-12-25 Daidousanso Co., Ltd. Apparatus for producing semiconductors
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5052339A (en) 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JP2939355B2 (ja) 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
JPH05152208A (ja) 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber

Also Published As

Publication number Publication date
GB0030075D0 (en) 2001-01-24
GB2356082B (en) 2003-12-31
JP3884620B2 (ja) 2007-02-21
GB2356082A (en) 2001-05-09
WO2000059005A1 (en) 2000-10-05
JP2002540622A (ja) 2002-11-26
KR20010043913A (ko) 2001-05-25
DE10081173T1 (de) 2001-06-13
KR100440658B1 (ko) 2004-07-19
US6173673B1 (en) 2001-01-16

Similar Documents

Publication Publication Date Title
TW466627B (en) Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
TWI798443B (zh) 用於提供較短及對稱的接地路徑的接地路徑系統
CN101469416B (zh) 用于处理衬底的设备
JP2020534667A (ja) 2つの埋込電極を有する基板支持体
US20200161096A1 (en) Plasma generating apparatus and substrate processing apparatus
US8968514B2 (en) Gas distributing device and substrate processing apparatus including the same
US20110214812A1 (en) Gas distributing means and substrate processing apparatus including the same
US20070163440A1 (en) Gas separation type showerhead
US20100177454A1 (en) Electrostatic chuck with dielectric inserts
CN102047388A (zh) 气体分布喷头裙部
CN103094044A (zh) 对称等离子体处理室
JPH11158633A (ja) Cvd反応及びpecvd反応で反応物ガスの早期混合を防止するための装置並びに方法
CN104046961B (zh) 衬底支撑器以及包含所述衬底支撑器的衬底处理设备
KR20010051570A (ko) 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치
JP2022524088A (ja) プラズマ処理チャンバにおける高周波(rf)電力印加のための静電チャック
TWI479597B (zh) 提供減少電漿穿透與電弧之靜電吸盤的方法與設備
KR20130142972A (ko) 기판 처리 장치 및 기판 처리 방법
KR102439759B1 (ko) 챔버 어셈블리 및 반응 챔버
TWI811331B (zh) 具有拆分窗的微波電漿源
CN101113517A (zh) 衬底处理设备
KR102430392B1 (ko) 상부 전극 어셈블리, 반응 챔버 및 원자층 증착 디바이스
KR101765323B1 (ko) 플라즈마 발생 장치 및 기판 처리 장치
KR101843770B1 (ko) 선택적 표면처리가 가능한 선형 플라즈마 발생 장치
KR101804561B1 (ko) 높은 공간 선택성을 가지는 선형 플라즈마 발생 장치
KR20190007141A (ko) 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees