TW426915B - Semiconductor device and method for the fabrication thereof - Google Patents

Semiconductor device and method for the fabrication thereof Download PDF

Info

Publication number
TW426915B
TW426915B TW087108340A TW87108340A TW426915B TW 426915 B TW426915 B TW 426915B TW 087108340 A TW087108340 A TW 087108340A TW 87108340 A TW87108340 A TW 87108340A TW 426915 B TW426915 B TW 426915B
Authority
TW
Taiwan
Prior art keywords
resin
solvent
insulating layer
solution
solvents
Prior art date
Application number
TW087108340A
Other languages
English (en)
Inventor
Akihiko Kobayashi
Katsutoshi Mine
Takashi Nakamura
Motoshi Sasaki
Kiyotaka Sawa
Original Assignee
Dow Corning Toray Silicone
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP13886097A external-priority patent/JP3210601B2/ja
Priority claimed from JP29859297A external-priority patent/JP3208100B2/ja
Application filed by Dow Corning Toray Silicone filed Critical Dow Corning Toray Silicone
Application granted granted Critical
Publication of TW426915B publication Critical patent/TW426915B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

\96 C 6 7 Β 經潦邡中矣掠绛扃貝工消費合作社印裂 克、發明説明(1 ) 本發明是有關於一種形成低介電常數之電絕緣薄膜的製 私,以及一個具有連接構造的半導體裝置,它至少包含一 個導電層與中間夾置一個絕緣層。本發明也涉及製造這種 半導體裝置的方法。 半導體元件的越來越小型化(半導體裝置越來越高階的 積體化)已導至半導體基板上多階連接構造的應用。爲了 降低導體之間的寄生電容,通常這種半導體裝置内利用的 絕緣層物質主要的是包含氧化碎。 矽酸絕緣薄膜是已知可做爲保護層與電子裝置中的絕緣 層。利用濕式鍍膜組成以形成鍍層的方法是大家熟悉的方 法°例如’美國專利編號4,756,977説明的方法就是應用倍 半碎氧统化氫樹脂溶劑溶液於基板上,以矽酸薄膜鍍在電 子裝置上,再蒸發溶劑且以1 5〇。〇到丨〇〇〇t的溫度加熱而起 轉變作用成爲類似矽酸的陶質材料。 一個已知的情況是絕緣薄膜的介電常數可以藉著絕緣薄 膜本身形成氣孔構造而降低。例如,美國專利编號 5,548,159説明的方法就是應用倍半矽氧烷化氫樹脂產物的 烘烤形成一個具有氣孔構造的絕緣薄膜以做爲高階積體電 路的介電層。然而這個專利並沒有説明形成氣孔構造的特 別方法。 因爲半導體元件的小型化導致連接小間隙數的增加與連 接之間的鬲度相對比例,所以大的薄膜厚度與連接之間很 好的塡補容量是絕緣層所需要的。近年來,具有連接間隙 低於0.18微米(μηι)的高階積體電路已設計於半導體裝置 -4 - 本紙張尺度適用中國國家標準(CNS } Α4規格(210+乂297公釐) {請先W请背面之注意事項其填寫冬育0 -装· ♦\stf 經濟部中央標孪局員工消費合作社印製 …9'5_^_ 五、發明説明(2 ) 中。然而這種型式的高階積體電路中絕緣層所需的介電常 數要低於2.7 ’甚至倍半梦氧貌化氫樹脂形成的絕緣層也 無法得到這樣的介電常數。 本發明的一個目地就是提供一種方法以形成—個具有低 介電常數的絕緣薄膜。 本發明進一步的一個目地就是提供—個具有低介電常數 絕緣層的半導體裝置以及其製造方法。 本發明是有關於形成電絕緣薄膜的方法,其中這個方法 包含一種在基板表面的組合物鍍膜,此組合物包含 (A) —種樹脂係由下列各物中選出:電絕緣、熱固化有機 樹脂與電絕緣、熱固化無機樹脂;以及 (B) —種可以溶解樹脂(A)的溶劑;以及 (C) 一種沸點或蒸氣壓曲線或親合力與溶劑(B)不同的容 劑; 之後’至少蒸發一部份的溶劑(B)與(C);再曝光此基板於 高能輻射中且在固化樹脂(A)時或其過程之後,引發剩餘 溶劑的氣化。 本發明也是有關於形成電絕緣薄膜的方法,其中這個方 法包含一種組合物鍍膜在基板表面,此组合物包含 (A) —種樹脂係由下列各物中選出:電絕緣、熱固化有機 樹脂與電絕緣、熱固化無機樹脂;以及 (B) —種可以溶解樹脂的溶劑;以及 (D) —種可溶解在溶劑(B)中的物質以及藉由加熱形成溫 度範圍0 °C至80(TC時或與樹脂(A)作用而產生氣體; -5- 本紙張尺度適财國a家轉(CNS ) Μ祕(210X297公釐) I——·-------1------t------# (請先閎讀背面之注意事項再填#本頁) 正頁(89年12月) 五 ^28¾08340號專利申請案 6 吏読明書修正頁(89年1? 發明説明( <後’蒸發溶劑(B);再曝光此基板於高能赛射中且在固 化樹脂(A)時或其過程之後,從物質⑼中引發氣 生。 本發明的方法可以導致薄膜的氣孔化或稀疏化以及降低 介電常數至低於2.7。這樣可以讓丰導體裝置内連接之間 的寄生電容適當地H而此半導體#置的連接構造至少 包含一個導電層於半導體基板的表面上並以一個央置其門 的電絕緣層關-甚至在連接間“利.職米的高^ 體電路中亦然。 圖式之簡單說明 圖1(a)是一截視圖,其顯示開始製造一半導體元件時於 一半導體基板上形成一個基部絕緣層;圖1(b)是—截视 圖,其顯示隨後於半導體基板之表面塗佈之步驟以形成一 絕緣層;圖1 (〇是一截视圖,其顯示光罩及蝕刻步驟且 選擇性地敍刻絕緣層;以及圖丨(d)是一截视圖,其顧示 於半導體基板上形成一多層互連結構之步驟。 ---------^------.π------線 - « 「請先叼讀背面之注意事^再填?ξ本頁〕 經濟部中央棣华局員工消費合作杜印製 本紙伕尺度適用中國國家標挛(CNS )厶4規_格(2i〇X297公釐) λ o X7®8340號專利申請案 文說明書修正頁(89年12月) g7 五、發明説明(3a) 元件符號說明 1半導體基板 5孔洞 2基部絕緣層 6a、6b上層連接 3a、3b、3c低層連接 7絕緣層 4絕緣層 經濟部中夬樣準局貝工消費合作社印裝 本發明所利用的無機或有機樹脂(A)如果可以溶於溶劑 中就可加以應用,並且可以在應用之後利用熱固化,以及 提供絕緣作用。樹脂(A)無機物的實例有矽酸前身樹脂例 如倍半碎氧坡化氫樹脂(Hydrogen silsesquioxane)、珍坑氧 基部份水解化合物(partial hydrolyzates of alkoxysilane)、 與其它物質;而有機樹脂的實例有聚醯亞胺樹脂 (P〇丨yimide resins)、氟煙(fluorocarbon)樹脂、環丁婦甲苯 (benzocyclobutene) 樹脂、與氟化聚烯丙基***(fluorinated polyally丨ethers) » 樹脂(A)可以是單一樹脂形式或兩個以上之樹脂混合形 式。矽酸前身樹脂(具固化成為矽酸的能力)是較佳的選 擇*因為它提供特佳的絕緣性質。在這些矽酸前身樹脂 中,可以利用於非回蝕製程的倍丰矽氧烷化氫樹脂是最佳 的選擇。 倍半矽氧烷化氫樹脂是一種聚矽氧烷,它主要的架構包 -6a - 未紙汝尺度適用中國國家樣率(CNS ) A4規格(210X297公釐) 經濟部中央標準局負工消費合作社印製 A7 B7 五、發明説明(4 ) 含三功能基矽氧烷單元的HSi03/2,且是一個通式爲 (Hsi〇3/2)n的聚合物,其中下標η是整數。倍半碎.氧烷化氫 樹脂基於它們的分子結構可分類成階梯型聚碎氧熄與箱型 聚矽氧烷。階梯型聚矽氧烷的端點例如可以由經基、三有 機矽氧化合物如三甲基矽氧基、或二有機氫矽氧基如二甲 基氫矽氧基做爲末端阻斷。倍半矽氧烷化氫樹脂可用合成 方式得到,例如三氣矽烷的水解與隨後的縮聚作用(參考 美國專利編號3,615,272與日本專利應用公開或未審的)編 號Sho 59-189126 (189,126/1984)與 Sho 60-42426 (42,426/1985))。 溶劑(B)應該可以溶解樹脂(A)而不會同時發生化學變 化’但它不是很重要。適合做爲溶劑的實例有芳香族 溶劑如甲苯、二曱苯、與其它同族之物;脂肪族溶劑如己 燒、庚烷、辛烷 '與其它同族之物;酮類溶劑如甲基乙基 酿1、甲基異丁基酮、與其它同族之物;脂肪酯溶劑例如乙 酸丁酯、乙酸戊酯、與其它同族之物;以及矽酮溶劑例如 甲基矽氧烷鏈如六甲基二矽氧烷與1,1,3,3-四甲基二矽氧 坡、環矽氧烷如1,1,3,3,5,5,7,7-八甲基四環矽氧烷與 1,3,5,7-四曱基四環矽氧烷、與矽烷如四甲基矽燒與二甲基 二乙基矽烷。甲基異丁基酮與矽酮溶劑是較佳的選擇。 溶劑(C)是可溶解的且至少具有下列中的一個特徵:沸 點、蒸氣壓曲線、與樹脂親和力是不同於溶劑(B)相對的 特徵。沸點高於溶劑之沸點的溶劑是較佳的選擇。溶 劑(C)的實例有(括弧中的値是沸點):碳化氫溶劑例如戊 苯(202°C)、異丙苯(152°C)、1,2-二乙苯(183°C)、1,3-二乙苯 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) :™裝------訂------線--P - - (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 Α7 Β7 五、發明説明(5 ) (181°C) ' 1,4-二乙苯(i84°C)、環己苯(239°C)、二戊烯(177 C )、2,6-二甲萘(262°C )、p-異丙基甲苯(177°C )、樟腦油 (1 60-1 85°C)、^:溶劑(110_2〇〇。〇、順式-十氫 ^(196«C)、反 式十氫萘(187*C)、癸烷(174°C)、四氫荔(2〇7。〇、松節油 (153,175°C)、煤油(200-245°C)、十二烷(216°C)、分支的十 二院基笨與其它同類物質;酮與醛溶劑例如乙醯苯(2〇 i 7 匸)、異佛爾酮(215.3°(:)'佛爾鲷(198-199。(:)、甲基環己酮 (169-170_5°C)、甲基n_庚酮(195.3。〇)、與其它同族物質; 酿溶劑例如二乙基酞酸鹽(296‘TC)、乙酸苄酯(2Ι5·5ΐ)、 γ-丁内酯(204。(:)、草酸丁二酯(24(rc)'乙酸_2乙基己酯 (198.6°C)、苯曱酸乙酯(213.2"C)、甲酸苄酯⑽代)、與其 它同族物質;含硫化合物溶劑例如硫酸乙酯(2〇8t )、環减 烷(285°C)、與其它同族之物:函烴溶劑、醇溶劑;醚化碳 化氫溶劑;羧基酐溶劑;酚基溶劑;以及矽酮溶劑。 在形成絕緣薄膜的組成中,樹脂(A)溶解於溶劑(]5)與((:) 中,溶劑(B)與(C)不只是做爲樹脂的溶劑,而且它們會在 樹脂固化時或之後氣化並逸出,因此在絕緣薄膜中留下空 隙或空間,結果產生一個低介電常數的絕緣薄膜。主要$ 劑(B)的主要部份會在鍍膜基板之後馬上蒸發,但一部: 會留在薄膜中,而殘留部份的作用是形成空隙。然而,^ 了有效地降低介電常數,溶劑(C)必需加到溶劑(b)中,= 劑(c)是一個或更多個溶劑的组成並且比溶劑(b)具更高的 沸點,或是具有與溶劑(B)不同的蒸氣壓曲線,也就是, 更不容易蒸發,或與溶劑⑻比起來,對樹脂具不同的親 -8- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---;-------1------1T------a (請先閲讀背面之注意事項再填寫本頁} 經濟部中夬標準局員工消費合作社印製 * q λ 6 A7 厶 2^9 Β7 五、發明説明(6) 和力。溶劑(c)在鍍膜基板之後,仍然在薄膜中保持多 量,且樹脂固化時或之後它會蒸發並且逸出。溶劑(c)並 不重要,但選擇它的要點應是著重在得到與樹脂固化溫度 之間有最佳的關係。 添加物(D)是氣體產生源,它是一種或更多可溶於溶劑(B) 之物質的混合,且可因加熱形成的溫度範圍〇 °C至8〇〇°C或 與樹脂反應的作用中產生氣體。”氣體產生’’意謂的是揮發 產生的氣體、獨自分解反應產生的氣體、以及與樹脂起化 學反應產生的氣體。 在形成絕緣薄膜的组成中,樹脂(A)與物質(D) —起溶解 於溶劑(B)中’物質(D)經由加熱或與樹脂反應而產生氣 體’取好也是在樹脂固化時或固化之後從系統逸出。產生 氣體的效應,最好也是從系統逸出,它是爲了在絕緣薄膜 中產生空隙或自由空間,因此降低絕緣薄膜的的介電常 數。氣體從物質(D)產生時的溫度必需與形成絕緣薄膜的 溫度相容,且是在0°C至800°C之間,最好是25。(:至4〇〇。(: 之間。因爲在較佳實例中,大部份的溶劑(B)在鍍膜到基 板上之後立刻蒸發且從物質(D)產生的氣體之後接著產 生’從物貝(D)產生成體的起始溫度最好比溶劑(b)的滞點 高。 經由揮發產生氣體的添加物(D)實例有有機固體例如二苯 基、萘、E、與其同族之物,以及油類例如矽酮油與其同 族之物,但不限於此類。當倍半矽氧烷化氫樹脂做爲樹脂 時,最好搭配妙酮。 -9- 本紙張尺度適用中國國家榇準(CNS ) Μ規格(2 [ Ο X 297公釐) --;-------^------1Τ------0 - - (請先閲讀背面之注意事項再填寫本頁) 5五、發明説明( A7 B7 經濟部中央標準局員工消費合作社印製 由本身分解產生氣體的添加物⑼實例有 .過氧化二苯甲睦與其類似之物,但不限於此類。物如 和樹脂反應產生氣體的添加物⑼實例有胺 四甲基·U6.己烷二胺,當樹脂包含氫切^ 其中產生的氣體是氫氣。 鍍膜在基板上的樹脂是利用曝光於高能輕射中形成固化 作用,例如電子束、紫外線輕射'm與並 的高能輕射。它可以利用單-型態的輕射或多種輕射的组 合。曝光於高能輕射中可以保持低溫下引發樹脂的固化: 如上述’經由曝光於高能輕射中而在低溫下 ㈣u使其可以利用低分子量的倍切氧貌化氫樹^ 为子宜小於1’50G。低分子量的倍半砂氧貌化氫樹脂可以提 供很好的能力來鍍膜與平坦化基板,並且可以填入盥藏入 基板表面因結構造成的變異,例如連接結構。然而,曝光 在高溫.的同時會使樹脂四處散落而污染周圍的設備,並縮 小薄膜厚度。因此,在熱固化的實例中,例如,烤壚中’, 它必需事先從倍切氧坑化氫樹脂中移除低分子量成份。 然而,曝光於高能輕射中可以使用低分子量的倍半石夕氧烷 化氫樹脂。 樹脂固化反應的空氣也不是很重要,除了氮氣與氧氣之 外,固化反應也可以在特別的空氣中進行例如水氣、氨 氣 我化氮、與臭氧 當高能輻射是電子束的時候,曝光可以進行於周圍氣壓 下或降低的氣壓中。在環境溫度下的放射,空氣並不重要 10- 本紙乐尺度適用中國國家標革(CNS ) A4规格(210X297公廣:) 』-------襄-- f請先閲讀背面之注^W項再填寫本頁) 訂一-J 1---線--Ί------ 經滴部中央櫺準局R Μ消贫合作社印欠 Α269^6 五'發明説明(8) 且可以在上述的空氣中進行曝光。在降低壓力的放射中, 眞空度並不重要,且放射可以在任何壓力範圍下進行,例 如超高眞空至接近環境壓力的眞空下。此外,曝光是在降 低壓力下進行時,且特別是樣品在高眞空曝光後立刻保持 在降低壓力下,絕緣薄膜中產生的獨立鍵可以保持著。這 種情況下,眞空度下降而允許各種氣體靠近樣品,可以讓 氣體與樣品鍵結或代替獨立鍵,因此可以利用放射之後的 反應來形成薄膜。 由固化反應產生的交叉結合,例如,可以是基於矽鍵結 合氫的濃縮反應產生的交叉結合、基於矽鍵結合氫與矽鍵 結合乙烯族的附加反應產生的交又結合、以及基於烷氧基 族與梦燒基族的農縮反應產生的交叉結合就如無機與有機 SOGs的已知型態。考慮這種情況,形成絕緣薄膜的组成 中可以包含一種適當選擇的添加物以加速高能輻射引發的 固化反應。這種固化加速器,例如,可以是一個销包含像 氣銘酸六元水合物,且應該適當選擇以符合高能輻射的形 式。 本發明產生薄膜的方法可以利用在半導體裝置的製造 上。如圖1 (a.)所示,製造過程始於形成一個基部絕緣層2 在一個半導體基板1(矽晶圓),而其表面已形成一個半導 體元件(未顯示)。例如,這種裝置可以覆蓋—個BPSG薄 膜在整個半導體基板表面並重艘薄膜s 一個導電層由爽錢 金屬的方法,例如鋁金屬,形成於基部絕緣層2上。因 此’更低層的連接3 a、3 b、3 c可由已知的方法形成圖樣式 -11 - 本紙張尺度適用中國囡家標準(CNS ) A4規格(2丨Οχ 297公瘦) Ρ : 裝-- (請先間讀背面之注意事項再填寫本頁) 丁 -° 5 經濟部中夬標準局員工消費合作'杜印製 Α7 Β7 五、發明説明(9 ) 的導電層。 如圖1(b)所示,一個絕緣層4接著以鍍層方式例如旋轉塗 饰的方法形成於半導體基板丨整個表面上,且包含薄膜形 成的成份,接著再以高能輻射曝光來固化樹脂。這個製程 之中,氣體的產生是在絕緣層4中樹脂固化時或固化之後 引發的’且氣體的產生導致絕緣層4的稀疏化。這種稀疏 化在絕緣層4中以氣孔方式或增加自由空間的方式發展而 成。 如圖1(c)所示’例如’到達低層連接3 a與3 ^的孔洞5由 光阻罩上並各別選擇性地蝕刻覆蓋於更低層連接3 a與3 c 的絕緣層4而成。之後,一個導電層以金屬濺鍍方法,例 如鋁滅鍍方法,形成覆蓋在整個表面上。接著以電漿蝕刻 法回蝕直到絕緣層4的曝光,而保持中間層以孔洞5連接。 如圖1 (d)所不,上層連接6 a與6 b以及絕緣層7以相同的 方法形成於回蝕過的表面上,它與更低層連接33至3£;以 及絕緣層4使用的方法一樣。上述步驟導致半導體基板〗上 面低層連接3 a與3 c以及上層連接6 a與6 b多層結構的形 成,其中連接以基部絕緣層2與中間絕緣層4、7的形成電 絕緣。上述説明的製造方法可以得到稀疏化絕緣層4的介 電常數低於2.7。 爲了降低絕緣層的介電常數至低於27,本發明中它有需 要藉著增加氣孔或自由空間來降低絕緣層密度。然而,這 種氣孔特徵的發展必需不會在薄膜強度 '介電崩潰、附著 力、與濕氣吸收上產生負面影響。因此,絕緣層樹脂最好 本紙張尺度朝巾® ϋ家德輋(cm) ————.-------裝------訂-----.—線-----Γ J— - - (請先閲讀背面之注意事項再填寫本頁) 12 經濟部中央搮隼局員工消費合作社印袋 r α \ 5 a? 2,^^ Β7 " ~ _____ ____ 五、發明説明(1〇 ) 是二氧化矽的前身倍半矽氧烷化氫樹脂。 在樹脂溶液鍍上半導體基板後,溶劑被移除,樹脂被溶 解以填入半導體基板上表面的凹陷處以及使絕緣層上的下 陷處和突出點平坦化。這種情況下,樹脂最好具有溶點或 軟化點。1 當樹脂溶液沒有包含添加物(D)時,樹脂固化之初產生的 氣體是由溶劑產i。當樹脂纟液包含添加物⑼時,樹脂 固化之初產生的氣體是由添加物或溶劑產生。在任一狀況 下,樹脂固化之初必需產生氣體。當樹脂未固化時產生氣 體,類似破裂的情況會在最後得到的絕緣層發生,因而不 能產生分解樹脂後所期望得到的絕緣層厚度。 樹脂開始固化時’ A 了產生氣體,在引發固化之溫度, 溶劑或添加物的化學穩定可以藉著開始產生氣體時給與高 溫以及/或樹脂開始固化時給與低溫而得到。氣體的產生 最好疋在一段適當固化後,但在完全固化之前。完全固化 前氣體的產生可以有效地在絕緣層中產生氣孔。 氣體的產生也可以在降低氣壓時進行。在降低氣壓時產 生氣體可以加速氣體的產生。除了利用加熱產生氣體外, 也可以利用超音波或微波(例如電磁輻射)感應產生氣體。 利用微.波感應產生氣體可以降低整個製造過程的溫度處 理。氣體產生後,可進一步利用加熱或高能輻射的曝光進 行樹脂固化。製程中溫度的處理可因高能輻射的應用而降 低。 氣體的產生可由例如揮發(簡單的氣化)、氣化反應如分 -13- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 11..-------奸衣------1T------線 (請先閲讀背面之注意事項再填寫本頁) 6 λ 9 β
7 B 五、發明説明(11) (請先閱讀背面之注意事項再填寫本頁) 解反應以及化學反應(也包含與樹脂之間的反應昇華、 以及固體液化之後的氣化。可以進行氣化或分解並且在產 生氣體之後不會在系統中殘留的添加物是—個較佳的 選擇,因爲它們不會對半導體裝置產生負面影響。 絕緣層的介電常數可以藉由下述的方法,在半導體基板 上鍍膜而降到低於2.7。把溶解在溶劑中的有機樹脂及/或 無機樹脂鍍在半導體基板上,接著以高能輻射曝光來固化 菽樹脂以形成絕緣層,並在樹脂開始固化之後,因絕緣層 内邵氣體的產生而稀疏化該絕緣層。這樣可以讓半導體裝 置内連接之間的寄生電容降至適當的値,其中此連接結構 至少包含一個導電層配置於半導體基板上,而且以一個夾 置其中的電絕緣層隔開-縱使在一個連接間隙小於〇丨8微米 的高階積體電路中也是可以產生此種效應。 實例 所以’那些熟悉本技藝者可以了解與認知本文中所説明 的發明’它以下述中的一些實例做進—步的説明,但是有 一點必需了解的,這些實例不應該被利用來限制本發明中 如申請專利範圍所説明的領域。一埃相當於〇, 1毫微米 (nm)。 經濟·部中央標準局員工消费合作社印製 碎酸的轉變是利用傅利葉轉換紅外線(Fourier transform-infrared)吸收光譜量測絕緣層中矽-氫鍵的殘餘量百分比來 評估(旋轉塗佈之後的量視爲丨〇〇%)。 介電常數是以矽晶圓上形成的一個具有電阻10_2Ω-αη、 在25°C/百萬赫茲之下量測得到。量測方法是利用阻抗分 -14- 本紙蒗尺度適用中國國家橾準(CNS ) Α4規格(210Χ297公釐) 經濟部中央榡準局員工消費合作社印褽 Α7 Β7 五、發明説明(12 ) 析儀以夾層方式用鋁做爲電極測量連接之間的電容値。 ,具有多層鋁連接結構基部(連接圖樣的特徵高度爲〇5微 米、寬與間隙各爲0.18微米)並且鍍上化學氣相沉積薄膜的 半導體裝置被利用於下文的實例i_7中以及比較實例i與2 中説明。 ~ 實例1 _ 形成倍半碎乳燒化乳樹脂的分子.量比例爲一平均分子香 1,540與一平均分子量7,7〇5(分子量以,5〇〇的組合物=41%, 溶點90°C)的組合物,因而得到的合成部份爲一平均分子量 5,830與平均分子量11,200的混合組合物(落點爲I8〇«c)。 這種h-樹脂溶解於甲基異丁酮中而得到包含固體比重18% 的溶液。此溶液中以溶液重量爲基礎再加入1 %比重的環 己苯。 倍半珍氧统化氫樹脂溶液以500轉/分鐘(rpm)的轉速進行 3秒旋轉塗佈於半導體裝置上,之後保持5,〇〇〇 rpm的主要 轉速1 0秒鐘。溶液芫全蒸發之後,在室溫中保持丨〇分 鐘’因而在其最深的部位得到厚度8,〇丨〇埃的薄膜。接著利 用加速電壓165千伏特(KV)的電子束發射器,在包含7〇單 位/百萬(ppm)氧分子之氮氣流下,讓晶圓曝光在放射量8 〇 百萬輻射量(Mrad)的電子束中,這時候,絕緣層比剛剛旋 轉塗佈完成之後更不易溶解於甲基異丁嗣之中。 接著,晶圓在包含10 ppm氧氣的氮氣流之下,於4〇〇。(:的 石英爐中退火1小時,再取出來放置於室溫中1 〇分鐘。形 成於晶圓上的絕緣層包含的ί夕-氫鍵殘留量爲74%,由此可 -15- 本紙張尺度適用中國國家橾準(CNS〉Α4規格(210 Χ 297公釐)
—-------裝------、訂------Μ (請先閎讀背面之注意事項再填寫本頁;I Δ2Β9ΐ^. Α7 Β7
經濟部中央標準局—工消費合作社印I 五、發明説明(13) 確認倍半矽氧烷化氫樹脂轉變成矽酸的比例爲26%。而 且’在轉變之後’絕緣層上沒有發現到不正常現象,例如 破裂等等。 絕緣層上形成化學氣相沉積薄膜之後,多層連接結構以 通路孔連接技術慢慢地形成。半導體裝置中最後形成的絕 緣層介電常數爲2.5,且沒有發現到電子特性上任何不正 常的現象。 實例2 實例1中描述的h -樹脂部份溶解於甲基異丁酮中而得到 固體比重1 8%的溶液。此溶液中以溶液重量爲基礎加入 10%比重的環己基苯。 利用實例1中説明的方法,倍半矽氧烷化氫樹脂溶液旋 轉塗佈於半導體裝置上’而在其最後深部份形成厚度8,020 埃的薄膜。接著,晶圓如實例i説明的方法,曝光於電子 束中並且退火。形成於晶圓上的絕緣層包含的梦-氫鍵殘 留量爲73%,由此可確認倍半矽氧烷化氫樹脂轉變成矽酸 的比例爲27%。而且,在轉變之後,絕緣層上沒有發現到 不正常現象,例如破裂等等。 絕緣層上形成化學氣相沉積薄膜之後,多層連接結構以 通路孔連接技術慢慢地形成。半導體裝置中最後形成的絕 緣層介電常數爲2.4,且沒有發現到電子特性上任何不正 常的現象。 實例3 實例1中描述的h -樹脂部份溶解於甲基異丁酮中而得到 -16 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29·?公楚} .~·I--------裝------訂------線--- {請先聞讀背面之注意事項再填寫本頁) Λ ___Β7 經濟部中央橾準局員工消費合作社印装 五、發明説明(14 ) -- 固體比重W溶液。此溶液中以溶液重量爲基礎加入 10%比重的環己基苯。 么呀加入 利用實例1中説明的方法,拉 去倍+矽氧烷化氫樹脂溶 轉塗伟於半導體裝置上,作是+ 谷及旋 仏疋王要轉速爲4 5〇〇 10秒鐘,而在其最後深邱&布士 r A ^ 便冰郅伤形成厚度13,200埃的薄膜。接 奢’如貫例1説明的方法,使曰 _ 々由便印圓曝光於電子束中並且退 火。形成於晶圓上的绝後》4人L 虼緣層包含的矽_氫鍵殘留量爲 7 4 %,由此可確認倍半妙氣^ 干矽巩烷化氫樹脂轉變成矽酸的比例 爲26%。而JL,在轉變之後 竹又又後,絕緣層上沒有發現到不正 現象,例如破裂等等。 絕緣層上形成化學氣相沉積薄膜之後,多層連接結構以 通路孔連接技術慢慢地形成。半導體裝Η最後㈣㈣ 緣層介電常數爲2.4,且沒有發規 又哨贫現到電子特性上任何不正 常的現象。 實例4 實例1中描述的h·樹脂部㈣解於?基異丁酮中而得到 固體比重_溶液。此溶液中以溶液重量爲基礎加入 10%比重的戊苯。 利用實例1中説明的方法,倍切氧燒化氬樹脂溶液旋 轉塗佈於半導體裝置上,而在其最後㈣份形成厚度8,_ 埃的涛膜。接著,如實⑴說明的方法,讓晶圓曝光於電 子束中並且退火。形成於晶圓上的絕緣層包含的矽-氫鍵 殘邊量爲74 /〇’由此可確認倍半矽氧燒化氨樹脂轉變成梦 酸的比例爲鳩。而且,在轉變之後,絕緣層上沒有發現 -17- 本紙張尺度適用中國國家標準(CNS ) A4規格(21 〇 X 297公潑〉 (請先閲讀背面之注意事項再填寫本頁) -裝 、1Τ ---線---^--; J.— • ---1 I I:
.^n I - I II 經濟部中央標隼局員工消費合作杜印製 五、發明説明(15) 到不正常現象’例如破裂等等。 絕緣層上形成化學氣相沉積薄膜之後,多層連接結構以 通路孔連接技術慢慢地形成。半導體裝置中最後形成的絕 緣層介電常數爲2.4 ’且沒有發現到電子特性上任何不正 常的現象。 實例5 實例1中描述的h -樹脂部份溶解於甲基異丁酮中而得到 固體比重18%的溶液。此溶液中以溶液重量爲基礎加入 10%比重的二苯基。 利用實例1中説明的方法,倍半矽氧烷化氫樹脂溶液旋 轉塗佈於半導體裝置上’而在其最後深部份形成厚度8,〇15 埃的薄膜。接著’如實例i説明的方法,讓晶圓曝光於電 子束中並且退火。形成於晶圓上的絕緣層包含的矽-氫键 殘留量爲74%,由此可確認倍半矽氧烷化氫樹脂轉變成矽 酸的比例爲26%。而且,在轉變之後,絕緣層上沒有發現 到不正常現象,例如破裂等等。 絕緣層上形成化學氣相沉積薄膜之後,多層連接結構以 通路孔連接技術慢慢地形成。半導體裝置中最後形成的絕 緣層介電常數爲2.4,且沒有發現到電子特性上任何不正 常的現象。 實例6 形成倍半妙氧坑化氫樹脂的分子量比例爲一平均分子量 1,540與一平均分子量7,705且具有溶點90°C的组合物,因 而得到的合成部份爲一平均分子量743與一平均分子量 -18- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210XW7公釐) Ί J J 裝------、玎-------^ (請先閱讀背面之注意事項再填寫本頁) 5 A7 B7 五、發明説明(16 ) 1,613且具有溶點爲25°C的混合组合物。這種h-樹脂溶解於 六甲基二矽氧烷/八甲基三矽氧烷(3〇/7〇比重)中而得到包 含固體比重25%的溶液。此溶液中以溶液重量爲基礎再加 入10%比重的環己基苯。 利用實例1中説明的方法,倍半矽氧烷化氫樹脂溶液旋 轉塗佈於半導體裝置上,但是主要轉速是3,〇〇〇 rpm並進行 1 0秒鐘,因而在其最深的部位得到厚度8,〇 15埃的薄膜。 接著,如實例1,讓晶圓曝光在電子束中並且退火,但是 電子束放射量爲160 Mrad。形成於晶圓上的絕緣層包含的 矽-氫鍵殘留量爲72%,由此可確認倍半矽氧烷化氫樹脂轉 變成矽酸的比例爲28%。而且,在轉變之後,絕緣層上沒 有發現到不正常現象,例如破裂等等。 絕緣層上形成化學氣相沉積薄膜之後,多層連接結構以 通路孔連接技術慢慢地形成。半導體裝置中最後形成的絕 緣層介電常數爲2.2,且沒有發現到電子特性上任何不正 常的現象。 實例7 100/。比重的環己基苯被加到一個二氧化矽前身的有機玻璃 塗佈(spin-〇n-glass)上(取自東京〇y〇 Kagaku Kogyo Kabushiki Kaisha 之OCD型式7)。 利用實例1説明的方法,有機玻璃塗佈溶液旋轉塗佈在 半導體裝置上,而在其最深部·份形成厚度7,52〇埃的薄膜。 接著,晶圓曝光於電子束中並且退火,就如實例1中所説 明的方法。這時候’它被確認已轉變成矽酸。而且轉變之 -19^ 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ 297公t ) —'-------^------1T------.^ C请先閱讀背面之注意事項异填寫本頁) 經濟部中央標準局貝工消f合作社印裝 \ 〇 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(17) 後絕緣層上沒有發現到不正常現象,例如破裂等等。 絕緣層上形成化學氣相沉積薄膜之後,多層連接結構以 通路孔連接技術慢慢地形成。半導體裝置中最後形成的絕 緣層介電常數爲2.6 ’且沒有發現到電子特性上任何不正 常的現象。 比較實例1 實例1中描述的h-樹脂部份溶解於甲基異丁酮中而得到 固體比重18%的溶液。 利用實例1中説明的方法,倍半珍氧燒化氯樹脂容液旋 轉塗侔於半導體裝置上,而在其最後深部份形成厚度8〇15 埃的薄膜。接著’晶圓在包含1〇ppm氧氣的氮氣流之下, 於4紙的石英壚中退幻小時,再取出來放置於室溫中iq 分鐘。形成於晶圓上的料層包含㈣.氫鍵殘留量爲 75%’由此可確認倍切氧健化氫樹脂轉變切酸的比例 爲25%。而且’在轉變之後,絕緣層上沒有發現到不正常 現象,例如破裂等等。 絕緣層上形iU匕帛氣相沉積薄膜之*,多㉟以 通路孔連接技術慢慢地形成。半導# # 干夺隨裝置中最後形成的絕 緣層介電常數爲2_8’1沒有發現到電子特性上任何不正 常的現象。 比較實例2 實例】中描述的h-樹脂部份溶解於甲基異丁酮中而得到 固體比重20%的溶液。 利用實例1中説明的方法’倍切氧境化氫樹脂溶液旋 -20- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210χ297公釐) ,1^^-1τ*'4 (請先聞讀背面之注意事項再填寫本頁) '5 '5
A7 ____B7_ __ 五、發明説明(18 ) 轉塗怖於半導體裝置上,但是主要轉速爲4,500 rpm並持續 10秒鐘’而在其最後深部份形成厚度13J〇〇埃的薄膜。接 著’晶圓在包含1 〇 ppm氧氣的氮氣流之下,於400°C的石 英爐中退火1小時,再取出來放置於室溫中分鐘。形成 於晶圓上的絕緣層包含的矽-氫鍵殘留量爲75%,由此可確 認倍半碎氧烷化氫樹脂轉變成矽酸的比例爲25%。這個例 子中’轉變成的絕緣層厚度會實際地降低,並且發現到破 裂的現象〇 絕緣層上形成化學氣相沉積薄膜之後,多層連接結構以 通路孔連接技術慢慢地形成。半導體裝置中最後形成的絕 緣層介電常數爲2.8,且在裝置某些部份發現電子連接暇 斑點。 實例8 倍半碎氧烷化氬樹脂以曰本專利出版(K〇k〇ku)編號Sho 47-3 1838(美國專利編號3,615,272)實例1 (第3頁)中説明的 方法進行合成。利用凝膠浸透套色法(GPC)分析倍半矽氧 燒化氫樹脂產物得到—平均分子量1,54〇與一平均分子量 7’705的组合物。根據日本專利應用Laid 〇pen(K〇kai或尚未 審的)編號Hei 6-157760(157,760/1994)(美國專利編號 5,416,190)中實例丨(第5頁)説明的方法,倍半矽氧烷化氫 樹脂會受限於分子量分布比例。利用GPc分析倍半砂氧垸 化氫樹脂還原後的部份("h-樹脂部份,,),得到一平均分子 量5,830與一平均分子量n,200的組合物。下面説明的是 GPC量測的條件。 -21 - 本紙張尺度適用中國國家‘羋(CNS ) A4規格(2丨0'乂 297公釐) I I 裝 1* 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 五、發明説明(19)
儀器:Tosoh公司的802A 系列:G3000/G4000/G5000/G6000 溶劑載體:甲苯
儀器溫度:30°C 分子量標準:聚苯乙烯 偵測法:微分折射計 樣品:2 %比重的固體(甲苯溶液) h -樹脂部份溶解於甲基異丁酮中而得到22〇/〇比重(固體) 的溶液。此溶液中以溶液重量爲基礎加入1 %的環己基 苯。溶液以500轉/分鐘(rpm)的轉速進行3秒鐘旋轉塗佈於 碎晶圓上,之後保持3,〇〇〇 rpm的轉速1 〇秒鐘,再放置於 室溫中保持10分鐘,而產生厚度6,040埃的薄膜。接著利 用加速電壓165千伏特(KV)的電子束發射器,在包含7〇單 位/百萬(ppm)氧分予的氮氣流之下,讓晶圓曝光在放射量 80 Mrad的電子束中,這時候,絕緣層比剛剛旋轉塗佈完 成之後更不易溶解於甲基異丁酮之中。 接著,晶圓在包含10 ppm氧氣的氮氣流之下,於4〇〇<1(:的 石英爐中退火1小時,再取出來放置於室溫中〗〇分鐘。形 經 濟 部 中 央 標 準 員 工 消 費 A 社 印 製 成於晶圓上的絕緣層包含的矽_氫鍵殘留量爲74%,由此可 確認倍半矽氧烷化氫樹脂轉變成矽酸。而且,形成的絕緣 層上沒有發現到不正常現象,例如破裂等等。絕緣層的介 電常數爲2.4。 實例9 實例8中準備好的h樹脂部份溶解於甲基異丁綱中而得 -22- 適财_家標準(CNS ) A4規格( Λ 經濟.那中央標芈局負工消費合作社印製 五、發明説明(2〇 ) 到22%比重(固體)的溶液。此溶液中以溶液重量爲基礎加 入10%的環己基苯=> 以實例8中説明的鍍膜方法產生厚度 6,270埃的薄膜。接著,如同實例8,讓晶圓曝光在電子束 放射器中以及退火。形成於晶圓上的絕緣層包含的矽-氫 鍵殘留量爲74%,由此可確認倍半矽氧健化氫樹脂轉變成 矽酸。而且,形成的絕緣層上沒有發現到不正常現象,例 如破裂等等。絕緣層的介電常數爲2.4。 實例1 0 貫例8中準備好的h -樹脂部份溶解於曱基異丁明中而得 到35%比重(固體)的溶液。此溶液中以溶液重量爲基礎加 入〗0%的環己基苯。以實例8中説明的鍍膜方法產生厚度 13,500埃的薄膜,但是主要轉速爲2〇〇〇 rpm且持續1 〇秒 鐘。接著,如同實例8,讓晶圓曝光在電子束放射器中以 及退火。形成於晶圓上的絕緣層包含的矽_氫鍵殘留量爲 74〇/。,由此可確認倍半矽氧烷化氫樹脂轉變成矽酸。而 且’形成的絕緣層上沒有發現到不正常現象,例如破裂等 等。絕緣層的介電常數爲2.4。 實例1 1 實例8中準備好的h -樹脂部份溶解於曱基異丁辆中而得 到22%比重(固體)的溶液。此溶液中以溶液重量爲基礎加 入10%的戌苯。以實例8中説明的鍍膜方法產生厚度6,丨5〇 埃的薄膜。接著,如同實例8,讓晶圓曝光在電子束放射 器中以及退火。形成於晶圓上的絕緣層包含的矽‘氫鍵殘 留量爲74%,由此可確認倍半矽氧烷化氫樹脂轉變成矽 -23- 本紙張尺度適用中國國家樣準(CMS ) A4規格(2!〇X297公釐} — i ^------IT------0 (請先閲讀背面之注意事項再洛寫本頁)
/V 五、發明説明(21 ) A7 B7 經濟部中央樣準局負工消費合作社印11 鉍。而且,形成的絕緣層上沒有發現到不正常現象,例如 破裂等等。絕緣層的介電常數爲2.4。 實例12 實例8中準備好的h -樹脂部份溶解於罗基異丁酮中而得 到22%比重(固體)的溶液。此溶液中以溶液重量爲基礎加 入10 %的二苯基。以貫例8中説明的錢膜方法產生厚度 6,200埃的薄膜。接著,如同實例8’讓晶圓曝光在電子束 放射器中以及退火。形成於晶圓上的絕緣層包含的石夕-氫 鍵殘留量爲7 4 %,由此可確認倍半矽氧烷化氫樹脂轉變成 矽酸。而且,形成的絕緣層上沒有發現到不正常現象,例 如破裂等等。絕緣層的介電常數爲2.4。 實例13 貫例8中準備好的h -樹脂部份溶解於曱基異丁酮中而得 到22%比重(固體)的溶液。此溶液中以溶液重量爲基礎加 入10%的N,N,N’,N'-四甲基-1,6-己燒二胺。以實例8中説明 的鍵膜方法產生厚度6,10 〇埃的薄膜。接著,如同實例8, 讓晶圓曝光在電子束放射器中以及退火。形成於晶圓上的 絕緣層包含的矽-氫鍵殘留量爲32%,由此可確認倍半矽氧 虎化氫樹脂轉變成矽酸。而且,形成的絕緣層上沒有發現 到不正常現象’例如破裂等等。絕緣層的介電常數爲 2.4 〇 實例1 4 I例8中準備好的h _樹脂部份溶解於甲基異丁酮中而得 到22%比重(固體)的溶液。此溶液中以溶液重量爲基礎加 ^ 24 - 本纸張尺度適用中國國家標準(CNS )八4麟(21〇乂297公瘦) :-----------^------ΐτ-------線 •· (請先閱讀背面之注意事項再填寫本頁) ύ Λ\ 9 6 9 A/ 五、發明説明(22 ) 入50 ppm比重的過氧化二苯曱醯。以實例8中説明的鍍膜 方法產生厚度6,250埃的薄膜。接著,如同實例8,讓晶圓 曝光在電子束放射器中以及退火。形成於晶圓上的絕緣層 包含的碎-氫鍵殘留量爲35% ’由此可確認倍切氧燒化氮 樹脂轉變成#酸。而且’形成的絕緣層上沒有發現到不正 常現象,例如破裂等等。絕緣層的介電常數爲24。 實例1 5 倍半矽氧烷化氫樹脂以日本專利出版(K〇k〇ku)編號Sh〇 47-3 1838(美國專利编號3,61 5,272)實例ι(第3頁)中説明的 方法進行合成。利用凝膠浸透套色法(Gpc)分析倍半矽氧 坑化氫樹脂產物得到一平均分子量1,54〇與一平均分子量 7,705,以及包含41%分子量比重小於15〇〇的组合物。根據 曰本專利應用Laid Open(Kokai或尚未審的)編號]^6-157760(157,760/1994)(美國專利編號 5,416,190)中實例 1 (第 5頁)説明的方法,倍半矽氧烷化氫樹脂會受限於分子量分 布比例。利用GPC分析倍半矽氧烷化氫樹脂還原後的部份 ("h-樹脂邪份")’得到一平均分子量743與一平均分子量 1,613 ’以及包含比重7 2 %之分子量小於1,5 〇 〇的組合物。 GPC量測的條件與實例8中説明的一樣。 h-樹脂部份溶解於六甲基二矽氧烷/八甲基三矽氧烷 (30/70比重)中而得到包含固體比重30%的溶液。此溶液中 以溶液重量爲基礎再加入10%比重的環己.基苯。以實例8 中説明的鍍膜方法產生厚度6,3 50埃的薄膜。接著,如同實 例8,讓晶圓曝光在電子束放射器中以及退火。形成於晶 -25- 本紙張尺度適用宁國國家標準(_CNS ) A4規格(210X297公釐) . -------^------订------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 經濟部中央榡準局員工消費合作社印製 426915 A7 __________B7 五、發明説明(23 ) 圓上的絕緣層包含的矽-氫鍵殘留量爲72%,由此可確認倍 半碎氧娱1化氫樹脂轉變成矽酸。而且,形成的絕緣層上沒 有發現到不正常現象,例如破裂等等。絕緣層的介電常數 爲 2.2。 實例I 6 ίο%比重的環己基苯被加到一個有機玻璃塗佈(spin_〇n_ glass)上(取自東京〇y〇 Kagaku K〇gy〇 Kabushiki Kaishai 〇CD型式7)。~個厚度6,2〇〇埃的薄膜以實例8説明的鍍膜 万法產生。接著,如同實例8,讓晶圓曝光在電子束放射 器中以及退火。形成的絕緣層上沒有發現到不正常現象, 例如破裂等等。絕緣層的介電常數爲2 7。 實例1 7 以日本專利出版(Kok〇ku)编號Sh〇 美國專利編 號3,615’272)實例ι(第3頁)中説明的方法進行合成的倍半 矽氧烷化氫樹脂(平均分子量=1,54〇與平均分子量=7,7〇5) 落解於曱基異頂而產生26%㈣(固體)的溶液。此溶液 中以溶液重量爲基礎再加入1〇%比重的環己基苯。以實例 8中説明的鍍膜方法產生厚度6,1〇〇埃的薄膜。接著,如同 實例8,讓晶圓曝光在電子束放射器中以及退火。形成於 晶圓上的絕緣層包含的参氫鍵殘留量爲72%,由此^確说 倍半發氧故化氫樹脂轉變成,夕酸。而且,形成的絕緣層上 沒有發現到不正常現象,例如破裂等等。絕緣層的介電常 數馬2»4。 實例18 . -----—.π—-----線 (請先閱讀背面之注意事項再J/.寫本頁) 1 26 - 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 42^S 1 5 ' A7 — B7 五、發明説明(24) — 實例8中準備好的h-樹脂部份溶解於甲基異丁嗣中而得 到22%比重(固體)的溶液。此溶液中各別以溶液重量爲基 礎加入10%的環己基苯以及1 %的聚氧乙烯月桂乙謎。以實 例8中説明的鍍膜方法產生厚度6,350埃的薄膜。接著,如 同貫例8 ’讓晶圓曝光在電子束放射器中以及退火。形成 於晶圓上的絕緣層包含的矽-氫鍵殘留量爲73%,由此可確 認-倍半妙氧燒化氫樹脂轉變成矽酸。而且,形成的絕緣廣 上沒有發現到不正常現象,例如破裂等等。絕緣層的介電 常數爲2.4。 實例1 9 經濟部中央標準局兵工消費合竹社印策 -- I— 1 - - -1 -- -- - -- - I 士又 H - 1: - . . I I I -1 _ / - ίον 、-兑 {請先閱讀背面之注意事項再填寫.尽I ) 實例8中準備好的h-樹脂部份溶解於甲基異丁嗣中而得 到1 8%比重(固體)的溶液。此溶液中以溶液重量爲基礎加 入10/。的環己基苯。形成的溶液以起始轉速轉/分鐘 (rpm)的速度進行3秒旋轉塗佈於多晶矽晶圓上(結構高度 =0.5微米,寬度與間隙=0.18微米),之後保持5,〇〇〇 rpm的 主要轉速1 0秒鐘’接著於室溫中保持丨〇分鐘,因而在其 最深的部位得到厚度8ji〇埃的薄膜。晶圓在氮氣流之下放 置於熱平台上以不同加熱速度15〇。(:/每分鐘' 2〇(rC/每分 鐘、以及25(TC/每分鐘加熱,這樣會導至結構間的液化而 塡補整個間隙以及樹脂表面的平坦化。接著利用加速電壓 165千伏特(KV)的電子束發射器,在包含單位/百萬(ppm) 氧分子的氮氣流之下,讓晶圓曝光在放射量g 〇百萬輕射量 (Mrad)的電子束中,這時候,絕緣層比剛剛旋轉塗佈完成 之後更不易溶解於甲基異丁酮之中。接著,晶圓在包含1〇 -27- 本纸裱尺度適用中S1S家標準(CNS ) Λ4規格(210X 297公廣) 經漪部中央標準局Η工消費合作社印製 H d R -, cz ] y A7 ________B7 五、發明説明(25) ppm氧乳的氮氣流之下,於400 °c的石英爐中退火1小時, 再取出來放置於室溫中1 〇分鐘。形成的絕緣層上沒有發現 到不正常現象,例如破裂等等。 實例2 0 一種氟化聚烯丙基***樹脂根據1995年第十二屆國際 VLSI多階連接研討會會刊中116頁説明的方法進行合成。 利用GPC分析此樹脂產物得到—平均分子量以扣與—平均 分子量9,390的組合物。此種樹脂溶解於甲基異丁酮而產生 26%比重(固體)的溶液。此溶液中以溶液重量爲基礎再加 入10%比重的環己基苯。以實例8中説明的鍍膜方法產生 厚度6,070埃的薄膜。接著,如同實例8,讓晶圓曝光在電 子束放射器中以及退火。這樣可以降低絕緣薄膜的溶解 性。而且’形成的絕緣層上沒有發現到不正常現象,例如 破裂等等。絕緣層的介電常數爲2,4。 實例2 1 實例8中形成的h -樹脂部份溶解於甲基異丁酮而產生 22%比重(固體)的溶液。此溶液中以溶液重量爲基礎再加 入10%比重的環己基苯。以實例8中説明的鍍膜方法產生 厚度6,270埃的薄膜。接著,利用—個具有8kV加速電壓的 電子束放射器,在10 6拖耳(torr)的眞空度中讓晶圓曝光於 5mC/cm2的輻射量下1 〇秒鐘。之後,從眞空中取出樣品, 放置在一般空氣壓力下1 〇分鐘。這時候,絕緣層比剛剛旋 轉塗怖冗成之後更不易溶解於甲基異丁酮之中。 接著’晶圓在包含10 ppm氧氣的氮氣流之下,於400T:的 -28 - 本纸張尺度遄用中國國家標隼{ CNS ) Λ4規格(2!0Χ297公兹) 1--^----------裝------訂-------果 I (請先閱讀背面之注意事項再填寫木頁) 赶濟部中央標準局男工消費合作社印^ ^269 1 a A7 —________________B7 i、發明説明(26 ) 石英壚中退火1小時,再取出來放置於室溫中10分鐘。形 成於晶圓上的絕緣層包含的矽-氫鍵殘留量爲75%,由此可 確認倍半矽氧烷化氫樹脂轉變成矽酸。而且,形成的絕緣 層上沒有發現到不正常現象,例如破裂等等。絕緣層的介 電常數爲2.4。 實例2 2 實例8中形成的h -樹脂部份溶解於曱基異丁酮而產生 22%比重(固體)的落液。此溶液中以溶液重量爲基礎再加 入10%比重的環己基苯。以實例8中説明的鍍膜方法產生 厚度6,310埃的薄膜。之後,晶圓曝光在強度16〇取/⑽的 紫外光輻射中10分鐘,此紫外光輻射來自一個包含⑺卯^ 臭氧空氣巾的高壓水銀燈。這時候,纟δ緣層比剛剛旋轉塗 佈冗成之後更不易溶解於曱基異丁酮之中。 接著’晶圓在包含i〇ppm氧氣的氮氣流之下,於4〇(Γ(^々 石英爐中退火I小時,再取出來放置於室溫中i〇分鐘。形 成於晶圓上的絕緣層包含的矽_氫鍵殘留量爲71 %,由此可 確認倍半碎氧燒化氳樹脂轉變成碎酸。而且,形成的絕緣 層上/又有發現到不正常現象,例如破裂等等。絕緣層的介 電常數爲2.4。 實例2 3 實例8中形成的h -樹脂部份溶解於甲基異丁酮而產生 220/。比重(固體)的溶液。此溶液中以溶液重量爲基礎再加 入1〇%比重的環己基笨。以實例8中説明的鍍膜方法產生 厚度6,280埃的薄膜。之後,當晶圓放在一個加熱至250T; -29- 本紙張尺度適用中國國家標涿(CNS ) A4规210x297公57 (請先聞讀背面之注意事項再填寫本頁) -裝. 、1Τ 4269 A7 B7 經濟部中央#準局工消费合作社印^ 五、發明説明(27) 的熱盤上時,它曝光在強度160 W/cm的紫外光輻射中1 〇 分鐘’此紫外光輻射來自一個包含10 ppm臭氧空氣中的高 壓水銀燈。這時候,絕緣層比剛剛旋轉塗佈完成之後更不 易溶解於甲基異丁酮之中。 接著’晶圓在包含10 ppm氧氣的氮氣流之下,於400 °c的 石英爐中退火1小時,再取出來放置於室溫中1〇分鐘。形 成於晶圓上的絕緣層包含的矽-氫鍵殘留量爲70%,由此可 確認倍半矽氧烷化氫樹脂轉變成矽酸。而且,形成的絕緣 層上沒有發現到不正常現象,例如破裂等等。絕緣層的介 電常數爲2.4。 比較實例3 實例8中形成的h -樹脂部份溶解於曱基異丁酮而產生 22%比重(固體)的溶液。以實例8中説明的鍍膜方法產生 厚度6,070埃的薄膜。接著,晶圓在包含1〇 ρριη氧氣的氮氣 流之下,於400°C的石英爐中退火1小時,再取出來故置於 室溫中1 0分鐘。形成於晶圓上的絕緣層包含的_5夕-氫鍵殘 留量爲75% ’由此可確認倍半矽氧烷化氫樹脂轉變成矽 酸。但是形成的絕緣層上會發現到破裂現象。絕緣層的介 電常數爲2.8。 比較實例4 實例8中形成的h -樹脂部份溶解於曱基異丁酮而產生 35%比重(固體)的溶液。該溶液以旋轉塗的方法形成於 矽晶圓上而產生厚度13,200埃的薄膜,旋轉塗佈的起始轉 速500 rpm持續3秒鐘,再切入主要轉速2,000 rpm進行1 〇秒 -30- (請先閱讀背面之注意事項再填寫本頁) 丨裝- 訂 本纸張尺度適用中國國家標準(CNS ) Ad規格(2I0X 297公兹) A7 B7 28 五、發明説明( 鐘,之後’於室溫中保持1〇分鐘。接著,晶圓在包含 ΡΡπι氧氣的氮氣液之下,於4〇〇°c的石英爐中退火1小時, 再取出來放置於室溫中1〇分鐘。形成於晶圓上的絕緣層包 含的矽_氫鍵殘留量爲75%,由此可確認倍半矽氧烷化氫樹 脂已轉變成矽酸。但是形成的絕緣層上會產生破裂現象。 絕緣層的介電常數爲2.8。 比較會例5 實例8中形成的h -樹脂部份溶解於甲基異丁酮而產生 比重(固體)的溶液。以實例8中説明的鍍膜方法產生厚度 6,04〇埃的薄膜。接著,晶圓以實例8的方法曝光於電子束 輻射中以及退火。形成於晶圓上的絕緣層包含的矽%氮鍵 殘留量爲69%,由此可確認倍半矽氧烷化氫樹脂已轉變成 矽酸。而且,形成的絕緣層上沒有發現到不正 叩J兄象,例 如破裂等等。絕緣層的介電常數爲2 8。 ---;--------装-------1Τ-------采 ' * (請先閱讀背面之注意事項再填寫本頁) 部 中 k 標 年 局 Ά 工 消· 费 合 社 印 -31 -本紙浪尺度適用中國國家標準(CN'S ) A4規格(210x297公趁 4 2 691 5 A7 B7 五、發明説明(29 經濟部中央標準局另工消費合作社印製 vr *te. vr •宣 CV 窝 4 NJ U: 4^=. to to 座 to ►—· SJ o 8 OC -J ㈣ *^L. t—» σ> ㈣ 芝 t—* U/l \—· -u ㈣ “~· OJ to 8 宣 H-* *^- C: ΐΧ 〇> )—1 Ο 〇> σ> 00 O' ON a> ο Ο o ί—· ο O' 〇 Ο to LO to o oo OJ 1—* t〇 UJ NJ Ι-—· to NJ ο Ό CC 1—» <3 1—· L/\ o o Lti <-^ι Ο o L/l <1 办 〇 Ο ο C o 〇 o o 〇 o o 〇 Ο ο o o ο Ο ο ο 〇> a> 0> OC Ln Ch u> Oi ο o σ> 1^· ο ο ^—ι to to o to U) o tJ to »—* C so NJ to ο L/Ί o Ul o to 4^. ο Lrt o ο 〇 Ο ο ο o o L/1 o a a o Ο UJ cJ o ο ο ο 〇> ι—* ο ο ON ON OC 〇> Ul a Cri Ο θ' O' GN Η—* °Ί ο 〇 to ο bJ to o to r〇 ►—» OC ►^1 to >—* κ: ο L/1 L/i t—1 LO cri -F^ »—· <d μ Ui ο -0 h— NJ 〇 Ο ο Ο o o o 〇 o o o 〇 C U1 o 〇 ο ο ο Μ NJ to to to to NJ NJ NJ Μ NJ NJ K> Μ Μ OC 0C CC 4^| 1 i〇 •办 t私 私 >—i UJ ί—-* U) — 1—i- *—* »—· 1 ►—· -^1 1—l μ—· 办 »—· LO 1—· LO t—· 私 -ί^ ►—· ι—* 4^ VO ο ί—* o i~~1 i—I 1—1 Ο ν〇 ro 1—1 c> ο Ο κ> Μ ο Ο VC I-— 〇 K3 »~» 〇 〇J LO UO 〇 VC Ο ί·'·- 1—Ί 1k t—k μ—· Η—ι >—· »—· Η- Η—· OJ 1 ί f LO \c Ο 〇 〇 c o o H— Ο νΧ: Ο b〇 NJ 1—· Ο Μ t—· -J -J OC L/} I—» Ο 10 ο L/ί ο -0 私 1—> ►~* 1— μ_* ►—· N—» ^-· i—* ^―* t— (―* 1—* )— Η— 1—* OJ U3 UJ (-0 u: 〇J UJ i U3 UJ LO L〇 UJ UJ oo Ui LO υ〇 UJ o O' 〇 〇n ο θ' ο O'· Ο Ο \c NJ Μ CC o σ> υτι VC -U VC ο 4^ L/i *—* ♦—* L/Ί OJ -0 ο 1 ί -J K3 1 L0 Ut OJ ro <1 -0
S (請先閱讀背面之注意事項再填寫本頁) #焯 ΐ 本紙张尺度適用中國國家標準(CNS ) A4規格(210X297公釐〉 -32 -

Claims (1)

  1. 經濟部中央標準局員工消費合作社印製 4269 1 5 A8 B8 C8 _____ D8 六、申請專利範圍 ι·-種形成電絕緣薄膜的方法,其中該方法包括: (1) 以—種組合物塗覆基板表面,此组合物包含: (A) —種樹脂,選自電絕緣、熱固化有機樹脂或電 絕緣、熱固化無機樹脂, (B) 可/谷解樹脂(a)的第—溶劑,以及 (C) 第二溶劑,選自包括沸點不同於溶劑(B)的溶 劑,?务氣壓曲線不同於溶劑(B)的溶劑及對樹脂 (A)的親和力不同於溶劑(B)的溶劑, (2) 4發至少一部份溶劑(B)與(c);以及 (3) 接著使已塗覆的基板曝露於高能輻射下,以及在 固化樹脂(A)時或之後,引致殘餘溶劑之蒸發。 2· 一種形成電絕緣薄膜的方法,其中該方法包括以—種 組合物塗覆基板表面,此組合物包含: (A) —種樹脂,選自包括電絕緣、熱固化有機樹脂及 電絕緣、熱固化無機樹脂;以及 (B) 可;容解树脂(a)的第一溶劑;以及 (D)至少一種溶劑可溶的物質,選自 (i) 在0 °C至800 °C溫度下加熱時,會產生氣體的 物質,或 (ii) 與樹脂(A)交互作用而產生氣體的物質; 蒸發溶劑(B);以及接著使此基板曝露於高能輻射下, 以及在固化樹脂(A)時或之後,引致氣體從物質⑴)中產 生。 3.如申請專利範圍第I或2項的方法,其中該基板是雷 -33- ^紙張尺度逋用中國國家標率(CNS ) A4規格(210X297公釐)— ~~~ ' J JJ-------裝------訂------ (請先閱讀背面之注意事項再填寫本頁) a coz) \ ^申请專利範圍 Α8 Β8 C8 D8 5. 6. 8. 9. 10. 經濟部中央榡準局員工消費合作枉印製 11 子裝置。 ,申請專利範固第1或2項的方法 疋利用旋轉塗覆進行。 Hi專利範園第1或2項的方法 如申請專利範圍第1或2項的方法 矽倍半氧烷樹脂。 如申請專利範圍第丨或 自有括芸表 > ‘ 飞2項的万法1穴τ冷界诉堪 目G栝芳香族溶劑; * 劑_ # 知族洛劑;酮溶劑;脂族酯溶 剎.矽嗣/谷劑;以及砂烷。 如申請專利範圍第1 括 員的万法,其中溶劑(C)係選自包 環丁:% &酮:劑;醛溶劑;酯溶劑;硫酸二乙酯; k浴劑;醚化烴溶劑;醇溶劑=醚溶劑; 縮酸浴劑;多羥醇浼 , _ x ^ d ;嫂酐溶劑:酚性溶劑:以及 砂網溶劑。 如申請專利範圍第2 AA、丄 她、a β _矛Ζ員的万法,其中成分(D)係選自有 機過轧化物或胺。 ::具有互連構造的半導體裝置,其包含至少一個導 並。且中間夾置-個具有介電常數小於2·7的絕緣層, :中通絕緣層是由巾請專利範圍第^項的方法所製 成。 +種具有互連構造的半導體裝置,其包含至少—個導 黾s且中間夹置.一個具有介電常數小於2 7的絕緣層’ 其中及絕緣層是由申請專利範圍第2 方法所製 成。 1其中表面之塗覆 其中高能輻射是 其中樹脂(A)是氫 其中溶劑(B)係選 η 先 閱 讀 背 面 I 事 項 再 装 訂 -34-
    A4規格(210X297公釐)
TW087108340A 1997-05-28 1998-05-28 Semiconductor device and method for the fabrication thereof TW426915B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP13886097A JP3210601B2 (ja) 1997-05-28 1997-05-28 半導体装置及びその製造方法
JP29859297A JP3208100B2 (ja) 1997-10-30 1997-10-30 電気絶縁性薄膜の形成方法

Publications (1)

Publication Number Publication Date
TW426915B true TW426915B (en) 2001-03-21

Family

ID=26471801

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087108340A TW426915B (en) 1997-05-28 1998-05-28 Semiconductor device and method for the fabrication thereof

Country Status (5)

Country Link
US (2) US6214748B1 (zh)
EP (1) EP0881668A3 (zh)
KR (1) KR19980087429A (zh)
SG (1) SG72825A1 (zh)
TW (1) TW426915B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI402953B (zh) * 2008-03-31 2013-07-21 Teramikros Inc 半導體裝置及其製造方法

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0881668A3 (en) * 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6500740B1 (en) * 1997-07-14 2002-12-31 Agere Systems Inc. Process for fabricating semiconductor devices in which the distribution of dopants is controlled
RU2195050C2 (ru) 1998-06-05 2002-12-20 Джорджиэ Тек Рисеч Копэрейшн Способ получения пористой изоляционной композиции (варианты), композиция, используемая для получения пористого изоляционного материала (варианты), и полупроводниковое устройство
US6107184A (en) * 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6551926B1 (en) * 1999-06-09 2003-04-22 Electron Vision Corporation Electron beam annealing of metals, alloys, nitrides and silicides
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
JP2001135633A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
WO2001048806A1 (fr) * 1999-12-28 2001-07-05 Catalysts & Chemicals Industries Co., Ltd. Procede de production d'un film a faible constante dielectrique et substrat semi-conducteur pourvu de ce film a faible constante dielectrique
JP2001214127A (ja) * 2000-01-31 2001-08-07 Dow Corning Toray Silicone Co Ltd 電気絶縁性薄膜形成性樹脂組成物、および電気絶縁性薄膜の形成方法
TW525305B (en) 2000-02-22 2003-03-21 Semiconductor Energy Lab Self-light-emitting device and method of manufacturing the same
US6432842B2 (en) * 2000-03-30 2002-08-13 Tokyo Electron Limited Coating method and coating apparatus
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
US6891237B1 (en) * 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
US6531344B1 (en) * 2000-07-06 2003-03-11 Motorola, Inc. High frequency gallium arsenide MMIC die coating method
KR100741648B1 (ko) * 2000-07-19 2007-07-24 다우 글로벌 테크놀로지스 인크. 피막 증강제를 함유하는 스핀-온-유전성 조성물
KR100486333B1 (ko) * 2000-07-21 2005-04-29 가부시끼가이샤 한도따이 프로세스 켄큐쇼 반도체 장치 및 그 제조 방법
MY128644A (en) 2000-08-31 2007-02-28 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
US6576345B1 (en) * 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
CN1279589C (zh) * 2001-01-19 2006-10-11 东京毅力科创株式会社 基板的处理方法和基板的处理装置
US6303524B1 (en) * 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
JP2002280459A (ja) 2001-03-21 2002-09-27 Kawasaki Microelectronics Kk 集積回路の製造方法
US6998148B1 (en) 2001-03-28 2006-02-14 Shipley Company, L.L.C. Porous materials
JP3886779B2 (ja) 2001-11-02 2007-02-28 富士通株式会社 絶縁膜形成用材料及び絶縁膜の形成方法
US6650010B2 (en) * 2002-02-15 2003-11-18 International Business Machines Corporation Unique feature design enabling structural integrity for advanced low K semiconductor chips
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
JP2004235548A (ja) * 2003-01-31 2004-08-19 Nec Electronics Corp 半導体装置およびその製造方法
JP4092220B2 (ja) * 2003-01-31 2008-05-28 Necエレクトロニクス株式会社 半導体装置およびその製造方法
US7022864B2 (en) * 2003-07-15 2006-04-04 Advanced Technology Materials, Inc. Ethyleneoxide-silane and bridged silane precursors for forming low k films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US20060074522A1 (en) * 2004-09-30 2006-04-06 Liang Po H Method and system of automatic dispatch
JP4762630B2 (ja) * 2005-08-03 2011-08-31 東京応化工業株式会社 レジスト組成物およびレジストパターン形成方法
FR2889850B1 (fr) * 2005-08-19 2007-11-02 Rhodia Chimie Sa Revetement silicone de faible constante dielectrique, procede de preparation et application aux circuits integres
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
WO2007147020A2 (en) * 2006-06-15 2007-12-21 Advanced Technology Materials, Inc. Cobalt precursors useful for forming cobalt-containing films on substrates
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
KR101182441B1 (ko) * 2010-01-13 2012-09-12 삼성디스플레이 주식회사 유기 박막 패턴 형성 방법 및 유기 발광 디스플레이 장치의 제조 방법
US9219159B2 (en) 2011-03-25 2015-12-22 Semiconductor Energy Laboratory Co., Ltd. Method for forming oxide semiconductor film and method for manufacturing semiconductor device
US9012904B2 (en) * 2011-03-25 2015-04-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
TWI545652B (zh) 2011-03-25 2016-08-11 半導體能源研究所股份有限公司 半導體裝置及其製造方法
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8999623B2 (en) 2013-03-14 2015-04-07 Wiscousin Alumni Research Foundation Degradable neutral layers for block copolymer lithography applications

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US5059448A (en) * 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
US5238787A (en) 1991-04-22 1993-08-24 Dow Corning Corporation Photodelineable coatings from hydrogen silsesquioxane resin
JP3214186B2 (ja) * 1993-10-07 2001-10-02 三菱電機株式会社 半導体装置の製造方法
US5494859A (en) * 1994-02-04 1996-02-27 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
US5527737A (en) * 1994-05-27 1996-06-18 Texas Instruments Incorporated Selective formation of low-density, low-dielectric-constant insulators in narrow gaps for line-to-line capacitance reduction
US5548159A (en) * 1994-05-27 1996-08-20 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
US5494858A (en) * 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5609925A (en) 1995-12-04 1997-03-11 Dow Corning Corporation Curing hydrogen silsesquioxane resin with an electron beam
EP0881668A3 (en) * 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI402953B (zh) * 2008-03-31 2013-07-21 Teramikros Inc 半導體裝置及其製造方法

Also Published As

Publication number Publication date
KR19980087429A (ko) 1998-12-05
EP0881668A2 (en) 1998-12-02
US20010002323A1 (en) 2001-05-31
EP0881668A3 (en) 2000-11-15
US6214748B1 (en) 2001-04-10
US6358804B2 (en) 2002-03-19
SG72825A1 (en) 2000-05-23

Similar Documents

Publication Publication Date Title
TW426915B (en) Semiconductor device and method for the fabrication thereof
JP3276963B2 (ja) 誘電体フィルムを基盤上に形成する方法
TWI306125B (en) Non-thermal process for forming porous low dielectric constant films
JP4374567B2 (ja) 多孔性低誘電率材料のための紫外線硬化処理
KR100192937B1 (ko) 반도체 소자의 제조를 위한 스핀-온 유리층 처리기술
TWI299321B (en) Low dielectric materials and methods for making the same
JP4336310B2 (ja) ハードマスク層としてのシリコン含有反射防止層及びその形成方法
TW200845212A (en) Activated chemical process for enhancing material properties of dielectric films
US6074695A (en) Composition and process for forming electrically insulating thin films
JP2005503672A (ja) 多孔質低誘電率材料のプラズマ硬化法
KR20020013913A (ko) 전자 디바이스에서의 유기 유전체 피막 집적화에 실록산유전체 피막 사용방법
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
JP3354431B2 (ja) 電気絶縁性薄膜形成用組成物および電気絶縁性薄膜の形成方法
TW442546B (en) Method for producing low dielectric coatings from hydrogen silsequioxane resin
JP2000340651A (ja) 低誘電率膜の製造法
JPH05210243A (ja) ボトムレジストの製造方法
JP3208100B2 (ja) 電気絶縁性薄膜の形成方法
US20010029283A1 (en) Electrically insulating thin-film-forming resin composition and method for forming thin film therefrom
TW396405B (en) Method for forming insulating thin films
CA2010030C (en) Method for transferring patterns on silicone ladder type resin and etching solution used in such method
JP3210601B2 (ja) 半導体装置及びその製造方法
TW409283B (en) Integration of LOW-K polymers into interlevel dielectrics using controlled electron-beam radiation
TWI358093B (en) Repairing damage to low-k dielectric materials usi
JP3217528B2 (ja) ケイ酸ガラス薄膜の形成方法
JPH10335454A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees