TW356570B - Semiconductor device fabrication method and its treating liquid for the same - Google Patents

Semiconductor device fabrication method and its treating liquid for the same

Info

Publication number
TW356570B
TW356570B TW084107390A TW84107390A TW356570B TW 356570 B TW356570 B TW 356570B TW 084107390 A TW084107390 A TW 084107390A TW 84107390 A TW84107390 A TW 84107390A TW 356570 B TW356570 B TW 356570B
Authority
TW
Taiwan
Prior art keywords
semiconductor device
fabrication method
device fabrication
treating liquid
same
Prior art date
Application number
TW084107390A
Other languages
English (en)
Inventor
Hideto Gotoh
Masaru Utsugi
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of TW356570B publication Critical patent/TW356570B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
TW084107390A 1994-06-17 1995-07-18 Semiconductor device fabrication method and its treating liquid for the same TW356570B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP15917794A JP3407086B2 (ja) 1994-06-17 1994-06-17 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW356570B true TW356570B (en) 1999-04-21

Family

ID=15687986

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084107390A TW356570B (en) 1994-06-17 1995-07-18 Semiconductor device fabrication method and its treating liquid for the same

Country Status (6)

Country Link
US (1) US5650041A (zh)
EP (1) EP0690486B1 (zh)
JP (1) JP3407086B2 (zh)
KR (1) KR100338484B1 (zh)
DE (1) DE69528117T2 (zh)
TW (1) TW356570B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5827784A (en) * 1995-12-14 1998-10-27 Texas Instruments Incorporated Method for improving contact openings during the manufacture of an integrated circuit
US5902134A (en) * 1996-08-07 1999-05-11 Matsushita Electronics Corporation Dry etching post-treatment method and method for manufacturing a semiconductor device
US6630074B1 (en) * 1997-04-04 2003-10-07 International Business Machines Corporation Etching composition and use thereof
US5780363A (en) * 1997-04-04 1998-07-14 International Business Machines Coporation Etching composition and use thereof
KR100252223B1 (ko) * 1997-08-30 2000-04-15 윤종용 반도체장치의 콘택홀 세정방법
US5965465A (en) * 1997-09-18 1999-10-12 International Business Machines Corporation Etching of silicon nitride
US6020458A (en) 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6150282A (en) * 1997-11-13 2000-11-21 International Business Machines Corporation Selective removal of etching residues
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
KR100268456B1 (ko) * 1997-12-04 2000-11-01 윤종용 반도체장치의콘택형성방법
US6100202A (en) * 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6576547B2 (en) 1998-03-05 2003-06-10 Micron Technology, Inc. Residue-free contact openings and methods for fabricating same
AU3870899A (en) 1998-05-01 1999-11-23 Seshu B. Desu Oxide/organic polymer multilayer thin films deposited by chemical vapor deposition
US6117796A (en) * 1998-08-13 2000-09-12 International Business Machines Corporation Removal of silicon oxide
US6200891B1 (en) 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
KR100314806B1 (ko) 1998-10-29 2002-02-19 박종섭 스핀온글래스막형성방법
DE19901210A1 (de) * 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
JP2001015479A (ja) * 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
US6495208B1 (en) 1999-09-09 2002-12-17 Virginia Tech Intellectual Properties, Inc. Near-room temperature CVD synthesis of organic polymer/oxide dielectric nanocomposites
US6451707B2 (en) * 1999-12-07 2002-09-17 Matsushita Electronics Corporation Method of removing reaction product due to plasma ashing of a resist pattern
WO2002033741A1 (fr) * 2000-10-18 2002-04-25 Sony Corporation Procede servant a fabriquer une couche isolante et procede servant a fabriquer un composant a semi-conducteur
US6635565B2 (en) * 2001-02-20 2003-10-21 United Microelectronics Corp. Method of cleaning a dual damascene structure
US6645926B2 (en) * 2001-11-28 2003-11-11 United Technologies Corporation Fluoride cleaning masking system
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US20040163681A1 (en) * 2003-02-25 2004-08-26 Applied Materials, Inc. Dilute sulfuric peroxide at point-of-use
TW200511495A (en) * 2003-09-09 2005-03-16 Nanya Technology Corp Cleaning method used in interconnects process
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
JP4693642B2 (ja) * 2006-01-30 2011-06-01 株式会社東芝 半導体装置の製造方法および洗浄装置
KR100792405B1 (ko) * 2007-01-03 2008-01-09 주식회사 하이닉스반도체 벌브형 리세스 패턴의 제조 방법
JP2009194196A (ja) * 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
JP2012015343A (ja) * 2010-07-01 2012-01-19 Hitachi High-Technologies Corp プラズマエッチング方法
KR101933015B1 (ko) 2012-04-19 2018-12-27 삼성전자주식회사 반도체 장치의 패드 구조물, 그의 제조 방법 및 패드 구조물을 포함하는 반도체 패키지

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0779099B2 (ja) * 1986-07-11 1995-08-23 日本電信電話株式会社 パタン形成法
JPS6367736A (ja) * 1986-09-09 1988-03-26 Nec Corp 半導体基板の製造方法
US4717448A (en) * 1986-10-09 1988-01-05 International Business Machines Corporation Reactive ion etch chemistry for providing deep vertical trenches in semiconductor substrates
JPS63102373A (ja) * 1986-10-20 1988-05-07 Fujitsu Ltd 半導体装置の製造方法
KR930011027B1 (ko) * 1989-01-18 1993-11-19 가부시키가이샤 도시바 반도체장치의 제조방법
JP2581268B2 (ja) * 1990-05-22 1997-02-12 日本電気株式会社 半導体基板の処理方法
JPH04268748A (ja) * 1991-02-25 1992-09-24 Fujitsu Ltd 半導体装置の製造方法
JP2913936B2 (ja) * 1991-10-08 1999-06-28 日本電気株式会社 半導体装置の製造方法
DE69219998T2 (de) * 1991-10-31 1997-12-18 Sgs Thomson Microelectronics Verfahren zur Entfernung von Polymeren aus Sacklöchern in Halbleitervorrichtungen
JPH05144775A (ja) * 1991-11-18 1993-06-11 Sharp Corp ドライエツチング方法
JPH05190514A (ja) * 1992-01-16 1993-07-30 Kawasaki Steel Corp 半導体装置の製造方法
JP3371149B2 (ja) * 1992-11-30 2003-01-27 ソニー株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
KR100338484B1 (ko) 2002-11-27
KR960002629A (ko) 1996-01-26
DE69528117T2 (de) 2003-06-05
EP0690486A3 (en) 1996-09-11
US5650041A (en) 1997-07-22
DE69528117D1 (de) 2002-10-17
JPH088233A (ja) 1996-01-12
EP0690486B1 (en) 2002-09-11
EP0690486A2 (en) 1996-01-03
JP3407086B2 (ja) 2003-05-19

Similar Documents

Publication Publication Date Title
TW356570B (en) Semiconductor device fabrication method and its treating liquid for the same
GB2322235A (en) Metals removal process
MY122412A (en) Heat treatment method for semiconductor substrates
EP0809283A3 (en) Method of treating wafers
EP1661731A3 (en) Process for removing residues from a semiconductor substrate
ATA75395A (de) Vorrichtung zum behandeln annähernd runder oder kreisscheibenförmiger gegenstände, insbesondere siliziumwafer
KR950021173A (ko) 드라이에칭 장치의 에칭실을 클리닝하는 방법
KR960005952A (ko) 다층배선의 형성방법
WO1999066545A8 (en) Process for removing oxide using hydrogen fluoride vapor
IL141595A0 (en) Method of removing organic materials from substrates
TW376551B (en) Aftertreatment method of dry etching and process of manufacturing semiconductor device
US5882423A (en) Plasma cleaning method for improved ink brand permanency on IC packages
MX9801464A (es) Procedimiento para secar silicio.
TW374203B (en) A method for forming a fine contact hole in a semiconductor device
DE3778794D1 (de) Verfahren und vorrichtung zum ausbilden einer schicht durch plasmachemischen prozess.
JPS5546535A (en) Method of manufacturing semiconductor device
KR0126784B1 (en) Cleaning method of semiconductor substrate and cleaning material therefor
KR940001269A (ko) 반도체 디바이스의 금속배선형성방법
JPS52139373A (en) Treating method for compound semiconductor
KR970063565A (ko) 반도체 장치의 층간 절연막 형성 방법
KR930017093A (ko) 반도체장치의 제조방법
KR900003976A (ko) 반도체장치의 금속배선막 형성방법
KR960026466A (ko) 반도체 소자의 폴리사이드층 형성방법
TW367555B (en) Post-processing method for plasma etching
TW365023B (en) Method for preventing forming of water mark or oxide layer on the doped polycrystalline silicon layer of semiconductor chip

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees