TW202348822A - 用於製造pvd鈣鈦礦膜的設備及方法 - Google Patents

用於製造pvd鈣鈦礦膜的設備及方法 Download PDF

Info

Publication number
TW202348822A
TW202348822A TW112105192A TW112105192A TW202348822A TW 202348822 A TW202348822 A TW 202348822A TW 112105192 A TW112105192 A TW 112105192A TW 112105192 A TW112105192 A TW 112105192A TW 202348822 A TW202348822 A TW 202348822A
Authority
TW
Taiwan
Prior art keywords
perovskite
target
film layer
perovskite film
substrate
Prior art date
Application number
TW112105192A
Other languages
English (en)
Inventor
楊子浩
朱明偉
巴拉特瓦杰 萊瑪克里斯南
汪榮軍
羅伯特詹 維瑟爾
納格B 帕逖邦德拉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202348822A publication Critical patent/TW202348822A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3464Sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/082Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/085Oxides of iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/086Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/088Oxides of the type ABO3 with A representing alkali, alkaline earth metal or Pb and B representing a refractory or rare earth metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3492Variation of parameters during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • C23C14/545Controlling the film thickness or evaporation rate using measurement on deposited material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Thermal Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本文所述的實施例關於沉積鈣鈦礦膜元件的方法。製造鈣鈦礦膜元件的方法包括對在處理系統內的基板加熱與除氣;使用處理腔室內的多陰極濺射沉積在基板的表面上方沉積第一鈣鈦礦膜層,使用處理腔室內的多陰極濺射沉積在第一鈣鈦礦膜層上方沉積第二鈣鈦礦膜層;對具有安置在基板上的第一鈣鈦礦膜層與第二鈣鈦礦膜層的基板退火;及在處理腔室內的板上測量站處量測第一鈣鈦礦膜層與第二鈣鈦礦膜層的厚度與一或多個材料性質。第一鈣鈦礦膜層包括第一鈣鈦礦材料。第二鈣鈦礦膜層包括第二鈣鈦礦材料。

Description

用於製造PVD鈣鈦礦膜的設備及方法
本發明的實施例大體上係關於沉積鈣鈦礦膜的設備及方法。更明確地,本文所述的實施例係關於沉積鈣鈦礦膜以產生鈣鈦礦膜元件。
功能性鈣鈦礦材料已經吸引到對於許多新興邏輯和記憶體應用的注意,這些應用諸如鐵電隨機存取記憶體(Fe-RAM)與電阻隨機存取記憶體(Re-RAM),等等的應用。使用氧化鉿、氧化鉭、或氧化鋁的介電物理氣相沉積(PVD)在過去已經用於這些應用。然而,由於鈣鈦礦材料中的多種元素及複雜晶體結構,更加難以沉積鈣鈦礦材料的複合氧化物。此使得大量製造及生產就緒300 mm沉積方案用於實現高品質鈣鈦礦材料是具挑戰性。
因此,在本領域中需要改善的鈣鈦礦PVD設備及方法。
本發明的實施例提供用於沉積鈣鈦礦膜元件的方法。製造鈣鈦礦膜元件的方法包括對處理系統內的基板加熱與除氣;在處理腔室內使用多陰極濺射沉積在基板的表面上方沉積鈣鈦礦膜層;對具有安置在基板上的鈣鈦礦膜層的基板退火;及在處理系統內的板上測量站(on-board metrology station)處量測鈣鈦礦膜層的厚度與一或多個材料性質。
本發明的實施例提供用於沉積鈣鈦礦膜元件的方法。製造鈣鈦礦膜元件的方法包括對處理系統內的基板加熱與除氣;在處理腔室內使用多陰極濺射沉積在基板的表面上方沉積第一鈣鈦礦膜層,在處理腔室內使用多陰極濺射沉積在第一鈣鈦礦膜層上方沉積第二鈣鈦礦膜層;對具有安置在基板上的第一鈣鈦礦膜層與第二鈣鈦礦膜層的基板退火;及在處理系統內的板上測量站處量測第一鈣鈦礦膜層與第二鈣鈦礦膜層的厚度與一或多個材料性質。第一鈣鈦礦膜層包括第一鈣鈦礦材料。第二鈣鈦礦膜層包括第二鈣鈦礦材料。
本發明的實施例提供用於沉積鈣鈦礦膜元件的方法。製造鈣鈦礦膜元件的方法包括在基板上沉積晶種層;在晶種層上方沉積第一鈣鈦礦膜層;在第一鈣鈦礦膜層上方沉積第二鈣鈦礦膜層;在第二鈣鈦礦膜層上方沉積第三鈣鈦礦膜層;退火基板與鈣鈦礦膜層;微影與蝕刻第三鈣鈦礦膜層以形成頂部電極;及微影與蝕刻第二鈣鈦礦膜層以形成底部電極。
本發明的實施例大體上係關於用於沉積鈣鈦礦膜的設備及方法。更明確地,本文所述的實施例係關於鈣鈦礦膜的沉積以形成鈣鈦礦膜元件。方法包括對處理系統內的基板加熱與除氣。在處理腔室內使用多陰極濺射沉積在基板的表面上方沉積鈣鈦礦膜層。可在處理腔室內使用多陰極濺射沉積在第一鈣鈦礦膜層上方沉積第二鈣鈦礦膜層。退火鈣鈦礦膜層以形成鈣鈦礦膜元件。在處理系統內的板上測量站處量測鈣鈦礦膜元件的厚度。
第1圖是群集處理系統100的圖解視圖。群集處理系統100包括處理平臺104、工廠界面102、及系統控制器144。處理平臺104包括複數個處理腔室103、105、107、109、110、111、112及至少一裝載閘腔室122,裝載閘腔室122耦接至真空基板移送腔室。在一實施例中,群集處理系統100包括一或多個真空基板移送腔室,諸如第一移送腔室136A與第二移送腔室136B。在一實施例中,群集處理系統100包括七個(7)處理腔室103、105、107、109、110、111、112。在其他實施例中,可利用其他數目的處理腔室。在一實施例中,群集處理系統100包括兩個(2)裝載閘腔室122。在其他實施例中,可利用更多或更少的裝載閘腔室122。
在一實施例中,工廠界面102包含至少一塢站108與至少一工廠界面機器人114以促進移送基板。塢站108經設置以接受一或多個前開式晶圓傳送盒(FOUP)106A、106B。在其他實施例中,可利用大於或小於兩個FOUP。具有安置在機器人114的一端上的第一葉片116的工廠界面機器人114被設置以將基板從工廠界面102移送通過裝載閘腔室122至處理平臺104以用於處理。
裝載閘腔室122的每一者具有耦接至工廠界面102的第一埠與耦接至第一移送腔室136A的第二埠。裝載閘腔室122耦接至壓力控制系統,壓力控制系統對裝載閘腔室122泵回(pump down)與排氣以促進在第一移送腔室136A的真空環境與工廠界面102的實質上周圍(例如,大氣壓)環境之間傳遞基板。裝載閘腔室122可操作以對基板加熱與除氣。藉由對基板加熱與除氣,從基板的表面移除雜質與污染物。
在群集處理系統100的一實施例中,群集處理系統100可包括一或多個處理腔室103、105、107、109、110、111、112。處理腔室103、105、107、109、110、111、112可為沉積腔室(例如,物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或其他沉積腔室)、退火腔室(例如,高壓退火腔室、快速熱處理(RTP)腔室、或雷射退火腔室)、蝕刻腔室、清洗腔室、預清洗腔室、固化腔室、微影暴露腔室或其他類似類型的半導體處理腔室。在一實施例中,處理腔室103、105、107、109、110、111、112可為用於濺射由鈣鈦礦材料形成的膜的沉積腔室。群集處理工具100能夠執行單一層鈣鈦礦膜沉積或多層鈣鈦礦膜堆疊沉積於處理腔室103、105、107、109、110、111、112內產生鈣鈦礦膜元件,諸如鐵電鈣鈦礦電容。鈣鈦礦材料大體上是結晶材料,具有特定晶體結構ABX 3,其中「A」與「B」是兩種離子,常常是不同尺寸,及X是鍵結至此兩種離子的離子(經常是氧化物)。「A」原子大體上大於「B」原子。
在一實施例中,處理腔室103、105、107、109、110、111、112可為額外材料沉積腔室或能夠進行界面處理、界面層沉積、及多層膜堆疊沉積的其他腔室。在一些實施例中,將一或多個板上測量站(on-board metrology station)118安置在處理平臺104內以促進量測安置在基板上的鈣鈦礦膜的材料性質,而不需將基板從處理平臺104移除。群集處理系統100也可包括分面(facet),用於連接額外腔室至群集處理系統100以用於界面處理、界面層沉積、及多層膜堆疊沉積的一者或多者。在一些實施例中,將一或多個退火腔室124可安置在裝載閘腔室122中。退火腔室124可為高壓退火腔室、RTP腔室、或雷射退火腔室的一者。
第一移送腔室136A具有安置在其中的第一真空機器人130A。真空機器人130A具有葉片134A,能夠在裝載閘腔室122、板上測量站118、及處理腔室103、105、107、109、110、111、112的中間移送基板。在一些實施例中,處理平臺104包括第二移送腔室136B。第二移送腔室136B具有安置在其中的第二機器人130B。第二機器人130B具有第二葉片134B,能夠在板上測量站118、處理腔室105、107、109、110、111、及第一移送腔室136A的中間移送基板。
系統控制器144耦接至群集處理系統100。可包括運算裝置101或可被包括在運算裝置101內的系統控制器144控制群集處理系統100的操作,使用群集處理系統100的處理腔室103、105、107、109、110、111、112的直接控制。或者,系統控制器144可控制與處理腔室103、105、107、109、110、111、112及群集處理系統100相關的電腦(或控制器)。在操作中,系統控制器144也能夠從個別腔室收集資料與反饋以最佳化群集處理系統100的效能。
系統控制器144大體上包括中央處理器138、記憶體140、及支持電路142。CPU 138可為可用在工業設定中的一種或任何形式的通用電腦處理器。支持電路142按習知方式耦接至CPU 138且可包含快取記憶體、時鐘電路、輸入-輸出子系統、電源、及類似物。製程大體上可被儲存在系統控制器144的記憶體140中作為軟體常式,當藉由CPU 138實行軟體常式時,致使處理腔室執行本發明的製程。軟體常式可也被第二控制器(未示出)儲存及/或實行,第二控制器位在群集處理系統100的遠端。也可在硬體中執行本發明的一些或所有的方法。因此,製程可被實施在軟體中及使用電腦系統實行在硬體中,例如,作為應用特定積體電路或其他類型的硬體實施例,或作為軟體與硬體的組合。
第2圖是製造鈣鈦礦膜元件的方法200的流程圖。在操作201,將基板定位在群集處理系統100中用於處理。基板定位在一或多個前開式晶圓傳送盒(FOUP)106A、106B中。使用安置在機器人114的一端上的葉片116,將基板從一或多個FOUP 106A、106B傳送進入處理平臺104的裝載閘腔室122。
在操作202,在裝載閘腔室122中對基板加熱與除氣。藉由對基板加熱與除氣,可從裝載閘腔室122與基板的表面移除雜質與污染物。雜質與污染物會擾亂鈣鈦礦膜沉積製程。因此,雜質與污染物的移除有助於促進鈣鈦礦膜沉積製程。在一些實施例中,裝載閘腔室122包括退火腔室124。退火腔室124可為高壓退火腔室、RTP腔室、或雷射退火腔室的一者。退火腔室124可操作以加熱基板以移除雜質與污染物。
在操作203,將基板傳送進入複數個處理腔室103、105、107、109、110、111、112的一者以用於處理。基板從裝載閘腔室122經由第一移送腔室136A或第二移送腔室136B被傳送至處理腔室103、105、107、109、110、111、112。第一移送腔室136A包括具有第一葉片134A的第一真空機器人130A,其能夠將基板在裝載閘腔室122、處理腔室103、105、107、109、110、111、112、及板上測量站118之間移送基板。在一些實施例中,處理平臺104包括第二移送腔室136B。第二移送腔室136B具有安置在其中的第二機器人130B。第二機器人130B具有第二葉片134B,其能夠將基板在板上測量站118、處理腔室105、107、109、110、111、及第一移送腔室136A之間移送基板。
在一些實施例中,處理腔室103、105、107、109、110、111、112可為沉積腔室(例如,物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或其他沉積腔室)、退火腔室(例如,高壓退火腔室、快速熱處理(RTP)腔室、或雷射退火腔室)、蝕刻腔室、清洗腔室、預清洗腔室、固化腔室、微影暴露腔室或其他類似類型的半導體處理腔室。在其他實施例中,可為額外材料沉積腔室或能夠進行界面處理、界面層沉積、及多層膜堆疊沉積的其他腔室。
在操作204,將鈣鈦礦膜濺射至處理腔室103、105、107、109、110、111、112的一者內的基板的表面上。使用方法400或方法600沉積鈣鈦礦膜,如將在本文進一步詳細說明的。在一些實施例中,單層的鈣鈦礦膜沉積在基板的表面上。在其他實施例中,多層的鈣鈦礦厚膜堆疊沉積在基板的表面上。多層的鈣鈦礦厚膜堆疊可具有沉積在其上的任意整數數目的層。
在任選的操作205,在板上測量站118處量測安置在基板上的鈣鈦礦膜。將基板傳送至板上測量站118。取決於所使用的處理腔室103、105、107、109、110、111、112,使用第一真空機器人的第一葉片134A或第二真空機器人的第二葉片,將基板傳送至板上測量站118。板上測量站118監測鈣鈦礦膜的材料性質,諸如鈣鈦礦膜的厚度與化學計量。
在任選的操作206,在處理腔室103、105、107、109、110、111、112的一者中進一步處理基板。取決於所使用的處理腔室103、105、107、109、110、111、112,使用第一真空機器人的第一葉片134A或第二真空機器人的第二葉片,將基板傳送回處理腔室103、105、107、109、110、111、112的一者。在一些實施例中,可沉積額外鈣鈦礦膜。在其他實施例中,可發生其他類型的沉積(例如,PVD、CVD、ALD)。在又其他實施例中,可發生蝕刻、清洗、預清洗、固化、微影或其他類似類型的半導體處理。
可執行任選的操作205-206一次或多次,這取決於期望的鈣鈦礦膜與期望的完成的鈣鈦礦膜元件。
在操作207,在退火腔室124中退火安置在基板上的鈣鈦礦膜以形成鈣鈦礦膜元件。取決於所使用的處理腔室103、105、107、109、110、111、112,使用第一真空機器人的第一葉片134A或第二真空機器人的第二葉片,將基板傳送至裝載閘腔室122中的退火腔室124。在一實施例中,退火發生在受控環境條件中。以受控升降溫速率在裝載閘腔室122內執行退火。藉由退火安置在基板上的鈣鈦礦膜,增進鈣鈦礦膜元件的結晶度。
在操作208,在板上測量站118量測鈣鈦礦膜元件。使用第一真空機器人的第一葉片134A,將基板傳送至板上測量站118。板上測量站118監測鈣鈦礦膜元件的厚度與化學計量。
在操作209,將鈣鈦礦膜元件從板上測量站118經由裝載閘腔室122傳送至一或多個FOUP 106A、106B及從群集處理系統100移除。取決於所使用的處理腔室103、105、107、109、110、111、112,使用第一真空機器人的第一葉片134A或第二真空機器人的第二葉片,將鈣鈦礦膜元件傳送至裝載閘腔室122。使用安置在機器人114的一端上的葉片116,將基板傳送通過裝載閘腔室122至一或多個FOUP 106A、106B。
第3圖是多陰極處理腔室300的圖解視圖。多陰極處理腔室300可用於取代一或多個處理腔室103、105、107、109、110、111、及112的一者。多陰極腔室300包括具有對應的複數個靶材的複數個陰極,靶材附接於腔室主體配接器308。在所繪示的實施例中,多陰極腔室300具有第一靶材304與第二靶材306。在其他實施例中,多陰極腔室300可具有多於或少於2個靶材。在一實施例中,第一陰極是RF陰極302A,對應於第一靶材304。第二陰極是DC(例如,脈衝-DC或p-DC)陰極302B,對應於第二靶材306。在一些實施例中,靶材304、306可為金屬靶材或介電靶材。在一些實施例中,第一靶材304可由金屬所形成,諸如鑭(La)、鉍(Bi)、鐵(Fe)、或前述物的組合。第二靶材306可由金屬所形成,諸如鍶(Sr)、釕(Ru)、或此兩者的組合。然而,可替代地使用其他金屬及/或導電金屬氧化物。處理腔室包括具有支撐表面334的基板支撐件332以支撐基板336。處理腔室300包括開口350(例如,狹縫閥),端效器可延伸通過開口350以將基板336放置至升舉銷上,以用於將基板336降低至支撐表面334上。
各靶材304、306經安置以關於支撐表面334成預定角度α。在一些實施例中,角度α關於支撐表面334。在一些實施例中,角度α可從約10°至約50°。基板支撐件332包括經由匹配網路342耦接至安置在基板支撐件332中的偏壓電極340的偏壓電源338。偏壓電極340施加電位至基板以產生在RF陰極302A與DC陰極302B之間的電位差界,因此促進沉積處理。在一些實施例中,基板支撐件332進一步包括加熱器與靜電夾持/卡持(ESC)部件。加熱器用於在基板336的處理期間加熱基板336。加熱基板336增進鈣鈦礦材料沉積至基板336上。ESC用於卡持基板336以在沉積處理期間將基板336固定至基板支撐件332。腔室主體配接器308耦接至處理腔室300的腔室主體310的上部分。腔室主體配接器308是接地的。在一些實施例中,各靶材304、306具有相關的磁控管。RF電源314經由RF匹配網路315耦接至RF陰極302A。提供正確數量的功率至RF電容器在過去是一種挑戰。此RF匹配網路315在鈣鈦礦膜沉積期間提供較佳的RF功率傳遞至RF陰極。使用RF匹配網路315,可控制RF電源314的阻抗與電容以使得將正確數量的功率傳遞至第一靶材304。DC電源312耦接至DC陰極302B。在一些實施例中,DC電源312經由DC匹配網路耦接至DC陰極302B。DC電源具有從約400瓦特至約1500瓦特的功率範圍。
隔離罩316可旋轉地耦接至腔室主體配接器308。取決於同時用以濺射材料的靶材的數目,旋轉的隔離罩316可具有一或多個開口318以暴露對應的一或多個靶材。隔離罩316限制或消除複數個靶材之間的交叉污染。例如,在提供五個陰極的一些實施例中,隔離罩可包括至少一開口318以暴露將被濺射至基板336的第一靶材304及至少一袋部320以容納第二靶材306以防止濺射。隔離罩316經由軸件322旋轉地耦接至腔室主體配接器308。
致動器324耦接至軸件322,在隔離罩316對面。致動器324經設置以旋轉隔離罩316,如箭頭326所指示,及沿著處理腔室300的中央軸330向上或向下移動隔離罩316,如箭頭328所指示。致動器324進一步經設置以旋轉基板支撐件332。基板支撐件332的旋轉容許從靶材304、306所沉積的材料均勻地沉積遍布基板333。可使用致動器324來調整基板旋轉速度。
在一些實施例中,隔離罩316可具有袋部320以容納不被濺射的靶材。袋部320防止濺射靶材的散射沉積在不被濺射的靶材上。雖然此散射是不可避免的,但袋部320確保此散射不污染非濺射靶材的濺射表面。因此,進一步降低不被濺射的靶材的污染。
在一些實施例中,隔離罩316具有兩個開口與至少兩個靶材材料,容許多個靶材材料共濺射。例如,處理腔室包括至少三個靶材,第一靶材304與第三靶材(未示出)具有相同材料而第二靶材306具有不同材料。隔離罩316包括兩個開口318以暴露第一靶材304與第三靶材。基板336暴露至具有相同材料的兩個靶材增加了沉積在基板336上的材料的數量,容許更大程度上控制正沉積的元素的比率及化學計量調整。在另一實施例中,隔離罩316包括兩個開口318以暴露第一靶材304與第二靶材306。基板336暴露至具有不同材料的兩個靶材容許鈣鈦礦膜的化學計量的調整。藉由具有元素的正確比率和化學計量,可增進完成的鈣鈦礦膜的結晶度。
在一些實施例中,處理腔室300包括複數個接地環344以當隔離罩316在縮回位置時提供隔離罩316的改善接地至接地的腔室主體配接器308。接地環344藉由最小化電漿與隔離罩316之間的能量而防止隔離罩316帶電。因此,進一步降低濺射隔離罩316的機率。
在一些實施例中,處理腔室300進一步包括處理氣體供應器346以供應預定處理氣體至處理腔室300的內部體積305。處理腔室300也可包括流體地耦接至內部體積305的排氣泵348以從處理腔室300排出處理氣體。在一些實施例中,在已經濺射靶材304、306之後,處理氣體供應器可供應處理氣體至內部體積305。處理氣體可包括氧(O 2)、氬(Ar)、氪(Kr)、或氖(Ne)。處理氣體用於預調節用於沉積處理的處理腔室。處理氣體進入內部體積305的流動降低濺射材料的濺射良率。因此,進一步降低了基板336的污染。
排氣泵348進一步經設置以控制腔室內的處理氣體的濃度與壓力。排氣泵348也藉由改變處理腔室300的內部體隱305內的處理氣體的濃度與壓力而容許化學計量調整。控制處理腔室300內的壓力增進鈣鈦礦材料的結晶度。排氣泵348自動地監測與調整處理腔室300內的壓力以滿足所要求的壓力以增進鈣鈦礦材料的結晶度。
第4圖是根據實施例之製造鈣鈦礦膜的方法400的流程圖。可在方法200中的操作204中執行方法400。
在操作401,基板336定位在多陰極處理腔室300內的基板支撐件332上。多陰極處理腔室300可用以取代一或多個處理腔室103、105、107、109、110、111、及112的任一者。使用第一移送腔室136A中的具有第一葉片134A的第一真空機器人130A或第二移送腔室136B中的具有第二葉片134B的第二真空機器人130B的一者,將基板336傳送進入多陰極處理腔室300。在一實施例中,使用靜電半導體夾持/卡持(ESC)部件,將基板336卡持(例如,被定位在其上、被固定至)至基板支撐件332。在一些實施例中,基板支撐件332包括加熱器以加熱基板用於處理。在一些實施例中,基板支撐件332耦接至致動器324以旋轉基板支撐件332及隨後旋轉基板336。
在操作402,使用第一處理氣體流動預調節多陰極處理腔室300。處理氣體可包括氧(O 2)、氬(Ar)、氪(Kr)、或氖(Ne)的一者。處理氣體經由處理氣體供應器346被泵入多陰極處理腔室300的內部體積305。排氣泵348流體地耦接至多陰極處理腔室300以從內部體積305排出處理氣體。
在操作403,鈣鈦礦材料的第一層以第一沉積氣流沉積至基板336的表面上。經由來自一或多個靶材(例如,第一靶材304與第二靶材306)的濺射沉積來安置鈣鈦礦材料。在一實施例中,第一靶材304耦接至RF陰極302A和第二靶材306耦接至DC/p-DC陰極302B。在一些實施例中,靶材304、306可為金屬靶材或介電靶材。在一些實施例中,第一靶材304可由金屬所形成,諸如鑭(La)、鉍(Bi)、鐵(Fe)、或前述物的組合。第二靶材306可由金屬所形成,諸如鍶(Sr)、釕(Ru)、或前述兩者的組合。然而,可替代地使用其他金屬及/或導電金屬氧化物。在一實施例中,鈣鈦礦材料的第一層是鍶釕氧化物。在另一實施例中,鈣鈦礦材料的第一層是鑭鉍鐵氧化物。
在一實施例中,使用單一靶材濺射(例如,第一靶材304或第二靶材306)來沉積鈣鈦礦材料的第一層。包括開口318的隔離罩316可旋轉地耦接至多陰極處理腔室300的腔室主體配接器308。開口318可使用致動器324在一或多個靶材(例如,第一靶材304與第二靶材306)之間被選擇性旋轉以沉積鈣鈦礦材料的第一層。例如,如第3圖中所示,開口318可被選擇性旋轉至第一靶材304以將第一靶材304暴露至基板336,同時第二靶材306被容納在隔離罩316的袋部320內且不被暴露至基板336。經由開口318暴露至基板336的第一靶材304容許第一靶材304沉積(例如,濺射)材料至基板336上,同時隔離罩316防止第二靶材306沉積(例如,濺射)材料至基板336上。
在另一實施例中,使用具有兩個或更多個靶材(例如,第一靶材304與第二靶材306)的多靶材濺射來沉積鈣鈦礦材料的第一層。隔離罩316可包括兩個或更多個開口318,其可在兩個或更多個靶材(例如,第一靶材304、與第二靶材306)之間選擇性旋轉。例如,處理腔室可具有三個或更多個靶材,例如,第一靶材304、第二靶材306、及第三靶材(未示出)。可選擇性旋轉隔離罩316,使得第一隔離罩開口與第二隔離罩開口將第一靶材304與第二靶材306暴露至基板,同時第三靶材被容納在隔離罩316的袋部320內且不暴露至基板。經由開口而暴露至基板336的第一靶材304與第二靶材306容許第一靶材304與第二靶材306以沉積(例如,濺射)材料至基板336上,同時隔離罩316防止第三靶材沉積(濺射)材料至基板上。在一些實施例中,共濺射技術可包括濺射相同材料的一或多個靶材。在其他實施例中,共濺射可包括每個靶材包含與其他靶材不同的材料。共濺射兩個或更多個靶材的能力容許沉積的鈣鈦礦膜的化學計量的更大的控制。對沉積的鈣鈦礦膜之更大的化學計量控制增加完成的鈣鈦礦膜元件的結晶度。
在一些實施例中,在單一靶材或多靶材濺射期間,致動器324可旋轉基板支撐件以增進靶材304、306的均勻沉積。
在任選的操作404,可在板上測量站118中量測第一層鈣鈦礦材料的材料性質。其上安置有鈣鈦礦材料的第一層的基板336可被傳送至群集處理系統100的處理平臺104內的板上測量站118。在一實施例中,處理平臺104是真空密閉處理平臺。板上測量站118可用以監測鈣鈦礦材料的第一層的厚度、化學計量、及/或結晶度。
在任選的操作405,改變多陰極處理腔室300的陰極與處理參數。處理腔室的處理參數中的改變包括改變處理位置(例如,多陰極處理腔室300內的基板支撐件的高度)、氣流速率、及基板支撐件的旋轉速度。
在任選的操作406,處理腔室300被第二處理氣流預調節。處理氣體可包括氧(O 2)、氬(Ar)、氪(Kr)、或氖(Ne)的一者。處理氣體經由處理氣體供應器346被泵入多陰極處理腔室300的內部體積305。排氣泵348流體地耦接至多陰極處理腔室300以從內部體積305排出處理氣體。
在任選的操作407,以第二沉積氣流沉積鈣鈦礦材料的第二層。經由來自一或多個靶材(例如,第一靶材304與第二靶材306)的濺射沉積安置鈣鈦礦材料。在一實施例中,第一靶材304耦接至RF陰極302A且第二靶材306耦接至DC/p-DC陰極302B。在一些實施例中,靶材304、306可為金屬靶材或介電靶材。在一些實施例中,第一靶材304可由金屬所形成,諸如鑭(La)、鉍(Bi)、鐵(Fe)、或前述物的組合。第二靶材306可由金屬所形成,諸如鍶(Sr)、釕(Ru)、或前述兩者的組合。然而,可替代地使用其他金屬及/或導電金屬氧化物。在一實施例中,鈣鈦礦材料的第二層是鍶釕氧化物。在另一實施例中,鈣鈦礦材料的第二層是鑭鉍鐵氧化物。
在一實施例中,使用單一靶材濺射(例如,第一靶材304或第二靶材306)來沉積鈣鈦礦材料的第二層。包括開口318的隔離罩316可旋轉地耦接至多陰極處理腔室300的腔室主體配接器308。開口318可使用致動器324在一或多個靶材(例如,第一靶材304與第二靶材306)之間被選擇性旋轉以沉積鈣鈦礦材料的第一層。例如,如第3圖中所示,開口318可被選擇性旋轉至第一靶材304以將第一靶材304暴露至基板336,同時第二靶材306被容納在隔離罩316的袋部320內且不被暴露至基板336。經由開口318暴露至基板336的第一靶材304容許第一靶材304沉積(例如,濺射)材料至基板336上,同時隔離罩316防止第二靶材306沉積(例如,濺射)材料至基板336上。
在另一實施例中,使用具有兩個或更多個靶材(例如,第一靶材304與第二靶材306)的多靶材濺射來完成鈣鈦礦材料的第二層。隔離罩316可包括兩個或更多個開口318,其可在兩個或更多個靶材(例如,第一靶材304與第二靶材306)之間選擇性旋轉。例如,多陰極處理腔室300可具有三個或更多個靶材,例如,第一靶材304、第二靶材306、及第三靶材(未示出)。可選擇性旋轉隔離罩316,使得第一隔離罩開口與第二隔離罩開口將第一靶材304與第二靶材306暴露至基板336,同時第三靶材被容納在隔離罩316的袋部320內且不暴露至基板336。經由開口而暴露至基板336的第一靶材304與第二靶材306容許第一靶材304與第二靶材306沉積(例如,共濺射)材料至基板336上,同時隔離罩316防止第三靶材沉積(濺射)材料至基板336上。在一些實施例中,共濺射技術可包括濺射相同材料的一或多個靶材。在其他實施例中,共濺射可包括每個靶材包含與其他靶材不同的材料。共濺射兩個或更多個靶材的能力容許沉積的鈣鈦礦膜的化學計量的更大的控制。對沉積的鈣鈦礦膜之更大的化學計量控制增加完成的鈣鈦礦膜元件的結晶度。
任選的操作404-407可執行一次或多次,取決於鈣鈦礦膜層的期望數目及期望的完成的鈣鈦礦膜元件。
第5A圖是根據實施例之鈣鈦礦膜元件500的圖解側視圖。第5B圖是根據實施例之鈣鈦礦膜元件500的圖解頂視圖。鈣鈦礦膜元件500包括諸如鐵電鈣鈦礦電容的元件。鐵電鈣鈦礦電容器具有造成更高效的記憶體功能之鐵電性質。鈣鈦礦膜元件500包括基板501、晶種層502、第一鈣鈦礦膜層503、第二鈣鈦礦膜層504、及第三鈣鈦礦膜層505。在一實施例中,基板501可為矽基板、矽鍺基板或互補式金屬氧化物半導體(CMOS)。在一實施例中,基板501含有用於使用在電容中的複數個電晶體。
晶種層502安置在基板501上方。在一實施例中,晶種層502包含諸如氧化鎂(MgO)或氮化鈦(TiN)的材料。晶種層502經設置以橋接在基板501和鈣鈦礦膜層503、504、及505之間,提供基板501內的電晶體與鈣鈦礦膜層503、504、及505之間的電氣連接。在一些實施例中,晶種層502也可助於增進鈣鈦礦膜層503、504、及505的結晶度。
第一鈣鈦礦膜層503安置在晶種層502上方。在一實施例中,第一鈣鈦礦膜層503是鍶釕氧化物。
第二鈣鈦礦膜層504安置在第一鈣鈦礦膜層503上方。在一實施例中,第二鈣鈦礦膜層504是鑭鉍鐵氧化物。
第三鈣鈦礦膜層505安置在第一鈣鈦礦膜層505上方。在一實施例中,第三鈣鈦礦膜層505是鍶釕氧化物。第一與第三鈣鈦礦膜層503、505經設置以增進第二鈣鈦礦膜層504中的結晶度以增強鈣鈦礦膜層503、504、及505的鐵電性質。
第6圖是製造鈣鈦礦膜元件500的方法的流程圖。第7A-7D圖是在製造鈣鈦礦膜元件500的方法期間之基板501的圖解剖面視圖。可在方法200中的操作204中執行方法600。
在操作601,晶種層502安置在基板501上方,如第7A圖中所示。晶種層502安置在諸如多陰極處理腔室300的處理腔室內的基板上方,此處理腔室可取代一或多個處理腔室103、105、107、109、110、111、及112的任一者。晶種層502使用無電電鍍、電化學沉積、PVD、電漿增強CVD(PECVD)、CVD、ALD、或其他沉積方法被安置在基板501上方。晶種層502包含諸如氧化鎂(MgO)或氮化鈦(TiN)的材料。晶種層502經設置以橋接在基板501與鈣鈦礦膜層503、504、及505之間,提供基板501內的電晶體與鈣鈦礦膜層503、504、及505之間的電氣連接。在一些實施例中,晶種層502也可助於增進鈣鈦礦膜層503、504、及505的結晶度。
在任選的操作602,其上安置在晶種層502的基板501從處理腔室103、105、107、109、110、111、112的一者傳送至處理腔室103、105、107、109、110、111、112的另一者,以改變在處理腔室中執行的處理的類型。使用群集處理系統100的第一移送腔室136A中的具有第一葉片134A的第一真空機器人130A或第二移送腔室136B中的具有第二葉片134B的第二真空機器人130B的一者,基板501可從處理腔室103、105、107、109、110、111、112傳送至另一處理腔室103、105、107、109、110、111、112。
在操作603,第一鈣鈦礦膜層503以第一沉積氣流被安置在晶種層502上方,如第7B圖中所示。經由來自一或多個靶材(例如,第一靶材304與第二靶材306)的濺射沉積來安置第一鈣鈦礦膜層503。在一實施例中,第一靶材304耦接至RF陰極302A且第二靶材306耦接至DC/p-DC陰極302B。在一些實施例中,靶材304、306可為金屬靶材或介電靶材。在一些實施例中,第一靶材304與第二靶材306可由金屬所形成,諸如鍶(Sr)、釕(Ru)、或前述兩者的組合。在一些實施例中,第一鈣鈦礦膜層503是鍶釕氧化物。
在一實施例中,使用單一靶材濺射(例如,第一靶材304或第二靶材306)來沉積第一鈣鈦礦膜層503。包括開口318的隔離罩316可旋轉地耦接至多陰極處理腔室300的腔室主體配接器308。開口318可使用致動器324在一或多個靶材(例如,第一靶材304與第二靶材306)之間被選擇性旋轉以沉積第一鈣鈦礦膜層503。例如,如第3圖中所示,開口318可被選擇性旋轉至第一靶材304以將第一靶材304暴露至基板336,同時第二靶材306被容納在隔離罩316的袋部320內且不被暴露至基板501。經由開口318暴露至基板501的第一靶材304容許第一靶材304沉積(例如,濺射)材料至基板501上,同時隔離罩316防止第二靶材306沉積(例如,濺射)材料至基板501上。
在另一實施例中,使用具有兩個或更多個靶材(例如,第一靶材304與第二靶材306)的多靶材濺射(例如,共濺射)來沉積第一鈣鈦礦膜層503。隔離罩316可包括兩個或多個開口318,開口318可被選擇性旋轉在兩個或更多個靶材(例如,第一靶材304與第二靶材306)之間。例如,多陰極處理腔室300可具有三個或更多個靶材,例如,第一靶材304、第二靶材306、與第三靶材(未示出)。隔離罩316可被選擇性旋轉,使得第一隔離罩開口與第二隔離罩開口將第一靶材304與第二靶材306暴露至基板,同時第三靶材被容納在隔離罩316的袋部320內且不被暴露至基板。經由開口暴露至基板501的第一靶材304與第二靶材306容許第一靶材304與第二靶材306將材料沉積(例如,濺射)至基板501上,同時隔離罩316防止第三靶材沉積(濺射)材料至基板501上。在一些實施例中,共濺射技術可包括濺射相同材料的一或多個靶材。在其他實施例中,共濺射可包括每個靶材包含與其他靶材不同的材料。共濺射兩個或更多個靶材的能力容許對於沉積的鈣鈦礦膜之化學計量的更大控制。對於沉積的鈣鈦礦膜之更大的化學計量控制增加完成的鈣鈦礦膜元件的結晶度。
在一些實施例中,在單一靶材或多靶材濺射期間,致動器324可旋轉基板支撐件以增進靶材304、306的均勻沉積。
在任選的操作604中,可在板上測量站118處測量具有安置在基板501上的第一鈣鈦礦膜層503的基板501的材料性質。使用群集處理系統100的第一移送腔室136A中的具有第一葉片134A的第一真空機器人130A或第二移送腔室136B中的具有第二葉片134B的第二真空機器人130B的一者,具有安置在基板501上的第一鈣鈦礦膜層503的基板501可從處理腔室103、105、107、109、110、111、112中的一者被傳送至板上測量站118。
在操作605,使用第二沉積氣流在第一鈣鈦礦膜層503上方安置第二鈣鈦礦膜層504,如第7C圖所示。經由來自一或多個靶材(例如,第一靶材304與第二靶材306)的濺射沉積來安置第二鈣鈦礦膜層504。在一實施例中,第一靶材304耦接至RF陰極302A且第二靶材306耦接至DC/p-DC陰極302B。在一些實施例中,靶材304、306可為金屬靶材或介電靶材。在一些實施例中,第一靶材304與第二靶材306可由金屬所形成,諸如鑭(La)、鉍(Bi)、鐵(Fe)、或前述物的組合。在一實施例中,第二鈣鈦礦膜層504是鑭鉍鐵氧化物。
在一實施例中,使用單一靶材濺射(例如第一靶材304或第二靶材306)來沉積第二鈣鈦礦膜層504。隔離罩316包括開口318,其被可旋轉地耦接至多陰極處理腔室300的腔室主體配接器308。開口318可使用致動器324被選擇性旋轉在一或多個靶材(例如,第一靶材304與第二靶材306)之間以沉積第二鈣鈦礦膜層504。例如,如第3圖所示,開口318可被選擇性旋轉至第一靶材304以將第一靶材304暴露至基板501,同時第二靶材306容納在隔離罩316的袋部320內且不被暴露至基板501。經由開口318暴露至基板501的第一靶材304容許第一靶材304沉積(例如,濺射)材料至基板501上,同時隔離罩316防止第二靶材306沉積(例如,濺射)材料至基板501上。
在另一實施例中,使用具有兩個或更多個靶材(例如,第一靶材304與第二靶材306)的多靶材濺射(例如,共濺射)來沉積第二鈣鈦礦膜層504。隔離罩316可包括兩個或多個開口318,開口318可被選擇性旋轉在兩個或更多個靶材(例如,第一靶材304與第二靶材306)之間。例如,處理腔室可具有三個或更多個靶材,例如,第一靶材304、第二靶材306、與第三靶材(未示出)。隔離罩316可被選擇性旋轉,使得第一隔離罩開口與第二隔離罩開口將第一靶材304與第二靶材306暴露至基板501,同時第三靶材被容納在隔離罩316的袋部320內且不被暴露至基板501。經由開口暴露至基板501的第一靶材304與第二靶材306容許第一靶材304與第二靶材306將材料沉積(例如,濺射)至基板501上,同時隔離罩316防止第三靶材沉積(濺射)材料至基板501上。在一些實施例中,共濺射技術可包括濺射相同材料的一或多個靶材。在其他實施例中,共濺射可包括每個靶材包含與其他靶材不同的材料。共濺射兩個或更多個靶材的能力容許對於沉積的鈣鈦礦膜之化學計量的更大控制。對於沉積的鈣鈦礦膜之更大的化學計量控制增加完成的鈣鈦礦膜元件的結晶度。
在任選的操作606,可在板上測量站118處測量具有安置在基板501上的第二鈣鈦礦膜層504的基板501的材料性質。使用群集處理系統100的第一移送腔室136A中的具有第一葉片134A的第一真空機器人130A或第二移送腔室136B中的具有第二葉片134B的第二真空機器人130B的一者,具有安置在基板501上的第二鈣鈦礦膜層504的基板501可從處理腔室103、105、107、109、110、111、112中的一者被傳送至板上測量站118。
在操作607,使用第三沉積氣流在第二鈣鈦礦膜層504上方安置第三鈣鈦礦膜層505,如第7 D圖所示。經由來自一或多個靶材(例如,第一靶材304與第二靶材306)的濺射沉積來安置第三鈣鈦礦膜層505。在一實施例中,第一靶材304耦接至RF陰極302A且第二靶材306耦接至DC/p-DC陰極302B。在一些實施例中,靶材304、306可為金屬靶材或介電靶材。在一些實施例中,第一靶材304與第二靶材306可由金屬所形成,諸如鍶(Sr)、釕(Ru)、或前述兩者的組合。在一實施例中,第三鈣鈦礦膜層505是鍶釕氧化物。
在一實施例中,使用單一靶材濺射(例如第一靶材304或第二靶材306)來沉積第三鈣鈦礦膜層505。包括開口318的隔離罩316被可旋轉地耦接至多陰極處理腔室300的腔室主體配接器308。開口318可使用致動器324被選擇性旋轉在一或多個靶材(例如,第一靶材304與第二靶材306)之間以沉積第三鈣鈦礦膜層505。例如,如第3圖所示,開口318可被選擇性旋轉至第一靶材304以將第一靶材304暴露至基板501,同時第二靶材306容納在隔離罩316的袋部320內且不被暴露至基板501。經由開口318暴露至基板501的第一靶材304容許第一靶材304沉積(例如,濺射)材料至基板501上,同時隔離罩316防止第二靶材306沉積(例如,濺射)材料至基板501上。
在另一實施例中,使用具有兩個或更多個靶材(例如,第一靶材304與第二靶材306)的多靶材濺射(例如,共濺射)來沉積第三鈣鈦礦膜層505。隔離罩316可包括兩個或多個開口318,開口318可被選擇性旋轉在兩個或更多個靶材(例如,第一靶材304與第二靶材306)之間。例如,多陰極處理腔室300可具有三個或更多個靶材,例如,第一靶材304、第二靶材306、與第三靶材(未示出)。可選擇性地旋轉隔離罩316,使得第一隔離罩開口與第二隔離罩開口將第一靶材304與第二靶材306暴露至基板501,同時第三靶材被容納在隔離罩316的袋部320內且不被暴露至基板501。經由開口暴露至基板501的第一靶材304與第二靶材306容許第一靶材304與第二靶材306將材料沉積(例如,濺射)至基板501上,同時隔離罩316防止第三靶材沉積(濺射)材料至基板上。在一些實施例中,共濺射技術可包括濺射相同材料的一或多個靶材。在其他實施例中,共濺射可包括每個靶材包含與其他靶材不同的材料。共濺射兩個或更多個靶材的能力容許對於沉積的鈣鈦礦膜之化學計量的更大控制。對於沉積的鈣鈦礦膜之更大的化學計量控制增加完成的鈣鈦礦膜元件的結晶度。
在任選的操作608,可在板上測量站118處測量具有安置在基板501上的第三鈣鈦礦膜層505的基板501的材料性質。使用群集處理系統100的第一移送腔室136A中的具有第一葉片134A的第一真空機器人130A或第二移送腔室136B中的具有第二葉片134B的第二真空機器人130B的一者,具有安置在基板501上的第三鈣鈦礦膜層505的基板501可從處理腔室103、105、107、109、110、111、112中的一者被傳送至板上測量站118。
在操作609,退火具有安置在基板501上的晶種層502、第一鈣鈦礦膜層503、第二鈣鈦礦膜層504、及第三鈣鈦礦膜層505的基板501以形成鈣鈦礦膜元件500。取決於所使用的處理腔室103、105、107、109、110、111、112,使用第一真空機器人的第一葉片134A或第二真空機器人130B的第二葉片134B,將基板501傳送至裝載閘腔室122中的退火腔室124。在一實施例中,退火發生在受控周圍條件中。在裝載閘腔室122內以受控升降溫速率執行退火。藉由退火安置在基板上的鈣鈦礦膜,增進鈣鈦礦膜元件500的結晶度。
在操作610,微影與蝕刻鈣鈦礦膜元件500。微影術與蝕刻暴露第二鈣鈦礦膜層504以產生頂部電極。微影術與蝕刻暴露第一鈣鈦礦膜層503以產生底部電極。微影術與蝕刻暴露晶種層502以產生用於鈣鈦礦膜元件500的電極。
總結而言,本文示出用於製造鈣鈦礦膜元件的方法及處理系統。使用多陰極沉積腔室沉積形成鈣鈦礦膜元件的鈣鈦礦膜層。多陰極沉積容許對於鈣鈦礦膜層的更大的化學計量控制及增加完成的鈣鈦礦膜元件的結晶度。多陰極沉積腔室包括一或多個靶材。一或多個靶材中的一個靶材耦接至RF電源。可控制RF電源的阻抗與電容以能夠遞送正確的功率量至靶材。退火鈣鈦礦膜層以進一步提高完成的鈣鈦礦膜元件的結晶度。處理系統內的板上測量站容許在鈣鈦礦膜層的處理期間量測鈣鈦礦膜元件的材料性質。
儘管前述內容係關於本發明的實施例,但在不背離本發明的基本範疇下可構想出本發明的其他與進一步實施例,及本發明的範疇由之後的申請專利範圍所決定。
100:群集處理系統 101:運算裝置 102:工廠界面 103,105,107,109,110,111,112:處理腔室 104:處理平臺 106A,106B:前開式晶圓傳送盒(FOUP) 108:塢站 114:工廠界面機器人 116:第一葉片 118:板上測量站 122:裝載閘腔室 124:退火腔室 130A:第一真空機器人 130B:第二真空機器人 134A:第一葉片 134B:第二葉片 136A:第一移送腔室 136B:第二移送腔室 138:中央處理器(CPU) 140:記憶體 142:支持電路 144:系統控制器 200:方法 201,202,203,204,205,206,207,208,209:操作 300:多陰極處理腔室 302A:RF陰極 302B:DC陰極 304:第一靶材 305:內部體積 306:第二靶材 308:腔室主體配接器 310:腔室主體 312:DC電源 314:RF電源 315:RF匹配網路 316:隔離罩 318:開口 320:袋部 322:軸件 324:致動器 326,328:箭頭 330:中央軸 332:基板支撐件 334:支撐表面 336:基板 338:偏壓電源 340:偏壓電極 342:匹配網路 344:接地環 346:處理氣體供應器 348:排氣泵 350:開口 400:方法 401,402,403,404,405,406,407:操作 500:鈣鈦礦膜元件 501:基板 502:晶種層 503:第一鈣鈦礦膜層 504:第二鈣鈦礦膜層 505:第三鈣鈦礦膜層 600:方法 601,602,603,604,605,606,607,608,609,610:操作 α:角度
藉由參照其中的一些實施例繪示在隨附圖式中的實施例,可獲得本發明的更具體的說明,使得藉此可詳細地理解本發明的上述特徵。然而,將注意到隨附圖式僅繪示範例實施例且因此不被當作限制其範疇,及可允許其他等效實施例。
第1圖是根據實施例的群集處理系統的圖解視圖。
第2圖是根據實施例的製造鈣鈦礦膜元件的方法的流程圖。
第3圖是根據實施例的多陰極處理腔室的圖解視圖。
第4圖是根據實施例的製造鈣鈦礦膜元件的方法的流程圖。
第5A圖是根據實施例的鈣鈦礦膜元件的圖解側視圖。
第5B圖是根據實施例的鈣鈦礦膜元件的圖解頂視圖。
第6圖是根據實施例的製造鈣鈦礦膜元件的方法的流程圖。
第7A~7D圖是根據實施例的在製造鈣鈦礦膜元件的方法期間之基板的圖解剖面視圖。
為了促進理解,儘可能已使用相同的元件符號指代圖示中共通的相同元件。料想到一個實施例的元件與特徵可被有利地併入其他實施例中而不必進一步闡明。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:多陰極處理腔室
302A:RF陰極
302B:DC陰極
304:第一靶材
305:內部體積
306:第二靶材
308:腔室主體配接器
310:腔室主體
312:DC電源
314:RF電源
315:RF匹配網路
316:隔離罩
318:開口
320:袋部
322:軸件
324:致動器
326,328:箭頭
330:中央軸
332:基板支撐件
334:支撐表面
336:基板
338:偏壓電源
340:偏壓電極
342:匹配網路
344:接地環
346:處理氣體供應器
348:排氣泵
350:開口
α:角度

Claims (20)

  1. 一種製造一鈣鈦礦膜元件的方法,包含: 對一處理系統內的一基板加熱與除氣; 使用一處理腔室內的多陰極濺射沉積在該基板的一表面上方沉積一鈣鈦礦膜層; 對具有安置在該基板上的該鈣鈦礦膜層的該基板退火;及 在該處理系統內的一板上測量站處量測該鈣鈦礦膜層的一厚度與一或多個材料性質。
  2. 如請求項1所述之方法,其中該處理腔室包括複數個靶材及一隔離罩,其中該隔離罩可操作以選擇性暴露該複數個靶材的一或多個靶材及選擇性防止該複數個靶材的一或多個靶材濺射。
  3. 如請求項2所述之方法,其中該處理腔室包括一第一靶材與一第二靶材,該第一靶材包含一第一材料和該第二靶材包含一第二材料,該第二材料與該第一材料不同,其中該隔離罩在該多陰極濺射沉積期間暴露該第一靶材與該第二靶材。
  4. 如請求項3所述之方法,其中該第一材料包含鍶(Sr)、釕(Ru)、或前述兩者的一組合中的一者。
  5. 如請求項3所述之方法,其中該第二材料包含鑭(La)、鉍(Bi)、鐵(Fe)、或前述物的一組合中的一者。
  6. 如請求項3所述之方法,其中該第一靶材或該第二靶材中的一者耦接至一RF陰極與一RF匹配網路。
  7. 如請求項3所述之方法,其中該第一材料與該第二材料包含鍶(Sr)、釕(Ru)、鑭(La)、鉍(Bi)、鐵(Fe)、或前述物的一組合中的一者。
  8. 如請求項2所述之方法,其中該處理腔室包括一第一靶材與一第二靶材,該第一靶材包含一第一材料和該第二靶材包含一第二材料,該第二材料與該第一材料相同,其中該隔離罩在該多陰極濺射沉積期間暴露該第一靶材與該第二靶材。
  9. 一種製造一鈣鈦礦膜元件的方法,包含: 對一處理系統內的一基板加熱與除氣; 使用一處理腔室內的多陰極濺射沉積在該基板的一表面上方沉積一第一鈣鈦礦膜層,其中該第一鈣鈦礦膜層包含一第一鈣鈦礦材料; 使用一處理腔室內的多陰極濺射沉積在該第一鈣鈦礦膜層上方沉積一第二鈣鈦礦膜層,其中該第二鈣鈦礦膜層包含一第二鈣鈦礦材料; 對具有安置在該基板上的該第一鈣鈦礦膜層與該第二鈣鈦礦膜層的該基板退火;及 在該處理系統內的一板上測量站處量測該第一鈣鈦礦膜層與該第二鈣鈦礦膜層的一厚度與一或多個材料性質。
  10. 如請求項9所述之方法,其中該第二鈣鈦礦材料與該第一鈣鈦礦材料不同。
  11. 如請求項10所述之方法,其中該第一鈣鈦礦材料是一鍶釕氧化物和該第二鈣鈦礦材料是鑭鉍鐵氧化物。
  12. 如請求項9所述之方法,其中該第二鈣鈦礦材料與該第一鈣鈦礦材料相同。
  13. 如請求項9所述之方法,進一步包含使用多陰極濺射沉積在該第二鈣鈦礦膜層上方沉積一第三鈣鈦礦膜層,其中該第三鈣鈦礦膜層包含一第三鈣鈦礦材料。
  14. 如請求項13所述之方法,其中該第三鈣鈦礦材料與該第一鈣鈦礦材料及該第二鈣鈦礦材料兩者不同。
  15. 如請求項13所述之方法,其中該第三鈣鈦礦材料與該第一鈣鈦礦材料或該第二鈣鈦礦材料任一者相同。
  16. 一種製造一鈣鈦礦膜元件的方法,包含: 在一基板上沉積一晶種層; 在該晶種層上方沉積一第一鈣鈦礦膜層; 在該第一鈣鈦礦膜層上方沉積一第二鈣鈦礦膜層; 在該第二鈣鈦礦膜層上方沉積一第三鈣鈦礦膜層; 退火該基板與該等鈣鈦礦膜層; 微影與蝕刻該第三鈣鈦礦膜層以形成一頂部電極;及 微影與蝕刻該第二鈣鈦礦膜層以形成一底部電極。
  17. 如請求項16所述之方法,其中該第一鈣鈦礦膜層與該第三鈣鈦礦膜層包含鍶釕氧化物和該第二鈣鈦礦膜層包含鑭鉍鐵氧化物。
  18. 如請求項16所述之方法,其中使用來自一第一靶材與一第二靶材的共濺射來沉積該第一鈣鈦礦膜層與該第三鈣鈦礦膜層。
  19. 如請求項18所述之方法,其中該第一靶材與該第二靶材包含鍶(Sr)、釕(Ru)、或前述兩者的一組合中的一者。
  20. 如請求項18所述之方法,其中該第一靶材與該第二靶材包含鑭(La)、鉍(Bi)、鐵(Fe)、或前述物的一組合中的一者。
TW112105192A 2022-02-14 2023-02-14 用於製造pvd鈣鈦礦膜的設備及方法 TW202348822A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263309966P 2022-02-14 2022-02-14
US63/309,966 2022-02-14

Publications (1)

Publication Number Publication Date
TW202348822A true TW202348822A (zh) 2023-12-16

Family

ID=87559298

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112105192A TW202348822A (zh) 2022-02-14 2023-02-14 用於製造pvd鈣鈦礦膜的設備及方法

Country Status (3)

Country Link
US (1) US20230257868A1 (zh)
TW (1) TW202348822A (zh)
WO (1) WO2023154553A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5077270A (en) * 1987-03-26 1991-12-31 Matsushita Electric Industrial Co., Ltd. Elements comprising a film of a perovskite compound whose crystallographic axes are oriented and a method of making such elements
JPH1140773A (ja) * 1997-07-22 1999-02-12 Hitachi Ltd 半導体記憶装置
JP2003243536A (ja) * 2002-02-15 2003-08-29 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US10388533B2 (en) * 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US11659723B2 (en) * 2019-11-13 2023-05-23 Cubicpv Inc. Perovskite material photovoltaic device and method for assembly

Also Published As

Publication number Publication date
WO2023154553A1 (en) 2023-08-17
US20230257868A1 (en) 2023-08-17

Similar Documents

Publication Publication Date Title
US10734235B2 (en) Systems and methods for low resistivity physical vapor deposition of a tungsten film
US9583349B2 (en) Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
US20100215460A1 (en) Inline-type wafer conveyance device
US6328858B1 (en) Multi-layer sputter deposition apparatus
US10896821B2 (en) Asymmetric wafer bow compensation by physical vapor deposition
US20070048451A1 (en) Substrate movement and process chamber scheduling
JP2018537849A5 (zh)
WO2000018979A9 (en) Sputter deposition apparatus
CN110574143B (zh) 阻挡膜沉积及处理
TW202012669A (zh) 摻雜過渡金屬氧化物之物理氣相沉積及其非揮發性記憶體應用的後沉積處理
US11152207B2 (en) Method of forming titanium nitride films with (200) crystallographic texture
JP4324617B2 (ja) スパッタ成膜方法及びスパッタ成膜装置
US20190385908A1 (en) Treatment And Doping Of Barrier Layers
TW202348822A (zh) 用於製造pvd鈣鈦礦膜的設備及方法
TW202100781A (zh) 形成金屬容納材料的方法
JP7277609B2 (ja) ガス流システム
US20230175114A1 (en) Sputtering apparatus and control method
US20220364230A1 (en) Pulsing plasma treatment for film densification
US20180001597A1 (en) Method for forming copper film
KR20210113044A (ko) 웨이퍼를 처리하는 방법
JP2004018922A (ja) 基板処理方法及び基板処理装置