TW202145388A - 積體晶片及形成其的方法 - Google Patents

積體晶片及形成其的方法 Download PDF

Info

Publication number
TW202145388A
TW202145388A TW110101139A TW110101139A TW202145388A TW 202145388 A TW202145388 A TW 202145388A TW 110101139 A TW110101139 A TW 110101139A TW 110101139 A TW110101139 A TW 110101139A TW 202145388 A TW202145388 A TW 202145388A
Authority
TW
Taiwan
Prior art keywords
protective layer
layer
forming
upper passivation
integrated wafer
Prior art date
Application number
TW110101139A
Other languages
English (en)
Other versions
TWI810512B (zh
Inventor
葉姿萱
徐晨祐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145388A publication Critical patent/TW202145388A/zh
Application granted granted Critical
Publication of TWI810512B publication Critical patent/TWI810512B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03614Physical or chemical etching by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin
    • H01L2224/0362Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03622Manufacturing methods by patterning a pre-deposited material using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/03831Reworking, e.g. shaping involving a chemical process, e.g. etching the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本發明關於一種形成積體晶片的方法。所述方法可藉由將接合墊層形成在介電結構上來執行,所述介電結構在基底上方形成。介電結構包圍多個內連線層。將保護層形成至接合墊層上,且接合墊層及保護層經圖案化以限定由保護層覆蓋的接合墊。在保護層上方形成一個或多個上部鈍化層。執行乾式蝕刻製程以形成延伸穿過一個或多個上部鈍化層至保護層的開口。執行濕式蝕刻製程以移除保護層的一部分且暴露接合墊的上部表面。

Description

具有增強的可靠性的接合墊
積體晶片製造為複雜多步驟製程,在此期間,在由半導性材料(例如矽)製成的晶圓上形成電子電路。積體晶片製造可廣泛地劃分為前段(front-end-of- line;FEOL)處理及後段(back-end-of-line;BEOL)處理。FEOL處理大體上涉及在半導體材料內形成元件(例如電晶體),而BEOL處理大體上涉及在半導體材料上方的介電結構內形成導電內連線層。在完成BEOL處理之後,形成接合墊,且隨後可將晶圓單體化(例如切割)以形成多個單獨積體晶片晶粒。
以下揭露內容提供用於實施所提供主題的不同特徵的許多不同實施例或實例。下文描述組件及配置的特定實例以簡化本揭露內容。當然,此等特定實例僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或第二特徵上形成可包含第一特徵與第二特徵直接接觸地形成的實施例,且亦可包含可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複附圖標號及/或字母。此重複是出於簡單及清楚的目的,且本身並不規定所論述的各種實施例及/或組態之間的關係。
此外,為易於描述,可使用諸如「在…之下」、「在…下方」、「下部」、「在…之上」、「上部」以及類似物的空間相對術語,以描述如諸圖中所示出的一個部件或特徵與另一部件或特徵的關係。除諸圖中所描繪的定向之外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
在積體晶片製造期間,可在包圍多個導電內連線層的介電結構上方形成接合墊。可藉由將導電材料(例如金屬)沉積於內連線層上方,且隨後使導電材料圖案化以限定接合墊來形成接合墊。在限定接合墊之後,可將一個或多個鈍化層形成至接合墊上。隨後使一個或多個鈍化層圖案化以形成暴露接合墊的開口。
可藉由對一個或多個鈍化層執行第一蝕刻製程來形成開口。第一蝕刻製程可使用具有氟蝕刻化學物質的乾式蝕刻劑。然而,已瞭解,來自乾式蝕刻劑的氟可與接合墊的金屬(例如鋁)相互作用以在接合墊上形成氟化副產物(例如AlF3 )。氟化副產物會與(例如來自空氣、後續濕式清潔製程等的)水分反應以形成可能損壞接合墊的化合物(例如以形成AlOH3 及3HF)且導致電性故障。
因此,為移除氟化副產物,可使用非氟類蝕刻劑(例如氬)執行第二蝕刻製程。然而,雖然非氟類蝕刻劑可移除氟化副產物,但非氟類蝕刻劑亦可能損壞之下的接合墊,藉此為接合墊賦予粗糙表面。粗糙表面導致與上覆導體(例如焊料凸塊)的不良黏著及/或電性連接。此外,為避免污染,使用非氟類蝕刻劑的第二蝕刻製程可在專用室中執行。然而,針對第二蝕刻製程使用專用室可能減緩處理量。由於氟化副產物將隨時間吸收水分且使接合墊劣化,故減緩處理量可導致接合墊的可靠性問題。因此,減緩處理量可導致違反第二蝕刻製程的等待時間(q-time)要求(例如蝕刻製程可具有大約2小時的等待時間),藉此迫使對基底的重加工,且進一步損害處理量並增加與製造製程相關聯的成本。
在一些實施例中,本揭露內容是關於一種形成具有包括保護層的接合墊結構的積體晶片的方法,所述保護層設置至接合墊上,且經組態以減少蝕刻副產物(例如氟金屬副產物)在接合墊上的形成。所述方法可藉由在形成於基底上方的介電結構內形成多個內連線層來執行。將接合墊層形成至介電結構上,且將保護層形成至接合墊層上。使接合墊層及保護層圖案化以限定由保護層覆蓋的接合墊。在保護層上方形成一個或多個上部鈍化層。執行乾式蝕刻製程以形成延伸穿過一個或多個上部鈍化層至保護層的開口。隨後執行濕式蝕刻製程以移除保護層且暴露接合墊的上部表面。因此,所述方法使用保護層以防止在接合墊上積聚乾式蝕刻製程的副產物,且因此消除了隨後使用非氟類乾式蝕刻劑作用於接合墊的需要。此外,使用濕式蝕刻製程來移除保護層減少對接合墊的損壞,藉此產生具有光滑上部表面的接合墊,所述光滑上部表面為接合墊提供良好可靠性。
圖1示出具有經組態以改良接合墊的可靠性的保護層的積體晶片100的一些實施例的橫截面視圖。
積體晶片100包括設置於基底102上方的介電結構106。在一些實施例中,一個或多個電晶體元件104可設置於基底102內。介電結構106包圍多個內連線層108。在一些實施例中,多個內連線層108可電耦接至基底102內的一個或多個電晶體元件104。在一些實施例中,多個內連線層108可包括沿介電結構106的最上部表面設置的頂部內連線層108t。
接合墊110設置於介電結構106上方。在一些實施例中,接合墊110可設置於頂部內連線層108t正上方。在其他實施例(未繪示)中,一個或多個重佈線層可設置於多個內連線層108與接合墊110之間。鈍化結構112包圍接合墊110。在一些實施例中,鈍化結構112可包括分別包括不同介電材料的一個或多個鈍化層。在一些實施例中,鈍化結構112可自沿接合墊110的側壁延伸至接合墊110的頂部的正上方。鈍化結構112具有限定接合墊110上方的開口113的側壁。開口113完全延伸穿過鈍化結構112至接合墊110的上部表面。導電接合結構116設置於開口113內及接合墊110的上部表面110u上。在一些實施例中,導電接合結構116可包括導電凸塊(例如焊料凸塊)、導電柱(例如銅柱、銅微柱)、凸塊下金屬(under bump metallurgy;UBM)或類似物。
保護層114垂直地設置於接合墊110的頂部與鈍化結構112之間,且具有進一步限定開口113的側壁。保護層114包括相對於鈍化結構112及/或接合墊110具有高蝕刻選擇率的材料。高蝕刻選擇率允許以與鈍化結構112及/或接合墊110不同的速率(例如更高速率及/或更低速率)蝕刻保護層114。舉例而言,在一些實施例中,保護層114可包括當暴露於第一蝕刻劑(例如乾式蝕刻劑)時以比鈍化結構112更低的速率蝕刻,且當暴露於第二蝕刻劑(例如濕式蝕刻劑)時以比鈍化結構112更高的速率蝕刻的材料。在一些實施例中,保護層114可包括當暴露於第二蝕刻劑(例如濕式蝕刻劑)時亦以比接合墊110更高的速率蝕刻的材料。在一些實施例中,例如,保護層114可包括金屬,諸如鈦(例如TiN)。
保護層114與鈍化結構112之間的高蝕刻選擇率允許鈍化結構112內的開口113藉由不蝕穿保護層114的第一蝕刻製程形成。能夠在不蝕穿保護層114的情況下在鈍化結構112內形成開口113允許第一蝕刻製程的副產物形成於保護層114而非接合墊110上。此外,保護層114與接合墊110之間的高蝕刻選擇率允許藉由不顯著損壞接合墊110的第二蝕刻製程移除保護層114的部分,藉此使得接合墊110的上部表面110u具有為接合墊110提供良好可靠性的光滑度。
圖2A示出具有經組態以改良接合墊的可靠性的保護層的積體晶片200的一些額外實施例的橫截面視圖。
積體晶片200包括配置於基底102上方的介電結構106。介電結構106包括多個堆疊ILD層106a至堆疊ILD層106d。在一些實施例中,多個堆疊ILD層106a至堆疊ILD層106d可由蝕刻終止層(未繪示)分隔。在各種實施例中,多個堆疊ILD層106a至堆疊ILD層106d可包括下述者中的一或多種:氧化物(例如SiO2 、SiCO等)、氟矽酸鹽玻璃、磷酸鹽玻璃(例如硼磷矽酸鹽玻璃)或類似物。
介電結構106包圍多個內連線層108a至內連線層108c。多個內連線層108a至內連線層108c可包括導電接觸件108a、內連線導線108b及/或內連線通孔108c。在各種實施例中,多個內連線層108a至內連線層108c可包括鋁、銅、鎢及/或類似物。在一些實施例中,多個內連線層108a至內連線層108c可耦接至設置於基底102內的電晶體元件104。在各種實施例中,電晶體元件104可包括金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistor;MOSFET)元件、雙極接面電晶體(bipolar junction transistor;BJT)元件、高電子遷移率電晶體(high electron mobility transistor;HEMT)元件或類似物。
接合墊110配置於介電結構106上方。接合墊110包括導電材料,諸如鋁、銅及/或類似物。在各種實施例中,接合墊110可具有在大約8,000埃(Angstroms;Å)與大約15,000埃之間、大約10,000埃與大約13,000埃之間、大約11,000埃與大約12,000埃之間或其他合適值的範圍內的厚度。在一些實施例中,黏膠層206可設置於接合墊110與沿介電結構106的最上部表面設置的頂部內連線層108t之間。黏膠層206經組態以操作為接合墊110與介電結構106之間的障壁層。在一些實施例中,黏膠層206亦可改良接合墊110與頂部內連線層108t之間的黏著。在一些實施例中,黏膠層206可包括氮化鉭(tantalum nitride)、氮化鈦或類似物。
接合墊110由一個或多個下部鈍化層202至下部鈍化層204橫向包圍。在一些實施例中,接合墊110可包括設置於一個或多個下部鈍化層202至下部鈍化層204上方的水平延伸表面。在一些此類實施例中,接合墊110可包括具有第一上部表面110u1 的中心區及具有在第一上部表面110u1 上方的第二上部表面110u2 的周邊區。在一些實施例中,一個或多個下部鈍化層202至下部鈍化層204可包括設置於介電結構106上的第一下部鈍化層202及設置於第一下部鈍化層202上的第二下部鈍化層204。在一些實施例中,第一下部鈍化層202可包括氮化物(例如氮化矽)、碳化物(例如碳化矽)或類似物。在一些實施例中,第二下部鈍化層204可包括氧化物、未經摻雜的矽酸鹽玻璃(undoped silicate glass;USG)、硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)或類似物。在一些實施例中,第一下部鈍化層202可具有在大約500埃與大約1,000埃之間、大約600埃與大約800埃之間或其他合適值的範圍內的厚度。在一些實施例中,第二下部鈍化層204可具有在大約5,000埃與大約10,000埃之間、大約8,000埃與大約9,000埃之間或其他合適值的範圍內的厚度。
在一些實施例中,一個或多個上部鈍化層208至上部鈍化層210設置於接合墊110上方。一個或多個上部鈍化層208至上部鈍化層210可包括設置於第二下部鈍化層204上的第一上部鈍化層208及設置於第一上部鈍化層208上的第二上部鈍化層210。一個或多個上部鈍化層208至上部鈍化層210具有限定接合墊110正上方的開口113的側壁。在一些實施例中,第一上部鈍化層208可包括氧化物、USG、BPSG或類似物。在一些實施例中,第一上部鈍化層208可具有在大約10,000埃與大約15,000埃之間、大約11,000埃與大約12,500埃之間或其他合適值的範圍內的厚度。在一些實施例中,第二上部鈍化層210可包括氮化物(例如氮化矽)、碳化物(例如碳化矽)或類似物。在一些實施例中,第二上部鈍化層210可具有在大約5,000埃與大約10,000埃之間、大約8,000埃與大約9,000埃之間或其他合適值的範圍內的厚度。
保護層114垂直地設置於接合墊110的第二上部表面110u2 與第一上部鈍化層208之間。在一些實施例中,保護層114完全約束於接合墊110上方。如圖2B的俯視圖222(沿圖2A的橫截面線A-A´截取)中所繪示,保護層114可圍繞接合墊110的周界在不間斷路徑中連續延伸。在一些實施例中,保護層114可包括環形狀。
在一些實施例中,保護層114為或包括金屬或金屬化合物。舉例而言,保護層114可包括氮化鈦、氮化鉭、氧化鈦或類似物。在一些此類實施例中,保護層114可具有包括金屬且進一步限定開口113的一個或多個側壁。在一些實施例中,保護層114可具有在大約100埃與大約500埃之間、大約250埃與大約350埃之間或其他合適值的範圍內的厚度。藉由具有大於大約100埃的厚度,保護層114能夠防止用以在一個或多個上部鈍化層208至上部鈍化層210內形成開口113的蝕刻製程損壞接合墊110。藉由具有小於500埃的厚度,保護層114能夠在對處理量具有較小影響的情況下經迅速移除以暴露接合墊110。
罩幕層212垂直地設置於保護層114與第一上部鈍化層208之間。在一些實施例中,保護層114具有接觸接合墊110的底部表面及接觸罩幕層212的上部表面。在一些實施例中,罩幕層212可包括氮化物(例如氮氧化矽)、碳化物(例如碳氧化矽)或類似物。在一些實施例中,罩幕層212可具有在大約200埃與大約500埃之間、大約250埃與大約350埃之間或其他合適值的範圍內的厚度。
保護層114及罩幕層212分別包括進一步限定開口113的一個或多個側壁。在一些實施例中,保護層114具有以非零距離214自第一上部鈍化層208、第二上部鈍化層210及/或罩幕層212的側壁橫向後退的側壁。在一些實施例中,非零距離214可在大約50埃與大約500埃之間、大約200埃與大約350埃之間或其他合適值的範圍內。保護層114的側壁的橫向後退使得罩幕層212突出於保護層114之上且沿開口113的側方形成空腔216。空腔216由接合墊110的第二上部表面110u2 、保護層114的側壁以及罩幕層212的下部表面限定。
導電接合結構116設置於接合墊110上方。在一些實施例中,導電接合結構116可包括導電柱116a及在導電柱116a上方的頂蓋層116b。在一些實施例中,導電柱116a可包括銅柱、銅微柱或類似物。在一些實施例中,頂蓋層116b可包括錫、金或類似物中的一種或多種。在一些實施例中,導電柱116a可設置於空腔216內。在一些實施例中,導電柱116a可完全填充空腔216。在其他實施例中,導電柱116a可不完全填充空腔216,藉此在導電柱116a的側壁與保護層114的側壁之間留下空隙(未繪示)。
在各種實施例中,保護層(例如圖2A的保護層114)的側壁可具有不同側壁輪廓。舉例而言,在一些實施例中,保護層的側壁可由於用以蝕刻保護層的蝕刻製程而具有曲形側壁輪廓。圖3A至圖3B示出具有不同側壁輪廓的保護層114的一些非限制性實施例的橫截面視圖。
如圖3A至圖3B中所繪示,保護層114具有曲形側壁輪廓,所述曲形側壁輪廓使得保護層114具有限定空腔216的帶有凹形曲率的側壁。設置於保護層114的頂部表面上的罩幕層212具有帶有更小曲率的側壁。舉例而言,罩幕層212可具有實質上平坦的側壁。
在一些實施例中,在圖3A的橫截面視圖300中所繪示,保護層114可具有帶有曲率的曲形側壁114s1 ,所述曲形側壁114s1 在垂直位於保護層114的頂部表面與底部表面之間的點處具有最大斜率。在此類實施例中,曲形側壁114s1 賦予保護層114沿垂直位於保護層114的頂部表面與底部表面之間的線的最小寬度。在其他實施例中,在圖3B的橫截面視圖302中所繪示,保護層114可具有曲形側壁114s2 ,所述曲形側壁114s2 在沿保護層114的頂部表面的點處具有最大斜率。在此類實施例中,曲形側壁114s2 可具有賦予保護層114沿保護層114的頂部表面的最小寬度的曲率。
圖4示出具有經組態以改良接合墊的可靠性的保護層的積體晶片400的一些額外實施例的橫截面視圖。
積體晶片400包括設置於基底102上方的介電結構106。多個內連線層設置於介電結構106內。在一些實施例中,多個內連線層可包括下部內連線層402a及上部內連線層402b。在一些實施例中,下部內連線層402a可設置於具有第一結構完整性的一個或多個下部層間介電(inter-level dielectric;ILD)層(例如低介電常數(低k)介電材料、超低k介電材料或類似物)內。在一些實施例中,上部內連線層402b可設置於具有大於第一結構完整性的第二結構完整性的一個或多個上部ILD層內。在一些實施例中,為在接合墊110下方提供增大的結構支撐,下部內連線層402a可包括設置於通孔陣列內的通孔,所述通孔陣列經組態以對接合墊110提供結構支撐。通孔陣列403分別具有設置於垂直相鄰的內連線導線之間的多個通孔。在一些實施例中,通孔陣列可不設置於上部內連線層402b的垂直相鄰的內連線導線之間。
在一些實施例中,接合墊110可具有下部側壁110s1 ,所述下部側壁110s1 藉助於水平延伸下部表面110h耦接至上部側壁110s2 。在一些實施例中,下部側壁110s1 成角度以便使得接合墊110的下半部分的寬度隨與水平延伸下部表面110h的距離增大而減小。在一些實施例中,下部側壁110s1 為曲形。在一些實施例中,上部側壁110s2 成角度以便使得接合墊110的上半部分的寬度隨與水平延伸下部表面110h的距離增大而減小。
一個或多個上部鈍化層208至上部鈍化層210設置於接合墊110上方。在一些實施例中,一個或多個上部鈍化層208至上部鈍化層210可具有背離(face away)設置於接合墊110上方的導電接合結構116的圓化外側壁。在一些實施例中,一個或多個上部鈍化層208至上部鈍化層210沿上部表面比沿側壁可具有更大的厚度。
圖5示出具有經組態以改良接合墊的可靠性的保護層的積體晶片500的一些額外實施例的橫截面視圖。
積體晶片包括設置於介電結構106上方的接合墊110,所述介電結構106包圍多個內連線層108。保護層114設置於接合墊110上,且罩幕層212設置於保護層114上。一個或多個上部鈍化層208至上部鈍化層210在罩幕層212上方。
凸塊下金屬(UBM)結構502設置於接合墊110上方。在一些實施例中,UBM結構502包含擴散障壁層及晶種層。在一些實施例中,擴散障壁層亦可充當黏著層(或黏膠層)。擴散障壁層可包括鉭、氮化鉭、鈦、氮化鈦或其組合。晶種層包括經組態以允許沉積金屬柱、焊料凸塊或類似物的材料。在一些實施例中,UBM結構502可限定設置於保護層114的側壁與UBM結構502之間的空隙504。在其他實施例中,UBM結構502可直接接觸保護層114的側壁。導電接合結構116(例如焊料凸塊、銅柱、銅微柱或類似物)可設置於UBM結構502上方。
圖6至圖16示出形成具有經組態以改良接合墊的可靠性的保護層的積體晶片結構的方法的一些實施例的橫截面視圖600至橫截面視圖1600。雖然關於方法描述了圖6至圖16,但將瞭解,圖6至圖16中所揭露的結構不限於此方法,而是可單獨作為獨立於所述方法的結構。
如圖6的橫截面視圖600中所繪示,一個或多個電晶體元件104在基底102內形成。在各種實施例中,基底102可為任何類型的半導體主體(例如矽、SiGe、SOI等),諸如半導體晶圓及/或在晶圓上的一或多個晶粒,以及任何其他類型的半導體及/或與其相關的磊晶層。在一些實施例中,一個或多個電晶體元件104可包括藉由將閘極介電膜及閘極電極膜沉積於基底102上方而形成的電晶體。隨後使閘極介電膜及閘極電極膜圖案化以形成閘極介電質104a及閘極電極104b。可隨後植入基底102以在基底102內在閘極電極104b的相對側上形成源極區104c及汲極區104d。
在形成一個或多個電晶體元件104之後,在基底102上方的介電結構106內形成多個內連線層108。在一些實施例中,介電結構106可包括多個ILD層。在一些實施例中,多個內連線層108可包括導電接觸件108a、內連線導線108b以及內連線通孔108c。在一些實施例中,多個內連線層108可藉由下述操作形成:形成多個ILD層中的一個,選擇性蝕刻ILD層以限定ILD層內的介層窗孔及/或溝槽,在介層窗孔及/或溝槽內形成導電材料(例如銅、鋁等)以填充開口,以及執行平面化製程(例如化學機械平面化製程)。
如圖7的橫截面視圖700中所繪示,一個或多個下部鈍化層202至下部鈍化層204可形成於介電結構106上方。在一些實施例中,形成一個或多個下部鈍化層202至下部鈍化層204可包括在介電結構106上方形成第一下部鈍化層202,以及隨後在第一下部鈍化層202上方形成第二下部鈍化層204。在一些實施例中,第一下部鈍化層202可包括氮化物(例如氮化矽)、碳化物(例如碳化矽)或類似物。在一些實施例中,第一下部鈍化層202可藉助於沉積製程(例如CVD、PE-CVD、ALD或類似物)形成為在大約500埃(Å)與大約1,000埃之間、大約600埃與大約800埃之間或其他合適值的範圍內的厚度。在一些實施例中,第二下部鈍化層204可包括氧化物、矽酸鹽玻璃(例如BSG、PSG或類似物)或類似物。在一些實施例中,第二下部鈍化層204可藉助於沉積製程(例如CVD、PE-CVD、ALD或類似物)形成為在大約5,000埃與大約10,000埃之間、大約8,000埃與大約9,000埃之間或其他合適值的範圍內的厚度。
如圖8的橫截面視圖800中所繪示,根據第一蝕刻製程選擇性地使一個或多個下部鈍化層202至下部鈍化層204圖案化,以形成延伸穿過一個或多個下部鈍化層202至下部鈍化層204的接合墊開口802。在一些實施例中,接合墊開口802暴露多個內連線層108的頂部內連線層108t。在一些實施例中,可藉由根據第一遮蔽層806使一個或多個下部鈍化層202至下部鈍化層204暴露於第一蝕刻劑804來選擇性地使一個或多個下部鈍化層202至下部鈍化層204圖案化。在一些實施例中,第一蝕刻劑804可包括具有蝕刻化學物質的乾式蝕刻劑,所述蝕刻化學物質包括氟、氯或類似物。在一些實施例中,第一遮蔽層806可包括感光材料(例如光阻)、硬式罩幕或類似物。
如圖9的橫截面視圖900中所繪示,黏膠材料902在接合墊開口802內及第二下部鈍化層204的上部表面上方形成。在一些實施例中,黏膠材料902可包括氮化鉭、氮化鈦或類似物。在一些實施例中,黏膠材料902可藉由沉積製程(例如CVD、PE-CVD、ALD或類似物)形成為在200埃與大約800埃之間、大約500埃與大約700埃之間或其他合適值的範圍內的厚度。
接合墊層904在接合墊開口802內及黏膠材料902上方形成。在一些實施例中,接合墊層904可包括鋁、銅或類似物。在一些實施例中,接合墊層904可藉由沉積製程(例如CVD、PE-CVD、ALD或類似物)、電鍍製程及/或類似物形成。在各種實施例中,接合墊層904可形成為在8,000埃與大約15,000埃之間、大約10,000埃與大約13,000埃之間或其他合適值的範圍內的厚度。
如圖10的橫截面視圖1000中所繪示,保護層114在接合墊層904上方形成。在一些實施例中,保護層114為或包括金屬或金屬化合物。舉例而言,保護層114可包括金屬,諸如鈦(例如氮化鈦、氧化鈦或類似物)、鉭(例如氮化鉭)或類似物。在一些實施例中,保護層114可藉由沉積製程(例如CVD、PE-CVD、ALD或類似物)形成為在大約100埃與大約500埃之間、大約250埃與大約350埃之間或其他合適值的範圍內的厚度。
由於接合墊層904在一個或多個下部鈍化層202至下部鈍化層204中的接合墊開口802內形成,故接合墊層904具有第一上部表面904u1 及在第一上部表面904u1 上方的第二上部表面904u2 。在一些實施例中,接合墊110的第一上部表面904u1 可具有大於或等於大約2微米的寬度906。具有大於或等於大約2微米的寬度906防止用以形成保護層114的沉積製程的陰影影響保護層114的厚度。舉例而言,若寬度906小於大約2微米,則具有微小角度的沉積製程可導致保護層114的不均一性,所述不均一性隨後可能阻擋接合墊的開口。
如圖11的橫截面視圖1100中所繪示,罩幕層212在保護層114上方形成。在一些實施例中,罩幕層212可包括氮氧化物(例如氮氧化矽)、碳氧化物(例如碳氧化矽)或類似物。在一些實施例中,罩幕層212可藉由沉積製程(例如CVD、PE-CVD、ALD或類似物)形成為在200埃與大約500埃之間、大約200埃與大約350埃之間或合適值的範圍內的厚度。
如圖12的橫截面視圖1200中所繪示,根據第二蝕刻製程選擇性地使接合墊層(圖11的接合墊層904)、黏膠材料(圖11的黏膠材料902)、保護層114以及罩幕層212圖案化。第二蝕刻製程將保護層114及罩幕層212約束於接合墊110上方。在一些實施例中,可藉由根據第二遮蔽層1204使接合墊層(圖11的接合墊層904)、黏膠材料(圖11的黏膠材料902)、保護層114以及罩幕層212暴露於第二蝕刻劑1202來選擇性地使接合墊層(圖11的接合墊層904)、黏膠材料(圖11的黏膠材料902)、保護層114以及罩幕層212圖案化。在一些實施例中,第二蝕刻劑1202可包括具有蝕刻化學物質的乾式蝕刻劑,所述蝕刻化學物質包括氟、氯或類似物。在一些實施例中,第二遮蔽層1204可包括感光材料(例如光阻)、硬式罩幕或類似物。
如圖13的橫截面視圖1300中所繪示,一個或多個上部鈍化層208至上部鈍化層210在罩幕層212上方形成。一個或多個上部鈍化層208至上部鈍化層210可包括第一上部鈍化層208及第二上部鈍化層210。在一些實施例中,第一上部鈍化層208可包括氧化物、USG、BPSG或類似物。在一些實施例中,第一上部鈍化層208可形成為在大約10,000埃與大約15,000埃之間、大約11,000埃與大約12,500埃之間或其他合適值的範圍內的厚度。在一些實施例中,第二上部鈍化層210可包括氮化物(例如氮化矽)、碳化物(例如碳化矽)或類似物。在一些實施例中,第二上部鈍化層210可形成為在大約5,000埃與大約10,000埃之間、大約8,000埃與大約9,000埃之間或其他合適值的範圍內的厚度。
如圖14的橫截面視圖1400中所繪示,選擇性地使一個或多個上部鈍化層208至上部鈍化層210以及罩幕層212圖案化以形成延伸穿過一個或多個上部鈍化層208至上部鈍化層210以及罩幕層212的初步開口1402。在一些實施例中,初步開口1402暴露保護層114的上部表面。在一些實施例中,可藉由根據第三遮蔽層1406使一個或多個上部鈍化層208至上部鈍化層210以及罩幕層212暴露於第三蝕刻劑1404來選擇性地使一個或多個上部鈍化層208至上部鈍化層210以及罩幕層212圖案化。在一些實施例中,第三蝕刻劑1404可包括具有蝕刻化學物質的乾式蝕刻劑,所述蝕刻化學物質包括氟、氯或類似物。在一些實施例中,第三遮蔽層1406可包括感光材料(例如光阻)、硬式罩幕或類似物。
在一些實施例中,第三蝕刻劑1404可與保護層114反應以沿保護層114的上部表面及/或側壁且/或沿一個或多個上部鈍化層208至上部鈍化層210的側壁形成金屬副產物1408。在一些實施例中,金屬副產物1408可包括氟。舉例而言,在一些實施例中,金屬副產物1408可包括氟化鈦(titanium-flouride;TiFx )。
如圖15的橫截面視圖1500中所繪示,執行濕式蝕刻製程以移除保護層114的一部分且限定暴露接合墊110的上部表面的開口113。在一些實施例中,可藉由使保護層114暴露於濕式蝕刻劑來執行濕式蝕刻製程。在一些實施例中,濕式蝕刻劑1502可包括過氧化氫(hydrogen peroxide;H2 O2 )。在其他實施例中,濕式蝕刻劑1502可包括氫氧化鉀(potassium hydroxide;KOH)、氫氟酸(hydrofluoric acid;HF)或類似物。在一些實施例中,濕式蝕刻劑可作用於金屬副產物(圖14的金屬副產物1408),且自一個或多個上部鈍化層210至上部鈍化層208的側壁移除金屬副產物(圖14的金屬副產物1408)。
濕式蝕刻劑1502相對於一個或多個上部鈍化層208至上部鈍化層210以及罩幕層212具有高蝕刻選擇率。高蝕刻選擇率使得濕式蝕刻劑1502蝕刻保護層114遠快於蝕刻一個或多個上部鈍化層208至上部鈍化層210以及罩幕層212。舉例而言,在一些實施例中,保護層114與第一上部鈍化層208、第二上部鈍化層210及/或罩幕層212的蝕刻比率可在10:1與100:1之間的範圍內、在50:1與100:1之間的範圍內、在100:1與1,000:1之間的範圍或在其他範圍內。在其他實施例中,保護層114與第一上部鈍化層208、第二上部鈍化層210及/或罩幕層212的蝕刻比率可大於大約10:1、大於大約100:1或大於大約1,000:1。
在一些實施例中,濕式蝕刻劑1502可垂直及橫向地蝕刻保護層114。在一些此類實施例中,在完成濕式蝕刻製程之後,罩幕層212可突出於保護層114的側壁與罩幕層212的側壁之間的空間之上。所得罩幕層212橫向延伸超過保護層114的側壁以形成由保護層114的側壁及罩幕層212的下部表面限定的空腔216。在一些實施例中,保護層114可自第一上部鈍化層208、第二上部鈍化層210及/或罩幕層212的側壁以非零距離214橫向凹入。在一些實施例中,非零距離214可在大約50埃與大約500埃之間、大約200埃與大約350埃之間或其他合適值的範圍內。
在一些實施例中,濕式蝕刻劑1502亦可相對於接合墊110具有高蝕刻選擇率。高蝕刻選擇率使得濕式蝕刻劑蝕刻保護層114遠快於蝕刻接合墊110。在一些實施例中,濕式蝕刻劑1502可以在大約300埃/分鐘與大約400埃/分鐘之間的範圍內的第一蝕刻速率蝕刻保護層114,且可以大約0埃/分鐘的蝕刻速率蝕刻接合墊110。在一些實施例中,保護層114與接合墊110的蝕刻比率可大於大約10:1、大於大約100:1或大於大約1000:1。接合墊110的低蝕刻速率產生具有實質上光滑的上部表面(例如比藉由乾式蝕刻製程達成的上部表面更光滑的上部表面)的接合墊110。
在一些實施例中,在完成濕式蝕刻製程之後,可執行退火製程。退火製程可自接合墊110、保護層114、罩幕層212及/或一個或多個上部鈍化層208至上部鈍化層210移除水分以防止接合墊110劣化(例如氧化)。退火製程可進一步及/或可替代地釋放接合墊110內的金屬的應力。在一些實施例中,可藉由使基底102暴露於在大約100℃與大約750℃之間、大約200℃與大約500℃之間、大約250℃與大約300℃之間的範圍或其他合適範圍內的溫度來執行退火製程。
如圖16的橫截面視圖1600中所繪示,導電接合結構116在由一個或多個上部鈍化層208至上部鈍化層210限定的開口113內形成。在一些實施例中,導電接合結構116可包括導電凸塊(例如焊料凸塊)。
圖17示出形成具有經組態以改良接合墊的可靠性的保護層的積體晶片結構的方法1700的一些實施例的流程圖。
雖然本文中所揭露的方法1700在本文中經示出且描述為一系列步驟(act)或事件,但將瞭解,不應以限制性意義來解譯此類步驟或事件的所示出排序。舉例而言,除了本文中所示出及/或所描述的步驟或事件之外,一些步驟可與其他步驟或事件以不同次序及/或同時發生。另外,可能並非需要全部所示出步驟來實施本文中的描述的一或多個態樣或實施例。另外,本文中所描繪的步驟中的一或多者可以一或多個獨立步驟及/或階段來進行。
在步驟1702處,在基底上方的介電結構內形成多個內連線層。圖6示出對應於步驟1702的一些實施例的橫截面視圖600。
在步驟1704處,在介電結構上方形成一個或多個下部鈍化層。圖7示出對應於步驟1704的一些實施例的橫截面視圖700。
在步驟1706處,使一個或多個下部鈍化層圖案化以形成接合墊開口。圖8示出對應於步驟1706的一些實施例的橫截面視圖800。
在步驟1708處,在接合墊開口內及一個或多個下部鈍化層上方形成接合墊層。圖9示出對應於步驟1708的一些實施例的橫截面視圖900。
在步驟1710處,在接合墊層上方形成保護層。圖10示出對應於步驟1710的一些實施例的橫截面視圖1000。
在步驟1712處,在保護層上方形成罩幕層。圖11示出對應於步驟1712的一些實施例的橫截面視圖1100。
在步驟1714處,使罩幕層、保護層以及接合墊層圖案化以限定由保護層覆蓋的接合墊。圖12示出對應於步驟1714的一些實施例的橫截面視圖1200。
在步驟1716處,在接合墊上方形成一個或多個上部鈍化層。圖13示出對應於步驟1716的一些實施例的橫截面視圖1300。
在步驟1718處,執行蝕刻製程以移除一個或多個上部鈍化層的部分且形成暴露保護層的初步開口。圖14示出對應於步驟1718的一些實施例的橫截面視圖1400。
在步驟1720處,執行濕式蝕刻製程以移除保護層的部分且形成暴露接合墊的上部表面的開口。圖15示出對應於步驟1720的一些實施例的橫截面視圖1500。
在步驟1722處,執行退火製程。圖15示出對應於步驟1722的一些實施例的橫截面視圖1500。
在步驟1724處,將導電結構(例如導電凸塊)形成至接合墊上。圖16示出對應於步驟1724的一些實施例的橫截面視圖1600。
因此,在一些實施例中,本發明是關於一種具有保護層的積體晶片的接合墊結構,所述保護層設置至導電接合墊上,且經組態以減少蝕刻副產物(例如氟金屬副產物)至導電接合墊上的形成。
在一些實施例中,本揭露內容是關於一種形成積體晶片的方法。方法包含:將接合墊層形成在介電結構上,所述介電結構在基底上方形成,介電結構包圍多個內連線層;將保護層形成至接合墊層上;使接合墊層及保護層圖案化以限定由保護層覆蓋的接合墊;在保護層上方形成一個或多個上部鈍化層;執行乾式蝕刻製程以形成延伸穿過一個或多個上部鈍化層至保護層的開口;以及執行濕式蝕刻製程以移除保護層的一部分且暴露接合墊的上部表面。在一些實施例中,乾式蝕刻製程使用具有蝕刻化學物質的乾式蝕刻劑,所述蝕刻化學物質包括氟。在一些實施例中,濕式蝕刻製程橫向地蝕刻保護層,以使得保護層橫向凹入超過一個或多個上部鈍化層的側壁。在一些實施例中,方法更包含:在使接合墊層及保護層圖案化之前,在保護層上方形成罩幕層;在罩幕層上方形成第一遮蔽層;以及根據第一遮蔽層蝕刻罩幕層、保護層以及接合墊層。在一些實施例中,在完成濕式蝕刻製程之後,罩幕層突出於保護層的側壁與罩幕層的側壁之間的空間之上。在一些實施例中,乾式蝕刻製程將金屬副產物形成至一個或多個上部鈍化層的一個或多個側壁上;且濕式蝕刻製程自一個或多個上部鈍化層的一個或多個側壁移除金屬副產物。在一些實施例中,濕式蝕刻製程形成保護層的側壁,所述保護層進一步限定接合墊上方的開口。在一些實施例中,保護層包含氮化鈦。在一些實施例中,乾式蝕刻製程沿保護層的頂部形成金屬副產物,所述金屬副產物包含氟及鈦。
在其他實施例中,本揭露內容是關於一種形成積體晶片的方法。方法包含:在基底上方形成接合墊層;將保護層形成至接合墊層上;使接合墊層及保護層圖案化以限定由保護層覆蓋的接合墊;在保護層上方形成一個或多個上部鈍化層;蝕刻一個或多個上部鈍化層以暴露保護層的上部表面;執行濕式蝕刻製程以自接合墊的上部表面移除保護層的部分;以及在執行濕式蝕刻製程之後,在接合墊上方形成導電凸塊。在一些實施例中,濕式蝕刻製程經組態以在比一個或多個上部鈍化層及接合墊更快的速率下蝕刻保護層。在一些實施例中,一個或多個上部鈍化層橫向接觸保護層的側壁。在一些實施例中,方法更包含:在形成一個或多個上部鈍化層之前,在保護層上方形成罩幕層。在一些實施例中,保護層包含金屬。
在又其他實施例中,本揭露內容是關於一種積體晶片。積體晶片包含:多個內連線層,設置於基底上方的介電結構內;接合墊,設置於介電結構上方;一個或多個上部鈍化層,設置於介電結構及接合墊上方,所述一個或多個上部鈍化層包含限定接合墊正上方的開口的一個或多個側壁;以及保護層,包含直接設置於一個或多個上部鈍化層與接合墊之間的金屬,所述金屬具有進一步限定開口的一個或多個側壁。在一些實施例中,一個或多個上部鈍化層包含下部表面,所述下部表面面向接合墊且延伸超過金屬的一個或多個側壁。在一些實施例中,一個或多個上部鈍化層包含:第一上部鈍化層,沿接合墊的側壁且在接合墊的上部表面正上方設置;及第二上部鈍化層,設置於第一上部鈍化層上方,第一上部鈍化層及第二上部鈍化層兩者皆連續且橫向地延伸超過保護層的一個或多個側壁。在一些實施例中,保護層包含氮化鈦。在一些實施例中,積體晶片更包含:罩幕層,設置於保護層上方及一個或多個上部鈍化層下方,罩幕層橫向延伸超過保護層的一個或多個側壁。在一些實施例中,保護層具有接觸接合墊的底部表面及接觸罩幕層的上部表面。
前文概述若干實施例的特徵,以使得所屬技術領域具有通常知識者可更佳地理解本揭露內容的態樣。所屬技術領域具有通常知識者應瞭解,其可易於使用本揭露內容作為設計或修改用於實現本文中所引入實施例的相同目的及/或達成相同優點的其他製程及結構的基礎。所屬技術領域具有通常知識者亦應認識到,此類等效構造並不脫離本揭露內容的精神及範圍,且所屬技術領域具有通常知識者可在不脫離本揭露內容的精神及範圍的情況下在本文中作出各種改變、替代以及更改。
100、200、400、500:積體晶片 102:基底 104:電晶體元件 104a:閘極介電質 104b:閘極電極 104c:源極區 104d:汲極區 106:介電結構 106a、106b、106c、106d:堆疊ILD層 108、108a、108b、108c:內連線層 108t:頂部內連線層 110:接合墊 110h:水平延伸下部表面 110s1 :下部側壁 110s2 :上部側壁 110u:上部表面 110u1 、904u1 :第一上部表面 110u2 、904u2 :第二上部表面 112:鈍化結構 113:開口 114:保護層 114s1 、114s2 :曲形側壁 116:導電接合結構 116a:導電柱 116b:頂蓋層 202:第一下部鈍化層 204:第二下部鈍化層 206:黏膠層 208:第一上部鈍化層 210:第二上部鈍化層 212:罩幕層 214:非零距離 216:空腔 222:俯視圖 300、302、600、700、800、900、1000、1100、1200、1300、1400、1500、1600:橫截面視圖 402a:下部內連線層 402b:上部內連線層 403:通孔陣列 502:凸塊下金屬結構 504:空隙 802:接合墊開口 804:第一蝕刻劑 806:第一遮蔽層 902:黏膠材料 904:接合墊層 906:寬度 1202:第二蝕刻劑 1204:第二遮蔽層 1402:初步開口 1404:第三蝕刻劑 1406:第三遮蔽層 1408:金屬副產物 1502:濕式蝕刻劑 1700:方法 1702、1704、1706、1708、1710、1712、1714、1716、1718、1720、1722、1724:步驟 A-A´:橫截面線
當結合附圖閱讀時,自以下詳細描述最佳地理解本揭露內容的態樣。應注意,根據行業中的標準慣例,各種特徵未按比例繪製。實際上,出於論述清楚起見,可任意增大或減小各種特徵的尺寸。 圖1示出具有經組態以改良接合墊的可靠性的保護層的積體晶片的一些實施例的橫截面視圖。 圖2A至圖2B示出具有經組態以改良接合墊的可靠性的保護層的積體晶片的額外實施例。 圖3A至圖3B示出設置於接合墊上的保護層的一些額外實施例的橫截面視圖。 圖4示出具有經組態以改良接合墊的可靠性的保護層的積體晶片的一些額外實施例的橫截面視圖。 圖5示出具有經組態以改良接合墊的可靠性的保護層的積體晶片的一些額外實施例的橫截面視圖。 圖6至圖16示出形成具有經組態以改良接合墊的可靠性的保護層的積體晶片結構的方法的一些實施例的橫截面視圖。 圖17示出形成具有經組態以改良接合墊的可靠性的保護層的積體晶片結構的方法的一些實施例的流程圖。
1700:方法
1702、1704、1706、1708、1710、1712、1714、1716、1718、1720、1722、1724:步驟

Claims (20)

  1. 一種形成積體晶片的方法,包括: 將接合墊層形成在介電結構上,所述介電結構在基底上方形成,其中所述介電結構包圍多個內連線層; 將保護層形成至所述接合墊層上; 使所述接合墊層及所述保護層圖案化以限定由所述保護層覆蓋的接合墊; 在所述保護層上方形成一個或多個上部鈍化層; 執行乾式蝕刻製程以形成延伸穿過所述一個或多個上部鈍化層至所述保護層的開口;以及 執行濕式蝕刻製程以移除所述保護層的一部分且暴露所述接合墊的上部表面。
  2. 如請求項1所述的形成積體晶片的方法,其中所述乾式蝕刻製程使用具有蝕刻化學物質的乾式蝕刻劑,所述蝕刻化學物質包括氟。
  3. 如請求項1所述的形成積體晶片的方法,其中所述濕式蝕刻製程橫向地蝕刻所述保護層,以使得所述保護層橫向凹入超過所述一個或多個上部鈍化層的側壁。
  4. 如請求項1所述的形成積體晶片的方法,更包括: 在使所述接合墊層及所述保護層圖案化之前,在所述保護層上方形成罩幕層; 在所述罩幕層上方形成第一遮蔽層;以及 根據所述第一遮蔽層蝕刻所述罩幕層、所述保護層以及所述接合墊層。
  5. 如請求項4所述的形成積體晶片的方法,其中在完成所述濕式蝕刻製程之後,所述罩幕層突出於所述保護層的側壁與所述罩幕層的側壁之間的空間之上。
  6. 如請求項1所述的形成積體晶片的方法, 其中所述乾式蝕刻製程將金屬副產物形成至所述一個或多個上部鈍化層的一個或多個側壁上;且 其中所述濕式蝕刻製程自所述一個或多個上部鈍化層的所述一個或多個側壁移除所述金屬副產物。
  7. 如請求項1所述的形成積體晶片的方法,其中所述濕式蝕刻製程形成所述保護層的側壁,所述側壁進一步限定所述接合墊上方的所述開口。
  8. 如請求項1所述的形成積體晶片的方法,其中所述保護層包括氮化鈦。
  9. 如請求項1所述的方法,其中所述乾式蝕刻製程沿所述保護層的頂部形成金屬副產物,所述金屬副產物包括氟及鈦。
  10. 一種形成積體晶片的方法,包括: 在基底上方形成接合墊層; 將保護層形成至所述接合墊層上; 使所述接合墊層及所述保護層圖案化以限定由所述保護層覆蓋的接合墊; 在所述保護層上方形成一個或多個上部鈍化層; 蝕刻所述一個或多個上部鈍化層以暴露所述保護層的上部表面; 執行濕式蝕刻製程以自所述接合墊的上部表面移除所述保護層的部分;以及 在執行所述濕式蝕刻製程之後,在所述接合墊上方形成導電凸塊。
  11. 如請求項10所述的形成積體晶片的方法,其中所述濕式蝕刻製程經組態以在比所述一個或多個上部鈍化層及所述接合墊更快的速率下蝕刻所述保護層。
  12. 如請求項10所述的形成積體晶片的方法,其中所述一個或多個上部鈍化層橫向接觸所述保護層的側壁。
  13. 如請求項10所述的形成積體晶片的方法,更包括: 在形成所述一個或多個上部鈍化層之前,在所述保護層上方形成罩幕層。
  14. 如請求項10所述的形成積體晶片的方法,其中所述保護層包括金屬。
  15. 一種積體晶片,包括: 多個內連線層,設置於基底上方的介電結構內; 接合墊,設置於所述介電結構上方; 一個或多個上部鈍化層,設置於所述介電結構及所述接合墊上方,其中所述一個或多個上部鈍化層包括限定所述接合墊正上方的開口的一個或多個側壁;以及 保護層,包括直接設置於所述一個或多個上部鈍化層與所述接合墊之間的金屬,所述金屬具有進一步限定所述開口的一個或多個側壁。
  16. 如請求項15所述的積體晶片,其中所述一個或多個上部鈍化層包括下部表面,所述下部表面面向所述接合墊且延伸超過所述金屬的一個或多個側壁。
  17. 如請求項15所述的積體晶片,其中所述一個或多個上部鈍化層包括: 第一上部鈍化層,沿所述接合墊的側壁且在所述接合墊的上部表面正上方設置;及 第二上部鈍化層,設置於所述第一上部鈍化層上方,其中所述第一上部鈍化層及所述第二上部鈍化層兩者皆連續且橫向地延伸超過所述保護層的一個或多個側壁。
  18. 如請求項15所述的積體晶片,其中所述保護層包括氮化鈦。
  19. 如請求項15所述的積體晶片,更包括: 罩幕層,設置於所述保護層上方及所述一個或多個上部鈍化層下方,其中所述罩幕層橫向延伸超過所述保護層的一個或多個側壁。
  20. 如請求項19所述的積體晶片,其中所述保護層具有接觸所述接合墊的底部表面及接觸所述罩幕層的上部表面。
TW110101139A 2020-05-05 2021-01-12 積體晶片及形成其的方法 TWI810512B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/866,752 2020-05-05
US16/866,752 US11244914B2 (en) 2020-05-05 2020-05-05 Bond pad with enhanced reliability

Publications (2)

Publication Number Publication Date
TW202145388A true TW202145388A (zh) 2021-12-01
TWI810512B TWI810512B (zh) 2023-08-01

Family

ID=76921663

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101139A TWI810512B (zh) 2020-05-05 2021-01-12 積體晶片及形成其的方法

Country Status (5)

Country Link
US (3) US11244914B2 (zh)
KR (1) KR102455704B1 (zh)
CN (1) CN113178425A (zh)
DE (1) DE102020113191A1 (zh)
TW (1) TWI810512B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022051283A (ja) * 2020-09-18 2022-03-31 新光電気工業株式会社 配線基板及びその製造方法
KR20220065360A (ko) * 2020-11-13 2022-05-20 삼성전자주식회사 반도체 패키지
US11973050B2 (en) * 2021-02-02 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming an upper conductive structure having multilayer stack to decrease fabrication costs and increase performance

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000665A1 (en) 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
JP3387083B2 (ja) * 1999-08-27 2003-03-17 日本電気株式会社 半導体装置及びその製造方法
US6423628B1 (en) * 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
JP3512078B2 (ja) * 2000-12-26 2004-03-29 関西日本電気株式会社 半導体装置の製造方法
JP4571781B2 (ja) * 2003-03-26 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2005044971A (ja) * 2003-07-28 2005-02-17 Sharp Corp 半導体装置及びその製造方法
US6924172B2 (en) * 2003-08-26 2005-08-02 Freescale Semiconductor, Inc. Method of forming a bond pad
US8207052B2 (en) * 2009-01-16 2012-06-26 Globalfoundries Singapore Pte. Ltd. Method to prevent corrosion of bond pad structure
US8198133B2 (en) * 2009-07-13 2012-06-12 International Business Machines Corporation Structures and methods to improve lead-free C4 interconnect reliability
US8501614B1 (en) * 2012-03-22 2013-08-06 Chipbond Technology Corporation Method for manufacturing fine-pitch bumps and structure thereof
US9269678B2 (en) * 2012-10-25 2016-02-23 United Microelectronics Corp. Bond pad structure and method of manufacturing the same
US8815730B1 (en) * 2013-07-03 2014-08-26 Texas Instruments Incorporated Method for forming bond pad stack for transistors
US20150061156A1 (en) * 2013-09-03 2015-03-05 Globalfoundries Singapore Pte. Ltd. Pad solutions for reliable bonds
US10833119B2 (en) * 2015-10-26 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Pad structure for front side illuminated image sensor
US10147682B2 (en) * 2015-11-30 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for stacked logic performance improvement
US9893028B2 (en) * 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same
US10297631B2 (en) * 2016-01-29 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Metal block and bond pad structure
US10056337B2 (en) * 2017-01-23 2018-08-21 International Business Machines Corporation Thin 3D die with electromagnetic radiation blocking encapsulation
JP6783688B2 (ja) * 2017-03-14 2020-11-11 エイブリック株式会社 半導体装置および半導体装置の製造方法
CN108962921B (zh) * 2017-05-18 2021-03-16 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10312207B2 (en) * 2017-07-14 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation scheme for pad openings and trenches
JP2019054120A (ja) * 2017-09-15 2019-04-04 株式会社村田製作所 バイポーラトランジスタ及び高周波パワーアンプモジュール
US10354965B2 (en) * 2017-09-28 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad process with protective layer
US10964653B2 (en) * 2017-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device comprising top conductive pads
US11227836B2 (en) * 2018-10-23 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Pad structure for enhanced bondability
US10892239B1 (en) * 2019-07-10 2021-01-12 Globalfoundries Singapore Pte. Ltd. Bond pad reliability of semiconductor devices
US11101208B2 (en) * 2019-08-05 2021-08-24 Microchip Technology Incorporated Metal-insulator-metal (MIM) capacitor
US11322464B2 (en) * 2019-10-01 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Film structure for bond pad
US11211352B2 (en) * 2019-10-01 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure to prevent metal redeposit and to prevent bond pad consumption and corrosion
US20210134744A1 (en) * 2019-11-05 2021-05-06 Nanya Technology Corporation Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
TWI810512B (zh) 2023-08-01
US11824022B2 (en) 2023-11-21
DE102020113191A1 (de) 2021-11-11
US20220157751A1 (en) 2022-05-19
US11244914B2 (en) 2022-02-08
CN113178425A (zh) 2021-07-27
US20210351142A1 (en) 2021-11-11
KR102455704B1 (ko) 2022-10-17
US20230369260A1 (en) 2023-11-16
KR20210135911A (ko) 2021-11-16

Similar Documents

Publication Publication Date Title
US11232979B2 (en) Method of forming trenches
TWI810512B (zh) 積體晶片及形成其的方法
TWI628758B (zh) 積體晶片及其製造方法
TWI596703B (zh) 形成半導體裝置結構的方法
TWI420590B (zh) 積體電路結構與其形成方法
TWI731694B (zh) 半導體元件結構及其形成方法
TWI718027B (zh) 積體晶片及其形成方法
US11756862B2 (en) Oversized via as through-substrate-via (TSV) stop layer
TW201735101A (zh) 半導體裝置及其形成方法
TW202119510A (zh) 積體晶片結構及其形成方法
TWI773234B (zh) 積體晶片及其形成方法
US11652025B2 (en) Through-substrate via formation to enlarge electrochemical plating window
JP2022017210A (ja) 金属パッドの厚さが増す不活性化構造
US20230386821A1 (en) Interconnect structure for semiconductor devices
TWI809823B (zh) 半導體元件的製作方法
US20240017988A1 (en) Arched membrane structure for mems device
US20240047216A1 (en) Trimming Through Etching in Wafer to Wafer Bonding
TW202336984A (zh) 半導體裝置及其製造方法