TW202137397A - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TW202137397A
TW202137397A TW110102057A TW110102057A TW202137397A TW 202137397 A TW202137397 A TW 202137397A TW 110102057 A TW110102057 A TW 110102057A TW 110102057 A TW110102057 A TW 110102057A TW 202137397 A TW202137397 A TW 202137397A
Authority
TW
Taiwan
Prior art keywords
layer
electrode double
electrode
nitrogen
double layer
Prior art date
Application number
TW110102057A
Other languages
English (en)
Other versions
TWI787713B (zh
Inventor
鄭安皓
郭舫廷
陳彥羽
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202137397A publication Critical patent/TW202137397A/zh
Application granted granted Critical
Publication of TWI787713B publication Critical patent/TWI787713B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本揭露涉及一種用於製造具有金屬電極的MiM電容結構的方法,該金屬電極具有富含氮的金屬氮化物層。該方法包含在配置在一基板上的一第一內連線層上沉積一第一電極雙層,其中該第一電極包含具有不同氮濃度的一第一層以及一第二層。該方法尚包含在該第一電極雙層上沉積一介電層,並在該第一內連線層上沉積一第二電極雙層,其中該第二電極包含具有不同氮濃度的一第三層以及一第四層。該方法另包含圖案化該第一電極雙層、該介電層及該第二電極雙層以在該第一內連線層上形成一電容結構。

Description

半導體結構及其製造方法
本發明實施例係有關於半導體結構及其製造方法。
為避免電源供應中的電壓突波,可以在晶片中內置去耦電容,例如,當晶片初始供電或在啟動晶片的各組件之時。在晶片製造過程中,可以在電晶體形成後將去耦電容集成到產線之後段製程中。
本發明的一實施例係關於一種半導體結構,包含:一第一內連線層,設置在一基板上,其中該第一內連線層包含複數個導電結構;一電容結構,形成在該第一內連線層的一導電結構上,其中該電容結構包含:一第一電極雙層,其包含分別具有不同氮濃度的一第一層以及一第二層;一介電層,設置在該第一電極雙層的該第二層上;及一第二電極雙層,設置在該介電層上,其包含分別具有不同氮濃度的一第三層以及一第四層;以及一第二內連線層,設置在該電容結構上,其中該第二內連線層的一導電結構與該第二電極雙層的該第四層接觸。
本發明的一實施例係關於一種半導體結構,包含:一第一內連線層,設置在一基板上;一電容結構,形成在該第一內連線層上,其中,該電容結構包含:一第一電極雙層,其包含分別具有不同氮濃度的一第一層以及一第二層;以及一第二電極雙層,其包含分別具有不同氮濃度的一第三層以及一第四層,其中該第一電極雙層以及該第二電極雙層具有一不重疊區域;以及一第二內連線層,設置於該電容結構上。
本發明的一實施例係關於一種半導體結構的製造方法,包含:在一基板上形成一第一內連線層;在該第一內連線層上沉積一第一電極雙層,其中該第一電極包含具有不同氮濃度的一第一層以及一第二層;在該第一電極雙層上沉積一介電層,以使該介電層與該第二層接觸;在該第一內連線層上沉積一第二電極雙層,其中該第二電極雙層包含具有不同氮濃度的一第三層及一第四層;對該第一電極雙層、介電層及第二電極雙層進行圖案化,以在該第一內連線層上形成一電容結構;以及在該電容結構上形成一第二內連線層,其中該第二內連線層的導電結構與該第二電極雙層接觸。
以下揭示內容提供了用於實現所提供標的之不同特徵的許多不同的實施例或示例。以下說明組件及配置的特定示例以簡化本揭示內容。 當然,這些僅僅是示例,而無意進行限制。例如,以下的說明中,在第二特徵上方形成第一特徵可以包含其中第一特徵與第二特徵直接接觸形成的實施例,並且還可以包含其中第一特徵與第二特徵之間可以形成附加特徵,使得第一特徵與第二特徵可不直接接觸的實施例。
此外,本文中可能使用諸如「在...下方(beneath)」、「於...下方(below)」、「下部的(lower)」、「於...上方(above)」、「上部的(upper)」之類的空間相對術語,以便說明如圖所示的一個元件或特徵相對於另一元件或特徵的關係。除了在圖中說明的方位之外,空間相對術語還預定涵蓋設備在使用或操作中的不同定向。該裝置可以以其他方式定向(旋轉90度或其他定向),並且在此使用的空間相對說明語可同樣地被相應解釋。
在一些實施例中,術語“大約”以及“實質上”可以代表給定數量的值,該給定數量的值在該值的5%之內變化(例如,為該值的±1%、±2%、±3%、±4%、±5%)。 這些值僅是示例,無意進行限制。應當理解的是,“大約”以及“實質上”之術語可指根據本文的教示,由相關領域的通常知識者解釋其值的百分比。
本文所用的“標稱值”術語是指在產品或製程的設計階段中設定的用於組件或製程操作的特性或參數的期望值或目標值,以及高於及/或低於所需值的值的範圍。值的範圍可能導因於製造過程或容許量的微小變化。除非另有定義,否則本文使用的技術與科學術語具有與本揭露所屬領域的通常知識者通常所理解的相同含義。
去耦電容(DeCAP;decoupling capacitor)可以內置在晶片中,以防止電源供應中的電壓突波,例如當晶片初始供電或在啟動晶片的各組件之時。由於電源供應無法立即響應此種電源需求的變化,晶片的電源電壓可能會短時間變化,直到電源供應可以響應並穩定電壓為止。在此瞬態時間內可能會出現電壓突波。去耦電容可以抑制這些電壓突波。具較高電容的去耦電容更可以進一步抑制電壓突波。
集成在後段製程(BEOL;back-end-of-line)中的去耦電容具有多個優點,包含但不限於因內連線長度縮短而導致的減少時間延遲、減少功率消耗、尺寸縮小以及因彈性的設計而導致的較廣電容範圍。由於集成的去耦電容是在半導體裝置及內連線層側面形成的,因此它們可以受益於例如各種金屬、介電質、微影與蝕刻操作、金屬及介電質沉積方法等半導體製造中使用的材料與方法。
做為示例而非限制,集成在後段製程(BEOL)中的去耦電容可以具有平行板電容結構的形式(例如,金屬-絕緣體-金屬(MiM;metal-insulator-metal)堆疊),其中一介電層設置在一對金屬或金屬層(在本文中也稱為“電極”)之間。可以在MiM堆疊的每個電極上形成內連線結構(例如金屬穿孔),以將電容結構電耦合到積體電路中的其他元件。
在MiM堆疊中使用的介電層可以包含單個介電材料或厚度大約1奈米至約20奈米之間的介電材料堆疊。由於其有限的厚度,這些介電層可能對使用電漿的半導體製造方法產生的電荷而易受影響。此種半導體製造方法包含在MiM堆疊的形成期間或其後使用的製程。做為示例而非限制,可以產生電荷的製程包含蝕刻製程(例如,介電質蝕刻、金屬蝕刻等)及「電漿輔助」沉積製程(例如,物理氣相沉積(PVD)、電漿增強的化學氣相沉積(PECVD)、電漿增強沉積(PEALD)等)。MiM電容的介電層中存在電荷會影響電容的運作。例如,介電質中存在的電荷會形成電流在MiM電容的電極之間流動的導電路徑,從而阻止MiM電容依照原設計運作。由於「電荷產生」製程在半導體製造中不可或缺,因此MiM電容需要對半導體製造製程產生的電荷具有免疫力或更大容忍度。
為了解決上述挑戰,本文所述的實施例針對一種用於形成具有特徵在於富含氮層的金屬電極的MiM電容結構的方法。這些富含氮層被形成與介電層接觸,以防止電荷(例如,由基於電漿的製程產生的電荷)到達並損壞MiM堆疊的介電層。在一些實施例中,金屬電極包含具有不同的金屬對氮比率(“M/N比率”)的金屬氮化物雙層。在一些實施例中,與介電層形成接觸的金屬氮化物層的氮濃度高於不與介電層形成接觸的金屬氮化物層的氮濃度。在一些實施例中,用於形成本文所述的電極雙層的金屬氮化物層的金屬包含鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、鎳(Ni)、釕(Ru)、鉬(Mo)、金(Au)、銀(Ag)以及鋁(Al)。在一些實施例中,可以利用CVD製程、PVD製程、原子層沉積(ALD)製程或能夠沉積具有氮濃度為0(例如,純金屬)到大約40%的範圍之金屬氮化物層。
圖1是根據一些實施例的用於形成具有以富含氮層為特徵的電極的MiM電容的製造方法100的流程圖。本揭露不限於該操作說明。例如,可以在方法100的各種操作之間執行其他製造操作,並且僅為了清楚與便於說明可以將其省略。這些不同操作在本揭露的精神及範圍內。另外,可能不需要所有操作來執行本文提供的揭露。一些操作可以同時執行,或以與圖1所示順序不同的順序執行。在一些實施例中,除了目前說明的操作之外或代替目前說明的操作,可執行一個或多個其他操作。將參照圖1至圖12說明方法100,該等圖僅出於說明目的而未必按比例繪製。
在一些實施例中,圖2是做為圖1所示方法100的「起點」結構的部分製造的堆疊200的剖面圖。部分製造的結構200(“結構200”)可以是例如部分製造的積體電路(IC)的部分剖面圖。做為示例而非限制,結構200可以包含基板210、基板210上形成有前段製程(FEOL;front-end-of-line)/中段製程(MOL;middle-of-line)層220以及形成在前段製程(FEOL)/中段製程(MOL)層220上的內連線層230。為了方便說明,在圖2中顯示了結構200的選擇部分與特徵。例如,為了簡化起見,在基板210內或其上形成的隔離區、摻雜區、源極/汲極區及其他特徵、結構或元件並未被顯示在圖2中。此外,為簡化起見,在圖2中未顯示出例如電晶體、導電結構(例如,接點)、蝕刻停止層或形成在前段製程(FEOL)/中段製程(MOL)層220中的附加層與結構等主動元件。這些特徵、結構、元件以及各層均在本揭露的精神以及範圍內。
在一些實施例中,結構200的變化是可能的。例如,前段製程(FEOL)/中段製程(MOL)層220可以包含一層或多層。此外,可以在前段製程(FEOL)/中段製程(MOL)層220以及內連線層230之間形成附加的內連線層。這些變化均在本揭露的精神以及範圍內。
如圖2所示,內連線層230包含嵌入到介電層250中的導電結構240。做為示例而非限制,導電結構240可以是藉由鑲嵌金屬化製程或任何其他合適的金屬化製程形成的穿孔或線。做為示例而非限制,導電結構240可以填充有導電材料260,例如銅或銅合金,該導電材料260被圖2中未顯示的阻擋層包圍。由於圖2是結構200的部分剖面圖,內連線層230可以包含如同導電結構240的另外導電結構,其並未顯示於圖2中。
做為示例而非限制,介電層250可以是層間介電質(ILD;interlayer dielectric)-例如,內連線層230內而將導電結構240電隔離的介電層。在一些實施例中,介電層250是具有以下特徵的材料:低於約3.9的介電常數值(例如,低k介電質)。在一些實施例中,介電層250可以包含諸如低k介電質以及另一介電質之類的介電層堆疊:(i)低k介電質(例如,碳摻雜的氧化矽)以及具有氮摻雜的碳化矽(ii)低k介電質(例如,碳摻雜的氧化矽)以及具有氧摻雜的碳化矽;(iii)具有氮化矽的低k介電質(例如碳摻雜的氧化矽);或(iv)具有氧化矽的低k介電質(例如,碳摻雜的氧化矽)。
做為示例而非限制,介電層250可以藉由高密度化學氣相沉積(HDCVD)製程、電漿增強化學氣相沉積製程(PECVD)、電漿增強原子層沉積製程(PEALD)或任何其他合適的沉積製程來進行沉積,其厚度在約100奈米到約200奈米之間。前述沉積厚度範圍、沉積方法以及材料為例示性而非限制性。因此,可以使用其它替代材料、厚度範圍或沉積方法,並且它們都在本揭露的精神以及範圍內。
此外,內連線層230可包含一個或多個蝕刻停止層,例如蝕刻停止層270,以促進導電結構240的形成。例如,蝕刻停止層270係位於介電層250以及前段製程(FEOL)/中段製程(MOL)層220之間。在一些實施例中,內連線層230係電耦合到前段製程(FEOL)/中段製程(MOL)層220中的導電結構的後段製程(BEOL)金屬化層。為了簡化起見,圖2中未顯示前段製程(FEOL)/中段製程(MOL)層220的導電結構。
參照圖1,方法100開始於操作110以及在第一內連線層(例如,圖2中所示的內連線層230)上形成第一電極雙層的過程。在一些實施例中,雙層沉積包含順序沉積具有第一金屬對氮的比率(“M/N1比率”)的第一金屬氮化物層(“MN1”),其後是具有第二金屬對氮的比率(“M/N2比率”)之第二金屬氮化物層(“MN2”),使得M/N1大於M/N2(例如M/N1 > M/N2)。在一些實施例中,與MN2相比,MN1是富含金屬的(例如,MN1含有比MN2低的氮濃度),而與MN1相比,MN2是富含氮的(例如,MN2含有比MN1高的氮濃度)。在一些實施例中,MN1以及MN2中的金屬M是相同的,並且可以選自鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、鎳(Ni)、釕(Ru)、鉬(Mo)、金(Au)、銀(Ag)或鋁(Al)。例如,MN1可以是TiN1(氮化鈦1),而MN2可以是TiN2(氮化鈦2),或者MN1可以是TaN1(氮化鉭1),而MN2可以是TaN2(氮化鉭2),依此類推。
根據一些實施例,可以在內連線層230上毯覆式沉積MN1以及MN2。做為示例而非限制,圖3顯示了方法100的操作110之後的結構200,其中在內連線層230上沉積了第一電極雙層300。如上所述,第一電極雙層300包含MN1 310以及MN2 320,與MN1 310相比,MN2 320係富含氮的。在一些實施例中,MN1以及MN2藉由基於PVD的方法、基於CVD的方法、基於ALD的方法或另一種能夠在沉積期間調整MN1 310以及MN2 320的氮濃度的沉積方法來進行沉積。做為示例而非限制,在基於PVD的製程中,可以藉由在金屬濺鍍過程中調整氮氣流量來調節氮濃度。在基於CVD的製程或基於ALD的製程中,可以調整氨氣流量以將所需量的氮摻入沉積層中。在一些實施例中,金屬對氮的比率M/N1可以在約0.5到純金屬的範圍內,而無實質的氮量(例如,0≤(M/N1)-1 ≤2),且金屬對氮的比率M/N2可以在約0.4到約0.9的範圍內(例如,1.1≤(M/N2)-1 ≤2.5)。在一些實施例中,MN2中的平均氮濃度以及氮峰值濃度大於MN1中的各別平均氮濃度以及氮峰值濃度。在一些實施例中,MN2 320中的氮峰值濃度為約40%。
在一些實施例中,以約10奈米至約100奈米之間的厚度沉積MN1 310,並且以約1奈米至約20奈米之間的厚度沉積MN2 320,使得MN1 310比MN2 320厚。例如,若MN1 310為約10奈米,則MN2 320被沉積至小於約10奈米(例如,約5奈米)的厚度,使得MN2比MN1更薄。
參照圖1,方法100繼續進行操作120以及在第一電極雙層300上沉積介電層的製程。在一些實施例中,介電層直接沉積在MN2 320上—例如,沒有中介層的存在。做為示例而非限制,圖4顯示根據操作120在沉積介電層400之後的結構200。在一些實施例中,介電層400包含氧化矽(SiO2 )、氮化矽(Si3 N4 )、氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氧化鈦(TiO2 )、氧化鉿(HfO2 )或氧化鋯(ZrO2 );氧化鋯/氧化鋁/氧化鋯的堆疊; 氧化鋁/氧化鋯/氧化鋁的堆疊;氧化鋯/氧化鋁/氧化鋯/氧化鋁/氧化鋯的堆疊;或包含氧化矽、氮化矽、氧化鋁、氧化釔、氧化鈦、氧化鉿以及氧化鋯的任意組合的堆疊。在一些實施例中,介電層400藉由基於ALD的製程、基於CVD的製程或基於PVD的製程以約1奈米至約20奈米的厚度範圍共形地沉積在MN2 320上。在一些實施例中,薄於約1奈米的介電層更容易洩漏而應避免,而厚的介電層(例如,厚於20奈米)降低MiM電容器的電容,因此也是不希望的。
參照圖1,方法100繼續進行操作130以及在介電層400上形成第二電極雙層的製程。在一些實施例中,雙層沉積包含順序沉積具有第三金屬對氮的比率(“M/N3”)的第三金屬氮化物層(“MN3”),然後是具有第四金屬對氮的比率(“M/N4”)的第四金屬氮化物層(”MN4”),其中M/N3大於M/N4。在一些實施例中,與MN3相比,MN4富含金屬,與MN4相比,MN3富含氮。在一些實施例中,MN3以及MN4中的金屬M是相同的,並且可以選自鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、鎳(Ni)、釕(Ru)、鉬(Mo)、金(Au)、銀(Ag)或鋁(Al)。做為示例而非限制,MN1、MN2、MN3以及MN4中的金屬可以相同,或者每個電極內的金屬可以相同,但電極之間不同。例如,MN1以及MN2中的金屬可以是鈦(Ti),而MN3以及MN4中的金屬可以是鉭(Ta)。
根據一些實施例,可以將MN3以及MN4(如同MN1以及MN2)毯覆式沉積在介電層400上。做為示例而非限制,圖5顯示方法100的操作130之後的結構200,其中第二電極雙層500沉積在介電層400上。如上所述,第二電極雙層500包含MN3 510以及MN4 520,而與MN4 520相比,MN3 510為富含氮的。在一些實施例中,如同MN1以及MN2,MN3以及MN4係藉由基於PVD的製程、基於CVD的製程、基於ALD的製程或能夠在沉積期間調整MN3 510以及MN4 520的氮濃度的另一種沉積製程來進行沉積。做為示例而非限制,在基於PVD的製程中,可以藉由在金屬濺鍍期間調節氮氣流量來調整氮氣濃度。在基於CVD的製程或基於ALD的製程中,可以調節氨氣流量以將所需量的氮氣引入沉積層中。在一些實施例中,金屬對氮的比率M/N3可以在約0.4至約0.9的範圍內(例如1.1≤(M/N3)-1 ≤2.5),並且金屬對氮的比率M/N4可以在約0.5到純金屬且實質上沒有氮濃度的範圍內(例如0≤(M/N4)-1 ≤2)。在一些實施例中,MN3中的平均氮以及氮峰值濃度大於MN4中的平均氮以及氮峰值濃度。在一些實施例中,與在MN2 320中一樣,在MN3 510中的氮峰值濃度可以是大約40%。
在一些實施例中,以約1奈米至約20奈米之間的厚度範圍沉積MN3 510,並且以約10奈米至約100奈米之間的厚度範圍沉積MN4 520。在一些實施例中,沉積的MN3 510比MN4 520薄。例如,如果MN4 520約為10奈米,則沉積MN3 510的厚度小於約10奈米(例如,約5奈米)。
做為示例而非限制,MN3 510以及MN2 320可以具有實質上相似的金屬對氮的比率,例如,M/N3可以實質上等於M/N2。此外,MN4 520以及MN1 310可以具有實質相似的金屬對氮的比率,例如,M/N4可以實質等於M/N1。在一些實施例中,在MN2 320以及MN3 510之間以及在MN1 310以及MN4 520之間,前述金屬對氮的比率可以不同。例如,金屬對氮的比率M/N3可以大於金屬對氮的比率M/N2(例如,MN3可以具有比MN2更低的氮濃度)或根據暴露於電漿處理MN2 320以及MN3 510而定的其他方式。例如,若在形成MiM層之後的後續操作中,與MN3 510相比,MN2 320受到額外的電漿處理,則MN2 320的沉積氮濃度可高於MN3 510。這是可能的,因為氮濃度在沉積過程中,第一電極雙層300以及第二電極雙層500的每一層可以獨立地被調整。
在一些實施例中,隨著金屬氮化物層中氮濃度的增加,金屬氮化物層阻止由電漿製程產生的電荷的能力被實質改善。同時,增加金屬氮化物層中的氮濃度也增加了其電阻,這並不是想要的。因此,富含氮金屬層需要很薄以最小化對電阻的影響,但又不能太薄以提供足夠的電荷防護。因此,在金屬氮化物層中,需要平衡富含氮金屬層的氮濃度以及厚度,以提供最佳的電荷保護以及電氣特性。
為了解決上述電阻問題,MN1 310以及MN4 520(例如,MiM電容結構的“外”層)被形成比MN2 320以及MN3 510(例如,MiM電容結構的“內”層)更厚且氮濃度更低以減小第一以及第二電極雙層的總電阻。而與MN1 310以及MN4 520相比,MN2 320以及MN3 510分別被形成得更薄且氮濃度更高,以最大程度地降低它們對電阻的影響並為介電層400提供足夠的電荷保護。
在一些實施例中,比約1奈米更薄的富含氮之氮化物層(例如,如同MN2 320以及MN3 510)為介電層400提供了不充足的電荷保護。比約20奈米更厚的富含氮層,不必要地增加了第一以及第二電極雙層的電阻。此外,比約10奈米更薄的富含金屬的金屬氮化物層(例如,類似於MN1 310以及MN4 520)提供了不適當地電阻降低。厚於約100奈米的富含金屬的金屬氮化物層不必要地增加了MiM電容結構的高度,而沒有提供任何其他好處。
另外,金屬對氮的比率低於約0.4的富含氮層(例如MN2 320以及MN3 510)可能無法提供充足的電荷保護。金屬對氮的比率大於約0.9的富含氮層可能具有高電阻性。類似地,如上所述,金屬對氮的比率小於約0.5的富含金屬層(例如,MN1 310以及MN4 520)可能提供不適當的電阻降低。
參照圖1,方法100繼續進行操作140,並且對第一電極雙層300、介電層400以及第二電極雙層500進行圖案化製程以形成MiM電容結構。在一些實施例中,圖案化第一電極雙層300、介電層400以及第二電極雙層500包含在大約攝氏300度到約500度之間的沉積溫度下藉由CVD製程沉積選擇性的覆蓋層(例如,氮氧化矽(SiON)層),以及在圖案化堆疊之前,在第二電極雙層500上以大約200埃(Å)至大約500埃之間的厚度進行沉積。圖案化可以例如藉由微影製程以及蝕刻操作的組合來實現,其中在覆蓋層上沉積光阻劑並對其進行圖案化。圖案化的光阻劑係做為蝕刻光罩以保護堆疊的區域不被蝕刻。未被圖案化的光阻劑覆蓋的堆疊區域將被移除。隨後,蝕刻製程移除未被圖案化的光阻劑覆蓋的覆蓋層、第一電極雙層300、介電層400以及第二電極雙層500。在圖6中顯示結果的圖案化結構,其中在導電結構240上形成具有覆蓋層600、第二電極雙層500、介電層400以及第一電極雙層300的圖案化堆疊。根據一些實施例,第二電極雙層500、介電層400以及第一電極雙層300共同形成MiM電容結構610。
在一些實施例中,參照圖7,在MiM電容結構610上形成包含氧化物層710以及氮化矽層720的選擇性堆疊700。在一些實施例中,選擇性堆疊700封裝MiM電容結構 610。做為示例而非限制,可以分別以大約20奈米以及75奈米的厚度毯覆式沉積氧化物層710以及氮化矽層720,並且隨後使用微影製程以及蝕刻操作將其圖案化。在一些實施例中,氮化矽層720是用於促進在第二電極雙層500上形成電連接的蝕刻停止層。
參照圖1,方法100繼續進行操作150以及形成到第一電極雙層300以及第二電極雙層500的電連接的製程。在圖7的示例中,導電結構240做為第一電極雙層300的電連接。做為示例而非限制,到第二電極雙層500的電連接可以藉由在MiM電容結構610上沉積氧化物層並形成穿越所沉積的氧化物層、選擇性堆疊700以及覆蓋層600,以接觸第二電極雙層500中的MN4 520來形成。在一些實施例中,圖8顯示在介電堆疊810中形成導電結構800的結果結構,介電堆疊810包含由蝕刻停止層840隔開的介電層820以及830。在一些實施例中,導電結構800類似於內連線層230之導電結構240,介電層820以及830類似於介電層250,並且蝕刻停止層840類似於蝕刻停止層270。在一些實施例中,介電堆疊810以及導電結構800是形成在內連線層230上的另一內連線層的一部分。
在圖8的示例中,第一電極雙層300具有與第二電極雙層500實質上相等的表面積。此外,在圖8所示的MiM配置中,預先存在的導電結構(例如,導電結構240)用以形成MiM電容結構610中電極雙層之一的電接觸。然而,這不是限制性的,方法100的操作140中描述的圖案化製程可以被不同地執行以形成具有不同表面積(例如,表面積差異大於約5%)的電極的MiM電容結構。例如,圖案化製程可以如圖9所示替代地在介電層250上的介電堆疊930上形成MiM電容結構900,而非如圖6所示對第一電極雙層300、介電層400以及第二電極雙層500進行圖案化以在導電結構240上形成MiM電容結構610。其後,第二圖案化製程可以圖案化如圖10所示的覆蓋層600以及第二電極雙層500,以選擇性地減小第二電極雙層500相對於第一電極雙層300的尺寸(例如,表面積)。隨後可以在MiM電容結構900上沉積選擇性堆疊700,並如圖11所示進行圖案化。在隨後的操作中,可以在介電堆疊810中形成導電結構1200以及1210,以各別接觸第二電極雙層500以及第一電極雙層300,如圖12中所示。在一些實施例中,圖9-12所示介電堆疊930包含底部碳化矽層以及氧化矽頂層,例如未摻雜的矽玻璃(USG;un-doped silicon glass)以及電漿增強的氧化物(PEOX;plasma-enhanced oxide)。
藉由示例而非限制,分別在圖8以及圖12中顯示的MiM電容結構610以及900可以被構造成在層厚度、材料以及金屬對氮的比率方面彼此實質相似。在一些實施例中,兩種類型的MiM電容結構610以及900都可以形成在同一積體電路中。雖然與圖8所示的MiM電容結構610相比,MiM電容結構900可能需要其他圖案化操作,MiM電容結構900也可以在介電層上形成,而無需底下的導電結構。圖8以及圖12中所示的MiM電容結構610以及900並不是限制性的。因此,圖8以及圖12中所示的佈局的變形及組合均在本揭露的精神以及範圍內。
如本文所述,在與介電層400的界面處形成富含氮層MN2 320以及MN3 510為介電層400提供了充足的保護,以防止在圖8所示的導電結構800或圖12所示的導電結構1220以及1210的形成期間、或在上述相對於方法100的操作140所述的圖案化過程中產生的電荷。在沒有富含氮層MN2 320以及MN3 510的情況下,來自上述過程的電荷會影響MiM電容結構的效能。
本揭露涉及一種用於製造具有金屬電極的MiM電容結構的方法,該金屬電極具有與MiM電容結構的介電層接觸的富含氮的金屬氮化物層。這些富含氮的金屬氮化物層有效地保護介電層避免受到在隨後的操作(包含電漿處理)期間產生的電荷的影響。在一些實施例中,每個金屬電極包含金屬氮化物雙層的堆疊,每個雙層具有富含金屬層以及富含氮層。在一些實施例中,富含氮層形成為與介電層接觸並且具有約0.4至約0.9的金屬對氮的比率。在一些實施例中,富含金屬層的金屬對氮的比率為約0.5至純金屬。在一些實施例中,用於金屬氮化物層的金屬包含鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、鎳(Ni)、釕(Ru)、鉬(Mo)、金(Au)、銀(Ag)以及鋁(Al)。根據一些實施例,富含氮金屬氮化物層形成為比其富含金屬相對物較薄,以減小金屬電極的電阻。
在一些實施例中,一種結構包含一第一內連線層,該第一內連線層具有設置在一基板上的導電結構。該結構還包含形成在該第一內連線層的一導電結構上的一電容結構,其中該電容結構包含具有一第一層以及一第二層的一第一電極雙層,其中該第一層以及第二層中的每一層包含不同的氮濃度。該電容結構還包含設置在該第一電極雙層的該第二層上的一介電層以及在該介電層上的一第二電極雙層。該第二電極雙層包含一第三層以及一第四層,其中該第三層以及第四層中的每一層具有不同的氮濃度。該結構還包含在該電容結構上的一第二內連線,其中該第二內連線的一導電結構與該第二電極雙層的該第四層接觸。
在一些實施例中,一種結構包含設置在一基板上的一第一內連線層以及形成在該第一內連線層上的一電容結構。電容結構包含具有一第一層以及一第二層的一第一電極雙層,其中該第一層以及第二層中的每一層包含不同的氮濃度。該電容結構還包含一第二電極雙層,該第二電極雙層具有不同氮濃度的一第三層以及一第四層。此外,該第一電極雙層以及第二電極雙層具有一不重疊區域。該結構還包含在該電容結構上的一第二內連線。
在一些實施例中,一種方法包含在一基板上形成一第一內連線層;在該第一內連線上沉積一第一電極雙層,其中該第一電極包含氮濃度不同的一第一層以及一第二層。該方法還包含在該第一電極雙層上沉積一介電層,以使該介電層與該第二層接觸;以及在該第一內連線上進一步沉積一第二電極雙層,其中該第二電極雙層包含氮濃度不同的一第三層以及一第四層。該方法還包含圖案化該第一電極雙層、該介電層以及該第二電極雙層以在該第一內連線層上形成一電容結構,並在該電容結構上形成一第二內連線層,其中該第二內連線層的一導電結構是與該第二電極雙層接觸。
前述內容概述了實施例的特徵,使得本領域具有通常知識者可以更好地理解本揭露的面向。本領域具有通常知識者應當理解,可以容易地將本揭露做為設計或修改其他製程以及結構的基礎,以實現與本文介紹的實施例相同的目的及/或實現相同的優點。本領域具有通常知識者還應該認識到,這樣的均等構造不脫離本揭露的精神以及範圍,並且在不脫離本揭露的精神以及範圍的情況下,他們可以在這裡進行各種改變、替換以及變更。
200:結構 210:基板 220:前段製程(FEOL)/中段製程(MOL)層 230:內連線層 240:導電結構 250:介電層 260:導電材料 270:蝕刻終止層 300:第一電極雙層 310:第一金屬氮化物層 320:第二金屬氮化物層 400:介電層 500:第二電極雙層 510:第三金屬氮化物層 520:第四金屬氮化物層 600:覆蓋層 610:MiM電容結構 700:選擇性堆疊 710:氧化物層 720:氮化矽層 800:導電結構 810:介電堆疊 820:介電層 830:介電層 840:蝕刻停止層 900:MiM電容結構 930:介電堆疊 1200:導電結構 1210:導電結構
當與附圖一起閱讀時,根據以下詳細說明可以最佳地理解本揭示內容的諸多態樣。應注意的是,根據本產業的慣例,並未按比例繪製各種特徵。實際上,為了清楚起見,各種特徵的尺寸可能任意增加或減小。
圖1顯示根據一些實施例的用於形成具有富含氮電極層的金屬-絕緣體-金屬堆疊的方法。
圖2-12係根據一些實施例在具有富含氮電極層的金屬-絕緣體-金屬堆疊的製造期間的中間結構剖面圖。
100:方法
110:操作
120:操作
130:操作
140:操作
150:操作

Claims (20)

  1. 一種半導體結構,包含: 一第一內連線層,設置在一基板上,其中該第一內連線層包含複數個導電結構; 一電容結構,形成在該第一內連線層的一導電結構上,其中該電容結構包含: 一第一電極雙層,其包含分別具有不同氮濃度的一第一層以及一第二層; 一介電層,設置在該第一電極雙層的該第二層上;以及 一第二電極雙層,設置在該介電層上,其包含分別具有不同氮濃度的一第三層以及一第四層;以及 一第二內連線,設置在該電容結構上,其中該第二內連線的一導電結構與該第二電極雙層的該第四層接觸。
  2. 如請求項1所述的結構,其中該第二電極雙層的第三層與該介電層接觸。
  3. 如請求項1所述的結構,其中該第一層的氮濃度低於該第二層的氮濃度。
  4. 如請求項1所述的結構,其中該第四層的氮濃度低於該第三層的氮濃度。
  5. 如請求項1所述的結構,其中該第一層比該第二層厚。
  6. 如請求項1所述的結構,其中該第四層比該第三層厚。
  7. 如請求項1所述的結構,其中該第一電極雙層以及該第二電極雙層具有實質相似的表面積。
  8. 如請求項1所述的結構,尚包含: 一覆蓋層,設置在該第四層的一頂表面上;以及 一堆疊,其覆蓋該覆蓋層的頂表面以及該電容結構的側壁表面。
  9. 一種半導體結構,包含: 一第一內連線層,設置在一基板上; 一電容結構,形成在該第一內連線層上,其中該電容結構包含: 一第一電極雙層,其包含分別具有不同氮濃度的一第一層以及一第二層;以及 一第二電極雙層,其包含分別具有不同氮濃度的一第三層以及一第四層,其中該第一電極雙層以及該第二電極雙層具有一不重疊區域;以及 一第二內連線,設置於該電容結構上。
  10. 如請求項9所述的結構,其中該第一層的金屬對氮的比率大於該第二層的金屬對氮的比率。
  11. 如請求項9所述的結構,其中該第四層的金屬對氮的比率大於該第二層的金屬對氮的比率。
  12. 如請求項9所述的結構,其中該第一、第二、第三以及第四層中的各層包含鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、鎳(Ni)、釕(Ru)、鉬(Mo)、金(Au)、銀(Ag)、鋁(Al)或一金屬氮化物。
  13. 如請求項12所述的結構,其中該第二內連線的一第一導電結構與該第二電極雙層的該第四層接觸,並且該第二內連線的一第二導電結構與該第一電極雙層的該第一層以及該第二層兩者在該不重疊區域中接觸。
  14. 如請求項9所述的結構,其中該電容結構還包含***在該第一電極雙層的該第二層以及該第二電極雙層的該第三層之間的一介電層,其中,該介電層包含氧化矽(SiO2 )、氮化矽(Si3 N4 )、氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氧化鈦(TiO2 )、氧化鉿(HfO2 ) 、氧化鋯(ZrO2 )或其組合。
  15. 如請求項9所述的結構,其中該電容結構形成在該第一內連線層的一介電區域上。
  16. 一種半導體結構的製造方法,包含: 在一基板上形成一第一內連線層; 在該第一內連線層上沉積一第一電極雙層,其中該第一電極包含具有不同氮濃度的第一層以及第二層; 在該第一電極雙層上沉積一介電層,以使該介電層與該第二層接觸; 在該第一內連線層上沉積一第二電極雙層,其中該第二電極雙層包含分別具有不同氮濃度的一第三層以及一第四層; 對該第一電極雙層、介電層及第二電極雙層進行圖案化,以在該第一內連線層上形成一電容結構;以及 在該電容結構上形成一第二內連線層,其中該第二內連線層的導電結構與該第二電極雙層接觸。
  17. 如請求項16所述的方法,其中沉積該第一電極雙層包含以比該第二層更低的氮濃度沉積該第一層,其中該第一以及第二層中的各層包含氮化鈦。
  18. 如請求項16所述的方法,其中沉積該第二電極雙層包含以比該第三層更低的氮濃度沉積該第四層,並且其中該第三以及第四層中的各層包含氮化鈦。
  19. 如請求項16所述的方法,其中沉積該第一電極雙層包含沉積比該第二層厚的該第一層。
  20. 如請求項16所述的方法,其中沉積該第二電極雙層包含沉積比該第四層薄的該第三層。
TW110102057A 2020-03-26 2021-01-20 半導體結構及其製造方法 TWI787713B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/830,981 US20210305356A1 (en) 2020-03-26 2020-03-26 Barrier layer for metal insulator metal capacitors
US16/830,981 2020-03-26

Publications (2)

Publication Number Publication Date
TW202137397A true TW202137397A (zh) 2021-10-01
TWI787713B TWI787713B (zh) 2022-12-21

Family

ID=76508475

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102057A TWI787713B (zh) 2020-03-26 2021-01-20 半導體結構及其製造方法

Country Status (5)

Country Link
US (2) US20210305356A1 (zh)
KR (1) KR102553612B1 (zh)
CN (1) CN113053857A (zh)
DE (1) DE102020110759B4 (zh)
TW (1) TWI787713B (zh)

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985731A (en) 1998-08-17 1999-11-16 Motorola, Inc. Method for forming a semiconductor device having a capacitor structure
US6750500B1 (en) * 1999-01-05 2004-06-15 Micron Technology, Inc. Capacitor electrode for integrating high K materials
DE10260352A1 (de) 2002-12-20 2004-07-15 Infineon Technologies Ag Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
KR100638983B1 (ko) * 2004-12-15 2006-10-26 동부일렉트로닉스 주식회사 금속-절연체-금속 커패시터의 제조 방법
KR20070023148A (ko) * 2005-08-23 2007-02-28 매그나칩 반도체 유한회사 Mim 커패시터 및 그 제조방법
JP5458514B2 (ja) * 2008-06-24 2014-04-02 富士通セミコンダクター株式会社 半導体装置の製造方法、及び半導体装置
TWI621185B (zh) * 2011-12-01 2018-04-11 半導體能源研究所股份有限公司 半導體裝置及半導體裝置的製造方法
JP5845866B2 (ja) * 2011-12-07 2016-01-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US8878338B2 (en) * 2012-05-31 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor for interposers and methods of manufacture thereof
US9548348B2 (en) * 2013-06-27 2017-01-17 Cypress Semiconductor Corporation Methods of fabricating an F-RAM
US9466660B2 (en) * 2013-10-16 2016-10-11 Micron Technology, Inc. Semiconductor structures including molybdenum nitride, molybdenum oxynitride or molybdenum-based alloy material, and method of making such structures
US9530833B2 (en) 2014-06-17 2016-12-27 Globalfoundaries Inc. Semiconductor structure including capacitors having different capacitor dielectrics and method for the formation thereof
US9793339B2 (en) * 2015-01-08 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing copper contamination in metal-insulator-metal (MIM) capacitors
US9978938B2 (en) * 2015-11-13 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive RAM structure and method of fabrication thereof
US9564310B1 (en) 2015-11-18 2017-02-07 International Business Machines Corporation Metal-insulator-metal capacitor fabrication with unitary sputtering process
US10658455B2 (en) * 2017-09-28 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Metal insulator metal capacitor structure having high capacitance
DE102018107387B4 (de) * 2017-09-28 2022-08-25 Taiwan Semiconductor Manufacturing Co. Ltd. Metall-isolator-metall-kondensatorstruktur mit hoher kapazität und verfahren zu deren herstellung
US11024801B2 (en) * 2018-06-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion layer for magnetic tunnel junctions
US10665550B2 (en) * 2018-07-16 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Electromagnetic shielding metal-insulator-metal capacitor structure
CN112445433A (zh) * 2019-08-29 2021-03-05 钉钉控股(开曼)有限公司 文件打印方法、装置、设备和***
CN112764696A (zh) * 2021-01-22 2021-05-07 广州快批信息科技有限公司 一种云打印服务器、远程打印设备的智能打印方法及***

Also Published As

Publication number Publication date
CN113053857A (zh) 2021-06-29
TWI787713B (zh) 2022-12-21
KR20210122007A (ko) 2021-10-08
KR102553612B1 (ko) 2023-07-07
US20210305356A1 (en) 2021-09-30
US20220367606A1 (en) 2022-11-17
DE102020110759B4 (de) 2023-12-14
DE102020110759A1 (de) 2021-09-30

Similar Documents

Publication Publication Date Title
US9761655B1 (en) Stacked planar capacitors with scaled EOT
US20090273881A1 (en) Metal-Insulator-Metal Capacitor
TWI389297B (zh) 在半導體裝置中之金屬-絕緣體-金屬(mim)電容及其方法
US20030011043A1 (en) MIM capacitor structure and process for making the same
US9722011B2 (en) Film scheme for MIM device
US9373679B2 (en) Semiconductor device comprising capacitive element
JPWO2006001349A1 (ja) 容量素子が搭載された半導体装置
TWI712155B (zh) 記憶體結構及其形成方法
JP2004266009A (ja) 半導体装置およびその製造方法
US7586142B2 (en) Semiconductor device having metal-insulator-metal capacitor and method of fabricating the same
US10242943B2 (en) Forming a stacked capacitor
US10847316B2 (en) MIM device with laminated dielectric layers
TW202044545A (zh) 半導體結構及其形成方法
US20230274883A1 (en) Vertically-stacked interdigitated metal-insulator-metal capacitor for sub-20 nm pitch
TWI622176B (zh) Mim電容之結構及其製造方法
WO2008114609A1 (ja) 半導体装置及びその製造方法
TWI832415B (zh) 半導體元件及其形成方法
TWI787713B (zh) 半導體結構及其製造方法
US11600565B2 (en) Top via stack
TW202147461A (zh) 具有圖案化閘極的半導電金屬氧化物電晶體及其形成方法
KR100650192B1 (ko) 반도체 소자 및 그의 형성 방법
KR20100041220A (ko) 적층형의 고집적도 mim 커패시터 구조 및 mim 커패시터 제조방법
TWI670860B (zh) 電容結構及其製造方法
TWI492365B (zh) 一種金屬-絕緣體-金屬電容結構
TW202341394A (zh) 半導體裝置及其製造方法