TW202116745A - Compound, resin, composition, resist film, pattern forming method, underlayer film, and optical article - Google Patents

Compound, resin, composition, resist film, pattern forming method, underlayer film, and optical article Download PDF

Info

Publication number
TW202116745A
TW202116745A TW109130888A TW109130888A TW202116745A TW 202116745 A TW202116745 A TW 202116745A TW 109130888 A TW109130888 A TW 109130888A TW 109130888 A TW109130888 A TW 109130888A TW 202116745 A TW202116745 A TW 202116745A
Authority
TW
Taiwan
Prior art keywords
composition
group
aforementioned
film
resin
Prior art date
Application number
TW109130888A
Other languages
Chinese (zh)
Inventor
工藤宏人
佐藤�
越後雅敏
Original Assignee
學校法人關西大學
日商三菱瓦斯化學股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 學校法人關西大學, 日商三菱瓦斯化學股份有限公司 filed Critical 學校法人關西大學
Publication of TW202116745A publication Critical patent/TW202116745A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/66Esters of carboxylic acids having esterified carboxylic groups bound to acyclic carbon atoms and having any of the groups OH, O—metal, —CHO, keto, ether, acyloxy, groups, groups, or in the acid moiety
    • C07C69/73Esters of carboxylic acids having esterified carboxylic groups bound to acyclic carbon atoms and having any of the groups OH, O—metal, —CHO, keto, ether, acyloxy, groups, groups, or in the acid moiety of unsaturated acids
    • C07C69/732Esters of carboxylic acids having esterified carboxylic groups bound to acyclic carbon atoms and having any of the groups OH, O—metal, —CHO, keto, ether, acyloxy, groups, groups, or in the acid moiety of unsaturated acids of unsaturated hydroxy carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/02Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings
    • C07D307/34Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having two or three double bonds between ring members or between ring members and non-ring members
    • C07D307/56Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having two or three double bonds between ring members or between ring members and non-ring members with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached to ring carbon atoms
    • C07D307/62Three oxygen atoms, e.g. ascorbic acid
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G18/00Polymeric products of isocyanates or isothiocyanates
    • C08G18/06Polymeric products of isocyanates or isothiocyanates with compounds having active hydrogen
    • C08G18/28Polymeric products of isocyanates or isothiocyanates with compounds having active hydrogen characterised by the compounds used containing active hydrogen
    • C08G18/30Low-molecular-weight compounds
    • C08G18/32Polyhydroxy compounds; Polyamines; Hydroxyamines
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G18/00Polymeric products of isocyanates or isothiocyanates
    • C08G18/06Polymeric products of isocyanates or isothiocyanates with compounds having active hydrogen
    • C08G18/28Polymeric products of isocyanates or isothiocyanates with compounds having active hydrogen characterised by the compounds used containing active hydrogen
    • C08G18/67Unsaturated compounds having active hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/02Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds
    • C08G63/12Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds derived from polycarboxylic acids and polyhydroxy compounds
    • C08G63/40Polyesters derived from ester-forming derivatives of polycarboxylic acids or of polyhydroxy compounds, other than from esters thereof
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/02Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds
    • C08G63/12Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds derived from polycarboxylic acids and polyhydroxy compounds
    • C08G63/52Polycarboxylic acids or polyhydroxy compounds in which at least one of the two components contains aliphatic unsaturation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/64Polyesters containing both carboxylic ester groups and carbonate groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G64/00Macromolecular compounds obtained by reactions forming a carbonic ester link in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G71/00Macromolecular compounds obtained by reactions forming a ureide or urethane link, otherwise, than from isocyanate radicals in the main chain of the macromolecule
    • C08G71/04Polyurethanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/022Quinonediazides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)

Abstract

Provided is a compound that has a high sensitivity and is highly soluble in a solvent. The compound is represented by general formula (X-1). (In formula (X-1), each R0 is independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one of R0 is a dissociable group or a crosslinkable group.).

Description

化合物、樹脂、組成物、阻劑膜、圖型形成方法、下層膜及光學物品Compound, resin, composition, resist film, pattern forming method, underlayer film and optical article

本發明係關於化合物、樹脂、組成物、阻劑膜、圖型形成方法、下層膜、及光學物品。The present invention relates to compounds, resins, compositions, resist films, pattern forming methods, underlayer films, and optical articles.

半導體裝置之製造中進行利用使用光阻材料之微影術的微細加工,但近年來伴隨LSI(大規模積體電路)之高積體化與高速度化,而要求利用圖型規則之更加微細化。In the manufacture of semiconductor devices, microfabrication using photoresist materials is used. However, in recent years, with the increase in integration and speed of LSI (Large-scale Integrated Circuits), it is required to use pattern rules to make finer processing.化.

至今為止之一般性阻劑材料為能形成非晶薄膜之高分子系阻劑材料。可舉出例如,聚甲基甲基丙烯酸酯,或具有酸解離性基之聚羥基苯乙烯或聚烷基甲基丙烯酸酯等之高分子系阻劑材料(非專利文獻1)。So far, the general resist material is a polymer resist material that can form an amorphous thin film. For example, polymer-based resist materials such as polymethacrylate, or polyhydroxystyrene or polyalkylmethacrylate having an acid-dissociable group (Non-Patent Document 1) can be mentioned.

另一方面,專利文獻1及2揭示一種感光性聚合物組成物,其係包含以改善熱安定性為目的所添加之抗壞血酸或其衍生物。專利文獻3揭示一種防反射膜用組成物,其係包含:含有多價醇之聚合物。專利文獻4揭示一種阻劑下層膜材料,其係包含:含有羥基且羥基在未經取代時之碳含量為未滿50質量%之含碳化合物。 [先前技術文獻] [專利文獻]On the other hand, Patent Documents 1 and 2 disclose a photosensitive polymer composition containing ascorbic acid or a derivative thereof added for the purpose of improving thermal stability. Patent Document 3 discloses a composition for an anti-reflection film, which contains a polyvalent alcohol-containing polymer. Patent Document 4 discloses a resist underlayer film material, which contains a carbon-containing compound containing a hydroxyl group and the carbon content of the hydroxyl group is less than 50% by mass when the hydroxyl group is not substituted. [Prior Technical Literature] [Patent Literature]

[專利文獻1] 日本特開昭53-59505號公報 [專利文獻2] 日本特開昭58-23616號公報 [專利文獻3] 日本特開2009-501825號公報 [專利文獻4] 日本專利第4832955號公報 [非專利文獻][Patent Document 1] Japanese Patent Application Laid-Open No. 53-59505 [Patent Document 2] Japanese Patent Application Laid-Open No. 58-23616 [Patent Document 3] JP 2009-501825 A [Patent Document 4] Japanese Patent No. 4832955 [Non-Patent Literature]

[非專利文獻1] 岡崎信次,其他8名「微影技術其之40年」S&T出版[Non-Patent Document 1] Okazaki Nobunji, 8 others "The 40 Years of Photolithography" S&T published

[發明所欲解決之課題][The problem to be solved by the invention]

在進行微細圖型之形成時,阻劑圖型尺寸變得越小,則越要求對於曝光光源為高感度之阻劑材料。尤其在利用極端紫外線(Extreme UltraViolet:以下亦稱為「EUV」)之微影術中,在產量之面上要求謀求阻劑組成物之更加高感度化。又,要求對於半導體製造製程所使用之溶劑之溶解性為高。又,將前述之阻劑材料使用於形成微影用下層膜時,在具有對於溶劑之溶解性及取得之下層膜之平坦性上具有課題。又,將該阻劑材料使用於形成光學物品時,在取得之光學物品之透明性上具有課題。In the formation of fine patterns, the smaller the size of the resist pattern becomes, the more sensitive the resist material to the exposure light source is required. Especially in lithography using extreme ultraviolet (Extreme UltraViolet: hereinafter also referred to as "EUV"), a higher sensitivity of the resist composition is required in terms of output. In addition, it is required to have high solubility in solvents used in semiconductor manufacturing processes. In addition, when the aforementioned resist material is used to form an underlayer film for lithography, there are problems in having solubility in solvents and obtaining flatness of the underlayer film. In addition, when the resist material is used to form an optical article, there is a problem in the transparency of the obtained optical article.

本發明之目的在於提供一種高感度且對於溶劑之溶解性為高之化合物及樹脂、包含該化合物及樹脂之組成物、使用該組成物所形成之阻劑膜、以及使用該組成物之圖型形成方法。又,本發明之其他目的在於提供一種取得之下層膜之平坦性高之微影用下層膜形成用組成物、取得之光學物品之透明性高之光學物品形成用組成物、使用該微影用下層膜形成用組成物而得之微影用下層膜、使用該微影用下層膜形成用組成物之圖型形成方法、以及使用該光學物品形成用組成物而得之光學物品。 [用以解決課題之手段]The object of the present invention is to provide a compound and resin with high sensitivity and high solubility in solvents, a composition containing the compound and resin, a resist film formed using the composition, and a pattern using the composition Formation method. In addition, another object of the present invention is to provide a composition for forming an underlayer film for lithography with high flatness of the underlying film, a composition for forming an optical article with high transparency for the obtained optical article, and use of the composition for forming an optical article for lithography. An underlayer film for lithography obtained from the composition for forming an underlayer film, a pattern forming method using the composition for forming an underlayer film for lithography, and an optical article obtained by using the composition for forming an optical article. [Means to solve the problem]

本發明者等為了解決前述課題經過精心研討之結果,發現具有特定構造之化合物或樹脂,及包含該等之組成物,即可解決前述課題,進而完成了本發明。 即,本發明係如以下所示。In order to solve the aforementioned problems, the inventors of the present invention have conducted intensive studies and found that compounds or resins with specific structures and compositions containing them can solve the aforementioned problems, thus completing the present invention. That is, the present invention is as follows.

[1]一種下述式(X-1)所示之化合物。[1] A compound represented by the following formula (X-1).

Figure 02_image001
Figure 02_image001

(式(X-1)中,R0 係各自獨立為氫原子、解離性基或交聯性基,至少一個R0 為解離性基或交聯性基)。(In formula (X-1), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one R 0 is a dissociable group or a crosslinkable group).

[2]一種下述式(Y)所示之化合物。[2] A compound represented by the following formula (Y).

Figure 02_image003
Figure 02_image003

(式(Y)中,R1 係各自獨立為氫原子、烷基、解離性基或交聯性基,至少一個R1 為烷基、解離性基或交聯性基)。(In formula (Y), R 1 is each independently a hydrogen atom, an alkyl group, a dissociable group, or a crosslinkable group, and at least one R 1 is an alkyl group, a dissociable group, or a crosslinkable group).

[3]一種下述式(Z-1)、(Z-2)、或(Z-3)所示之化合物。[3] A compound represented by the following formula (Z-1), (Z-2), or (Z-3).

Figure 02_image005
Figure 02_image005

(式(Z-1)~(Z-3)中,R2 係各自獨立為氫原子、解離性基或交聯性基。R3 為取代或無取代之碳數1~24之2價基,且亦可包含酮基或酯鍵)。(In formulas (Z-1)~(Z-3), R 2 is each independently a hydrogen atom, a dissociable group or a crosslinkable group. R 3 is a substituted or unsubstituted divalent group with 1 to 24 carbon atoms , And may also contain keto groups or ester bonds).

[4]一種樹脂,其係包含源自抗壞血酸或其衍生物之構成單位。[4] A resin containing constituent units derived from ascorbic acid or its derivatives.

[5]如[4]之樹脂,其係使前述式(X-1)之R0 之至少一個為交聯性基之複數之如[1]之化合物藉由前述交聯性基而互相進行交聯而得者。[5] The resin as in [4], wherein at least one of R 0 of the aforementioned formula (X-1) is a plural number of crosslinkable groups, and the compounds as in [1] interact with each other through the aforementioned crosslinkable groups Those obtained by cross-linking.

[6]如[4]之樹脂,其係使前述式(Y)之R1 之至少一個為交聯性基之複數之如[2]之化合物藉由前述交聯性基而互相進行交聯而得者。[6] The resin as in [4], wherein at least one of R 1 of the aforementioned formula (Y) is a plurality of crosslinkable groups, and the compounds as in [2] are crosslinked with each other through the aforementioned crosslinkable groups And the winner.

[7]如[4]之樹脂,其係使前述式(Z-1)~(Z-3)之R2 之至少一個為交聯性基之複數之如[3]之化合物藉由前述交聯性基而互相進行交聯而得者。 [7] The resin of [4], wherein at least one of R 2 of the aforementioned formulas (Z-1) to (Z-3) is a plurality of crosslinkable groups, such as the compound of [3], by the aforementioned crosslinking It is obtained by cross-linking each other based on the linkability.

[8]如[4]之樹脂,其中前述樹脂為包含源自抗壞血酸或其衍生物之構成單位之聚胺基甲酸酯、聚酯、聚醚、或聚碳酸酯。[8] The resin of [4], wherein the aforementioned resin is polyurethane, polyester, polyether, or polycarbonate containing constituent units derived from ascorbic acid or its derivatives.

[9]一種組成物,其係包含如[1]~[3]中任一項之化合物,或如請求項[4]~[8]中任一項之樹脂。[9] A composition comprising the compound of any one of [1] to [3], or the resin of any one of claims [4] to [8].

[10]如[9]之組成物,其中更含有溶劑。[10] The composition as in [9], which further contains a solvent.

[11]如[9]或[10]之組成物,其中更含有酸產生劑。[11] The composition of [9] or [10], which further contains an acid generator.

[12]如[9]~[11]中任一項之組成物,其中更含有酸交聯劑。[12] The composition of any one of [9] to [11], which further contains an acid crosslinking agent.

[13]如[9]~[12]中任一項之組成物,其中更含有重氮萘醌光活性化合物。[13] The composition according to any one of [9] to [12], which further contains a diazonaphthoquinone photoactive compound.

[14]一種阻劑膜,其係由如[9]~[13]中任一項之組成物所形成。[14] A resist film formed by the composition as in any one of [9] to [13].

[15]一種圖型形成方法,其係包含: 使用如[9]~[13]中任一項之組成物在基板上形成膜的膜形成步驟; 將前述膜予以曝光的曝光步驟;及, 將前述曝光步驟中經曝光之膜予以顯像而形成圖型的顯像步驟。[15] A pattern forming method, which includes: A film forming step of forming a film on a substrate using a composition as in any one of [9] to [13]; Exposure step of exposing the aforementioned film; and, A developing step of developing the exposed film in the aforementioned exposure step to form a pattern.

[16]一種微影用下層膜形成用或光學物品形成用組成物,其係包含:抗壞血酸或其衍生物,亦或,包含源自抗壞血酸或其衍生物之構成單位之樹脂。[16] A composition for forming an underlayer film for lithography or for forming an optical article, comprising: ascorbic acid or a derivative thereof, or a resin containing a constituent unit derived from ascorbic acid or a derivative thereof.

[17]如[16]之組成物,其中前述抗壞血酸之衍生物為如[1]至[3]中任一項之化合物。[17] The composition of [16], wherein the aforementioned derivative of ascorbic acid is a compound of any one of [1] to [3].

[18]如[16]或[17]之組成物,其中前述樹脂為如[4]至[8]中任一項之樹脂。[18] The composition of [16] or [17], wherein the aforementioned resin is the resin of any one of [4] to [8].

[19]如[16]~[18]中任一項之組成物,其中更含有溶劑。[19] The composition as in any one of [16] to [18], which further contains a solvent.

[20]如[16]~[19]中任一項之組成物,其中更含有酸產生劑。[20] The composition of any one of [16] to [19], which further contains an acid generator.

[21]如[16]~[20]中任一項之組成物,其中更含有酸交聯劑。[21] The composition of any one of [16] to [20], which further contains an acid crosslinking agent.

[22]如[16]~[21]中任一項之組成物,其中更含有重氮萘醌光活性化合物。[22] The composition of any one of [16] to [21], which further contains a diazonaphthoquinone photoactive compound.

[23]如[16]~[22]中任一項之組成物,其中前述微影用下層膜形成用組成物更含有含矽化合物。[23] The composition according to any one of [16] to [22], wherein the composition for forming an underlayer film for lithography further contains a silicon-containing compound.

[24]如[23]之組成物,其中前述含矽化合物為水解性有機矽烷、其水解物或其水解縮合物。[24] The composition according to [23], wherein the silicon-containing compound is a hydrolyzable organosilane, its hydrolyzate, or its hydrolyzed condensate.

[25]一種微影用下層膜,其係由如[16]~[24]中任一項之微影用下層膜形成用組成物所形成。[25] An underlayer film for lithography, which is formed of the composition for forming an underlayer film for lithography as in any one of [16] to [24].

[26]一種圖型形成方法,其係包含: 在基板上使用如[16]~[24]中任一項之微影下層膜形成用組成物形成阻劑下層膜的步驟; 在前述阻劑下層膜上形成至少1層光阻層的步驟;及, 對前述光阻層之指定區域照射放射線而進行顯像的步驟。[26] A pattern forming method, which includes: The step of forming a resist underlayer film using the composition for forming a lithographic underlayer film as in any one of [16] to [24] on a substrate; The step of forming at least one photoresist layer on the aforementioned resist underlayer film; and, The step of irradiating radiation to the designated area of the aforementioned photoresist layer to perform imaging.

[27]一種光學物品,其係由如[16]~[22]中任一項之光學物品形成用組成物所形成。[27] An optical article, which is formed by the composition for forming an optical article as in any one of [16] to [22].

[28]如[27]之光學物品,其中前述光學物品之折射率為1.65以上。 [發明之效果][28] The optical article of [27], wherein the refractive index of the aforementioned optical article is 1.65 or more. [Effects of Invention]

根據本發明,可提供一種高感度且對於溶劑之溶解性為高之化合物及樹脂、包含該化合物及樹脂之組成物、使用該組成物所形成之阻劑膜、使用該組成物之圖型形成方法、以及該化合物或樹脂之純化方法。又,根據本發明,可提供一種取得之下層膜之平坦性高之微影用下層膜形成用組成物、取得之光學物品之透明性高之光學物品形成用組成物、使用該微影用下層膜形成用組成物而得之微影用下層膜、使用該微影用下層膜形成用組成物之圖型形成方法、以及使用該光學物品形成用組成物而得之光學物品。According to the present invention, it is possible to provide a compound and resin with high sensitivity and high solubility in solvents, a composition containing the compound and resin, a resist film formed using the composition, and pattern formation using the composition Method, and purification method of the compound or resin. In addition, according to the present invention, it is possible to provide a composition for forming an underlayer film for lithography with high flatness of the underlayer film, a composition for forming an optical article with high transparency of the obtained optical article, and use of the underlayer for lithography An underlayer film for lithography obtained from the composition for film formation, a pattern forming method using the composition for forming an underlayer film for lithography, and an optical article obtained by using the composition for forming an optical article.

以下,說明關於本發明之實施形態(以下,有稱為「本實施形態」之情況)。尚且,本實施形態為用來說明本發明用之例示,本發明並非係僅受限於本實施形態者。Hereinafter, an embodiment of the present invention (hereinafter referred to as "this embodiment") will be described. In addition, this embodiment is an example for explaining the present invention, and the present invention is not limited to this embodiment.

[化合物] (第一實施形態) 本實施形態之化合物為下述式(X-1)所示。[Compound] (First Embodiment) The compound of this embodiment is represented by the following formula (X-1).

Figure 02_image007
Figure 02_image007

(式(X-1)中,R0 係各自獨立為氫原子、解離性基或交聯性基,至少一個R0 為解離性基或交聯性基)。(In formula (X-1), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one R 0 is a dissociable group or a crosslinkable group).

本實施形態之化合物之化學構造係可藉由1 H-NMR測量及IR測量來確認。關於後述其他實施形態之化合物之化學構造亦係同樣。前述式(X-1)所示之化合物為抗壞血酸之衍生物,由於能包含多數會參與溶解性變化之基,故為高感度。又,該化合物由於包含羥基等之極性基,故對溶劑之溶解性為高。尚且,本實施形態中,「化合物」係指包含1個或2個源自抗壞血酸或其衍生物之構成單位者,且也可為異構物之混合物。又,抗壞血酸之衍生物係包含抗壞血酸之開環體及該開環體之衍生物。The chemical structure of the compound of this embodiment can be confirmed by 1 H-NMR measurement and IR measurement. The same applies to the chemical structure of compounds in other embodiments described later. The compound represented by the aforementioned formula (X-1) is a derivative of ascorbic acid, and is highly sensitive because it can contain many groups that participate in solubility changes. In addition, since this compound contains polar groups such as hydroxyl groups, it has high solubility in solvents. Furthermore, in this embodiment, the "compound" refers to one or two constituent units derived from ascorbic acid or its derivatives, and may also be a mixture of isomers. In addition, derivatives of ascorbic acid include a ring-opener of ascorbic acid and derivatives of the ring-opener.

前述式(X-1)中,R0 係各自獨立為氫原子、解離性基或交聯性基。又,至少一個R0 為解離性基或交聯性基。In the aforementioned formula (X-1), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group. In addition, at least one R 0 is a dissociable group or a crosslinkable group.

本實施形態中「解離性基」係指能進行開裂而生成鹼可溶性基之基。作為鹼可溶性基,並無特別限定,可舉出例如,酚性羥基、羧基、磺酸基、六氟異丙醇基等,以酚性羥基及羧基為佳,以酚性羥基為特佳。作為前述解離性基,並無特別限定,可從例如,KrF或ArF用之化學增幅型阻劑組成物所使用之羥基苯乙烯系樹脂、(甲基)丙烯酸系樹脂等被提案者當中適宜選擇使用。In this embodiment, the "dissociable group" refers to a group capable of undergoing cleavage to generate an alkali-soluble group. The alkali-soluble group is not particularly limited, and examples thereof include a phenolic hydroxyl group, a carboxyl group, a sulfonic acid group, a hexafluoroisopropanol group, and the like. The phenolic hydroxyl group and the carboxyl group are preferred, and the phenolic hydroxyl group is particularly preferred. The dissociable group is not particularly limited, and it can be suitably selected from, for example, hydroxystyrene resins and (meth)acrylic resins used in chemically amplified resist compositions for KrF or ArF. use.

作為前述解離性基,從容易取得性、及反應性之觀點,以具有因酸而解離之性質的酸解離性基為佳,以具有因酸而解離之性質之、取代甲基、1-取代乙基、1-取代-n-丙基、1-分支烷基、矽基、醯基、1-取代烷氧基甲基、環狀醚基、烷氧基羰基、或烷氧基羰基烷基為較佳。尚且,前述解離性基係以不具有交聯性基為佳。As the aforementioned dissociable group, from the viewpoint of easy availability and reactivity, an acid dissociable group having the property of dissociating with acid is preferred, and a substituted methyl group and 1-substituted group having the property of dissociating with acid are preferred. Ethyl, 1-substituted-n-propyl, 1-branched alkyl, silyl, acyl, 1-substituted alkoxymethyl, cyclic ether, alkoxycarbonyl, or alkoxycarbonylalkyl For better. Furthermore, it is preferable that the aforementioned dissociable group does not have a crosslinkable group.

作為取代甲基,並無特別限定,通常可作成碳數2~20之取代甲基,以碳數4~18之取代甲基為佳,以碳數6~16之取代甲基為較佳。作為取代甲基之具體例,並非係受以下所限定者,可舉出如甲氧基甲基、甲基硫甲基、乙氧基甲基、n-丙氧基甲基、異丙氧基甲基、n-丁氧基甲基、t-丁氧基甲基、2-甲基丙氧基甲基、乙基硫甲基、甲氧基乙氧基甲基、苯氧基甲基、1-環戊氧基甲基、1-環己氧基甲基、苄基硫甲基、苯甲醯甲基(phenacyl)、4-溴苯甲醯甲基、4-甲氧基苯甲醯甲基、胡椒基、及下述式(1-1)所示之取代基群等。尚且,作為下述式(1-1)中之R10 之具體例,並非係受限於以下者,可舉出如甲基、乙基、異丙基、n-丙基、t-丁基、n-丁基等。The substituted methyl group is not particularly limited, and it can usually be a substituted methyl group having 2 to 20 carbon atoms, preferably a substituted methyl group having 4 to 18 carbon atoms, and preferably a substituted methyl group having 6 to 16 carbon atoms. Specific examples of substituted methyl groups are not limited by the following, and examples include methoxymethyl, methylthiomethyl, ethoxymethyl, n-propoxymethyl, and isopropoxy Methyl, n-butoxymethyl, t-butoxymethyl, 2-methylpropoxymethyl, ethylthiomethyl, methoxyethoxymethyl, phenoxymethyl, 1-cyclopentyloxymethyl, 1-cyclohexyloxymethyl, benzylthiomethyl, phenacyl, 4-bromobenzylmethyl, 4-methoxybenzyl A methyl group, a piperonyl group, and a substituent group represented by the following formula (1-1), etc. Furthermore, as specific examples of R 10 in the following formula (1-1), it is not limited to the following, and examples include methyl, ethyl, isopropyl, n-propyl, t-butyl , N-butyl, etc.

Figure 02_image009
Figure 02_image009

前述式(1-1)中,R10 為碳數1~4之烷基。 作為1-取代乙基,並非特別限定,通常可作成碳數3~20之1-取代乙基,以碳數5~18之1-取代乙基為佳,以碳數7~16之取代乙基為較佳。作為1-取代乙基之具體例,並非係受以下所限定者,可舉出如,1-甲氧基乙基、1-甲基硫乙基、1,1-二甲氧基乙基、1-乙氧基乙基、1-乙基硫乙基、1,1-二乙氧基乙基、n-丙氧基乙基、異丙氧基乙基、n-丁氧基乙基、t-丁氧基乙基、2-甲基丙氧基乙基、1-苯氧基乙基、1-苯基硫乙基、1,1-二苯氧基乙基、1-環戊氧基乙基、1-環己氧基乙基、1-苯基乙基、1,1-二苯基乙基、及下述式(1-2)所示之取代基群等。In the aforementioned formula (1-1), R 10 is an alkyl group having 1 to 4 carbon atoms. The 1-substituted ethyl group is not particularly limited. Usually, it can be a 1-substituted ethyl group with 3 to 20 carbons, preferably a 1-substituted ethyl group with 5 to 18 carbons, and a substituted ethyl group with 7 to 16 carbons. The base is better. Specific examples of 1-substituted ethyl are not limited to those below, and examples include 1-methoxyethyl, 1-methylthioethyl, 1,1-dimethoxyethyl, 1-ethoxyethyl, 1-ethylthioethyl, 1,1-diethoxyethyl, n-propoxyethyl, isopropoxyethyl, n-butoxyethyl, t-Butoxyethyl, 2-methylpropoxyethyl, 1-phenoxyethyl, 1-phenylthioethyl, 1,1-diphenoxyethyl, 1-cyclopentoxy Ylethyl, 1-cyclohexyloxyethyl, 1-phenylethyl, 1,1-diphenylethyl, and the substituent group represented by the following formula (1-2), etc.

Figure 02_image011
Figure 02_image011

前述式(1-2)中,R10 係與前述式(1-1)同義。 作為1-取代-n-丙基,並無特別限定,通常可作成碳數4~20之1-取代-n-丙基,以碳數6~18之1-取代-n-丙基為佳,以碳數8~16之1-取代-n-丙基為較佳。作為1-取代-n-丙基之具體例,並非係受以下所限定者,可舉出如1-甲氧基-n-丙基及1-乙氧基-n-丙基等。In the aforementioned formula (1-2), R 10 has the same meaning as the aforementioned formula (1-1). The 1-substituted-n-propyl group is not particularly limited. Usually, it can be a 1-substituted-n-propyl group with 4 to 20 carbons, preferably a 1-substituted-n-propyl group with 6 to 18 carbons. , 1-substituted-n-propyl with 8-16 carbons is preferred. Specific examples of 1-substituted-n-propyl are not limited to those below, and examples include 1-methoxy-n-propyl and 1-ethoxy-n-propyl.

作為1-分支烷基,並無特別限定,通常可作成碳數3~20之1-分支烷基,以碳數5~18之1-分支烷基為佳,以碳數7~16之分支烷基為較佳。作為1-分支烷基之具體例,並非係受以下所限定者,可舉出如異丙基、sec-丁基、tert-丁基、1,1-二甲基丙基、1-甲基丁基、1,1-二甲基丁基、2-甲基金剛烷基、及2-乙基金剛烷基等。The 1-branched alkyl group is not particularly limited. Usually, it can be a 1-branched alkyl group with 3 to 20 carbons, preferably a 1-branched alkyl group with 5 to 18 carbons, and a branch with 7 to 16 carbons. Alkyl is preferred. Specific examples of 1-branched alkyl are not limited by the following, and examples include isopropyl, sec-butyl, tert-butyl, 1,1-dimethylpropyl, 1-methyl Butyl, 1,1-dimethylbutyl, 2-methyladamantyl, and 2-ethyladamantyl, etc.

作為矽基,並無特別限定,通常可作成碳數1~20之矽基,以碳數3~18之矽基為佳,以碳數5~16之矽基為較佳。作為矽基之具體例,並非係受以下所限定者,可舉出如三甲基矽基、乙基二甲基矽基、甲基二乙基矽基、三乙基矽基、tert-丁基二甲基矽基、tert-丁基二乙基矽基、tert-丁基二苯基矽基、三-tert-丁基矽基及三苯基矽基等。The silicon base is not particularly limited. Usually, it can be made into a silicon base with 1 to 20 carbons, preferably a silicon base with 3 to 18 carbons, and preferably a silicon base with 5 to 16 carbons. As specific examples of silyl groups, they are not limited by the following, and examples include trimethylsilyl, ethyldimethylsilyl, methyldiethylsilyl, triethylsilyl, tert-butyl Dimethylsilyl, tert-butyldiethylsilyl, tert-butyldiphenylsilyl, tri-tert-butylsilyl and triphenylsilyl, etc.

作為醯基,並無特別限定,通常可作成碳數2~20之醯基,以碳數4~18之醯基為佳,碳數6~16之醯基為較佳。作為醯基之具體例,並非係受以下所限定者,可舉出如乙醯基、苯氧基乙醯基、丙醯基、丁醯基、庚醯基、己醯基、戊醯基、三甲基乙醯基、異戊醯基、月桂醯基、金剛烷基羰基、苄醯基及萘甲醯基等。There are no particular limitations on the acyl group, and it can usually be an acyl group with 2 to 20 carbons, preferably an acyl group with 4 to 18 carbons, and preferably an acyl group with 6 to 16 carbons. Specific examples of the acyl group are not limited by the following, and examples include acetyl, phenoxyacetyl, propionyl, butyryl, heptyl, hexyl, pentam, trimethyl Acetyl, isopentyl, lauryl, adamantylcarbonyl, benzyl, naphthyl and the like.

作為1-取代烷氧基甲基,並無特別限定,通常可作成碳數2~20之1-取代烷氧基甲基,以碳數4~18之1-取代烷氧基甲基為佳,以碳數6~16之1-取代烷氧基甲基為較佳。作為1-取代烷氧基甲基之具體例,並非係受以下所限定者,可舉出如1-環戊基甲氧基甲基、1-環戊基乙氧基甲基、1-環己基甲氧基甲基、1-環己基乙氧基甲基、1-環辛基甲氧基甲基及1-金剛烷基甲氧基甲基等。The 1-substituted alkoxymethyl group is not particularly limited. Usually, it can be a 1-substituted alkoxymethyl group with 2 to 20 carbon atoms, preferably a 1-substituted alkoxymethyl group with 4 to 18 carbon atoms. , 1-substituted alkoxymethyl with 6 to 16 carbon atoms is preferred. Specific examples of 1-substituted alkoxymethyl are not limited to those defined below. Examples include 1-cyclopentylmethoxymethyl, 1-cyclopentylethoxymethyl, 1-cyclopentylethoxymethyl, and 1-cyclopentylethoxymethyl. Hexylmethoxymethyl, 1-cyclohexylethoxymethyl, 1-cyclooctylmethoxymethyl, 1-adamantylmethoxymethyl, etc.

作為環狀醚基,並無特別限定,通常係可作成碳數2~20之環狀醚基,以碳數4~18之環狀醚基為佳,以碳數6~16之環狀醚基為較佳。作為環狀醚基之具體例,並非係受以下所限定者,可舉出如四氫吡喃基、四氫呋喃基、四氫噻喃基、四氫硫呋喃基、4-甲氧基四氫吡喃基及4-甲氧基四氫噻喃基等。The cyclic ether group is not particularly limited. Usually it can be made into a cyclic ether group with 2 to 20 carbons, preferably a cyclic ether with 4 to 18 carbons, and a cyclic ether with 6 to 16 carbons. The base is better. Specific examples of cyclic ether groups are not limited to those below, and examples include tetrahydropyranyl, tetrahydrofuranyl, tetrahydrothiopyranyl, tetrahydrothiofuranyl, and 4-methoxytetrahydropyranyl. Pyranyl and 4-methoxytetrahydrothiopyranyl, etc.

作為烷氧基羰基,通常可作成碳數2~20之烷氧基羰基,以碳數4~18之烷氧基羰基為佳,碳數6~16之烷氧基羰基為更佳。作為烷氧基羰基之具體例,並非係受以下所限定者,可舉出如甲氧基羰基、乙氧基羰基、n-丙氧基羰基、異丙氧基羰基、n-丁氧基羰基、tert-丁氧基羰基或下述式(1-3)之n=0所示之解離性基群等。As the alkoxycarbonyl group, an alkoxycarbonyl group having 2 to 20 carbon atoms is usually used, and an alkoxycarbonyl group having 4 to 18 carbon atoms is preferred, and an alkoxycarbonyl group having 6 to 16 carbon atoms is more preferred. Specific examples of the alkoxycarbonyl group are not limited to the following, and examples include methoxycarbonyl, ethoxycarbonyl, n-propoxycarbonyl, isopropoxycarbonyl, and n-butoxycarbonyl. , Tert-butoxycarbonyl or the dissociable group represented by n=0 of the following formula (1-3), etc.

作為烷氧基羰基烷基,並無特別限定,通常可作成碳數3~20之烷氧基羰基烷基,以碳數4~18之烷氧基羰基烷基為佳,以碳數6~16之烷氧基羰基烷基為更佳。作為烷氧基羰基烷基之具體例,並非係受以下所限定者,可舉出如甲氧基羰基甲基、乙氧基羰基甲基、n-丙氧基羰基甲基、異丙氧基羰基甲基、n-丁氧基羰基甲基或下述式(1-3)之n=1~4所示之解離性基群等。The alkoxycarbonylalkyl group is not particularly limited. Generally, it can be an alkoxycarbonylalkyl group having 3 to 20 carbon atoms, preferably an alkoxycarbonylalkyl group having 4 to 18 carbon atoms, and a carbon number of 6 to The alkoxycarbonylalkyl group of 16 is more preferred. Specific examples of the alkoxycarbonylalkyl group are not limited by the following, and examples include methoxycarbonylmethyl, ethoxycarbonylmethyl, n-propoxycarbonylmethyl, and isopropoxy Carbonylmethyl, n-butoxycarbonylmethyl, or the dissociable group represented by n=1 to 4 in the following formula (1-3), etc.

Figure 02_image013
Figure 02_image013

前述式(1-3)中,R11 為氫原子或碳數1~4之直鏈狀或分支狀烷基,n為0~4之整數。In the aforementioned formula (1-3), R 11 is a hydrogen atom or a linear or branched alkyl group having 1 to 4 carbon atoms, and n is an integer of 0 to 4.

該等之解離性基之中,以取代甲基、1-取代乙基、1-取代烷氧基甲基、環狀醚基、烷氧基羰基、及烷氧基羰基烷基為佳,從展現高感度之觀點,以取代甲基、1-取代乙基、烷氧基羰基及烷氧基羰基烷基為佳,並且以具有選自碳數3~12之環烷、內酯及6~12之芳香族環之構造之解離性基為更佳。作為碳數3~12之環烷,可為單環也可為多環,以多環為佳。作為碳數3~12之環烷之具體例,並非係受以下所限定者,可舉出如單環烷、雙環烷、三環烷、四環烷等,更具體而言,並非係受以下所限定者,可舉出如環丙烷、環丁烷、環戊烷、環己烷等之單環烷,或金剛烷、降莰烷、異莰烷、三環癸烷、四環癸烷等之聚環烷。該等之中,以金剛烷、三環癸烷、四環癸烷為佳,以金剛烷、三環癸烷為較佳。碳數3~12之環烷可具有取代基。作為內酯,並非係受以下所限定者,可舉出例如,丁內酯或具有內酯基之碳數3~12之環烷。作為6~12之芳香族環,非係受以下所限定者,可舉出例如,苯環、萘環、蒽環、菲環、芘環等,以苯環、萘環為佳,萘環為較佳。尤其係下述式(1-4)所示之解離性基群,因解像性高而為佳。Among these dissociative groups, substituted methyl, 1-substituted ethyl, 1-substituted alkoxymethyl, cyclic ether group, alkoxycarbonyl, and alkoxycarbonylalkyl are preferred. To show high sensitivity, substituted methyl, 1-substituted ethyl, alkoxycarbonyl and alkoxycarbonylalkyl are preferred, and it is preferred to have cycloalkanes, lactones and 6~ The dissociative group of the structure of the aromatic ring of 12 is better. The cycloalkane having 3 to 12 carbon atoms may be monocyclic or polycyclic, preferably polycyclic. Specific examples of cycloalkanes having 3 to 12 carbon atoms are not limited by the following, and examples include monocycloalkanes, bicycloalkanes, tricycloalkanes, and tetracycloalkanes. More specifically, they are not limited by the following Limited ones include monocycloalkanes such as cyclopropane, cyclobutane, cyclopentane, and cyclohexane, or adamantane, norbornane, isobornane, tricyclodecane, tetracyclodecane, etc. The polycycloalkane. Among them, adamantane, tricyclodecane, and tetracyclodecane are preferred, and adamantane and tricyclodecane are preferred. The cycloalkane having 3 to 12 carbon atoms may have a substituent. The lactone is not limited to the following, and examples include butyrolactone or a cycloalkane having 3 to 12 carbon atoms having a lactone group. The aromatic ring of 6 to 12 is not limited by the following, for example, benzene ring, naphthalene ring, anthracene ring, phenanthrene ring, pyrene ring, etc., preferably benzene ring and naphthalene ring, and naphthalene ring is Better. In particular, the dissociable group represented by the following formula (1-4) is preferred because of its high resolution.

Figure 02_image015
Figure 02_image015

前述式(1-4)中,R12 為氫原子或碳數1~4之直鏈狀或分支狀烷基,R13 為氫原子、碳數1~4之直鏈狀或分支狀烷基、氰基、硝基、雜環基、鹵素原子或羧基,R14 為氫原子或碳數1~4之直鏈狀或分支狀烷基,n1 為0~4之整數,n2 為1~5之整數,n0 為0~4之整數。In the aforementioned formula (1-4), R 12 is a hydrogen atom or a linear or branched alkyl group with 1 to 4 carbons, and R 13 is a hydrogen atom and a linear or branched alkyl group with 1 to 4 carbons. , Cyano, nitro, heterocyclic group, halogen atom or carboxyl group, R 14 is a hydrogen atom or a linear or branched alkyl group with 1 to 4 carbon atoms, n 1 is an integer of 0 to 4, n 2 is 1 ~5 is an integer, n 0 is an integer of 0~4.

本實施形態中,「交聯性基」係指在自由基或酸/鹼之存在下進行反應,對於塗佈溶劑或顯像液所使用之酸、鹼或有機溶劑之溶解性產生變化之基。作為交聯性基,從交聯反應性之觀點,以烯丙基、(甲基)丙烯醯基、乙烯基、環氧基、烷氧基甲基、或氰基為佳。在從提升生產性之觀點,交聯性基係以具有在酸之存在下會連鎖性地引起開裂反應之性質為佳。In this embodiment, the "crosslinkable group" refers to a group that reacts in the presence of free radicals or acid/base, and changes the solubility of the acid, base or organic solvent used in the coating solvent or developer solution. . As the crosslinkable group, from the viewpoint of crosslinking reactivity, an allyl group, a (meth)acryloyl group, a vinyl group, an epoxy group, an alkoxymethyl group, or a cyano group is preferred. From the viewpoint of improving productivity, the cross-linkable group should preferably have the property of causing a cracking reaction in a chain in the presence of acid.

作為前述式(X-1)所示之化合物,具體地可舉出如以下之式(1-5)所示之化合物。Specific examples of the compound represented by the aforementioned formula (X-1) include compounds represented by the following formula (1-5).

Figure 02_image017
Figure 02_image019
Figure 02_image017
Figure 02_image019

(第二實施形態) 本實施形態之化合物為下述式(Y)所示。(Second Embodiment) The compound of this embodiment is represented by the following formula (Y).

Figure 02_image021
Figure 02_image021

(式(Y)中,R1 係各自獨立為氫原子、烷基、解離性基或交聯性基,至少一個R1 為烷基、解離性基或交聯性基)。(In formula (Y), R 1 is each independently a hydrogen atom, an alkyl group, a dissociable group, or a crosslinkable group, and at least one R 1 is an alkyl group, a dissociable group, or a crosslinkable group).

前述式(Y)所示之化合物為抗壞血酸之開環體之衍生物,由於能包含多數會參與溶解性變化之基,故為高感度。又,該化合物由於包含羥基等之極性基,故對於溶劑之溶解性為高。前述式(Y)中,R1 係各自獨立為氫原子、烷基、解離性基或交聯性基。又,至少一個R1 為烷基、解離性基或交聯性基。The compound represented by the aforementioned formula (Y) is a derivative of the ring-opening body of ascorbic acid, and is highly sensitive because it can contain many groups that participate in solubility changes. In addition, since this compound contains polar groups such as hydroxyl groups, it has high solubility in solvents. In the aforementioned formula (Y), R 1 is each independently a hydrogen atom, an alkyl group, a dissociable group, or a crosslinkable group. In addition, at least one R 1 is an alkyl group, a dissociable group, or a crosslinkable group.

作為前述烷基,可舉出如碳數1~20之直鏈狀或分支狀烷基。具體地可舉出如甲基、乙基、n-丙基、i-丙基、n-丁基、i-丁基、t-丁基、癸基、二十基、環丙基、環丁基、環己基等。尤其,以前述式(Y)中,與鍵結於羰基上之氧原子鍵結之R1 為烷基,該R1 以外之R1 為氫原子、解離性基或交聯性基為佳。前述式(Y)之R1 中之解離性基及交聯性基係能與前述式(X-1)之R0 中之解離性基及交聯性基相同。Examples of the aforementioned alkyl group include linear or branched alkyl groups having 1 to 20 carbon atoms. Specific examples include methyl, ethyl, n-propyl, i-propyl, n-butyl, i-butyl, t-butyl, decyl, eicosyl, cyclopropyl, cyclobutyl Group, cyclohexyl, etc. In particular, in the aforementioned formula (Y), R 1 bonded to the oxygen atom bonded to the carbonyl group is an alkyl group, and R 1 other than the R 1 is a hydrogen atom, a dissociable group, or a crosslinkable group. The dissociable group and crosslinkable group in R 1 of the aforementioned formula (Y) can be the same as the dissociable group and crosslinkable group of R 0 of the aforementioned formula (X-1).

作為前述式(Y)所示之化合物,具體地可舉出如以下之式(1-6)所示之化合物。Specific examples of the compound represented by the aforementioned formula (Y) include compounds represented by the following formula (1-6).

Figure 02_image023
Figure 02_image025
Figure 02_image023
Figure 02_image025

(第三實施形態) 本實施形態之化合物為下述式(Z-1)、(Z-2)、或(Z-3)所示。(Third Embodiment) The compound of this embodiment is represented by the following formula (Z-1), (Z-2), or (Z-3).

Figure 02_image027
Figure 02_image027

(式(Z-1)~(Z-3)中,R2 係各自獨立為氫原子、解離性基或交聯性基。R3 為取代或無取代之碳數1~24之2價基,且亦可包含酮基或酯鍵)。(In formulas (Z-1)~(Z-3), R 2 is each independently a hydrogen atom, a dissociable group or a crosslinkable group. R 3 is a substituted or unsubstituted divalent group with 1 to 24 carbon atoms , And may also contain keto groups or ester bonds).

前述式(Z-1)~(Z-3)所示之化合物為抗壞血酸之開環體之衍生物,能包含多數參與溶解性變化之基,故為高感度。又,該化合物由於包含羥基等之極性基,故對於溶劑之溶解性為高。前述式(Z-1)~(Z-3)之R2 係各自獨立為氫原子、解離性基或交聯性基。前述式(Z-1)~(Z-3)之R2 之解離性基及交聯性基係能與前述式(X-1)之R0 中之解離性基及交聯性基相同。The compounds represented by the aforementioned formulas (Z-1) to (Z-3) are derivatives of the ring-opening body of ascorbic acid, which can contain many groups involved in solubility changes, so they are highly sensitive. In addition, since this compound contains polar groups such as hydroxyl groups, it has high solubility in solvents. The R 2 of the aforementioned formulas (Z-1) to (Z-3) are each independently a hydrogen atom, a dissociable group, or a crosslinkable group. The dissociable group and crosslinkable group of R 2 of the aforementioned formulas (Z-1) to (Z-3) can be the same as the dissociable group and crosslinkable group of R 0 of the aforementioned formula (X-1).

前述式(Z-1)~(Z-3)之R3 為取代或無取代之碳數1~24之2價基。R3 為例如,取代或無取代之碳數1~24之直鏈狀之伸烷基、取代或無取代之碳數3~24之分支狀之伸烷基、取代或無取代之碳數3~24之環狀之伸烷基,或,取代或無取代之碳數6~24之伸芳基,且亦可包含酮基或酯鍵。前述R3 係以取代或無取代之碳數1~12之直鏈狀之伸烷基、取代或無取代之碳數3~12之分支狀之伸烷基、取代或無取代之碳數3~12之環狀之伸烷基,或,取代或無取代之碳數6~12之伸芳基為佳,且亦可包含酮基或酯鍵。 R 3 in the aforementioned formulas (Z-1) to (Z-3) is a substituted or unsubstituted divalent group with 1 to 24 carbon atoms. R 3 is, for example, a substituted or unsubstituted linear alkylene group with 1 to 24 carbons, a substituted or unsubstituted branched alkylene group with 3 to 24 carbons, and a substituted or unsubstituted carbon number 3 ~24 cyclic alkylene groups, or substituted or unsubstituted aryl alkylene groups with 6 to 24 carbon atoms, and may also contain keto groups or ester bonds. The aforementioned R 3 is a substituted or unsubstituted linear alkylene group with 1 to 12 carbons, a substituted or unsubstituted branched alkylene group with 3 to 12 carbons, and a substituted or unsubstituted carbon number 3 A cyclic alkylene group of ~12, or a substituted or unsubstituted arylene group having 6 to 12 carbon atoms is preferred, and it may also contain a ketone group or an ester bond.

作為前述碳數1~24之直鏈狀之伸烷基,可舉出例如,亞甲基、伸乙基、伸丙基等。作為前述碳數3~24之分支狀之伸烷基,可舉出例如,伸異丙基等。作為前述碳數3~24之環狀之伸烷基,可舉出例如,伸環己基等。作為前述碳數6~24之伸芳基,可舉出例如,伸苯基、伸萘基等。作為取代基,可舉出如具有鹵素原子、硝基、胺基、巰基、羥基、或羥基之氫原子被解離性基所取代之基等之取代基之甲基、乙基、n-丙基、i-丙基、n-丁基、i-丁基、t-丁基、環丙基、環丁基等。Examples of the linear alkylene group having 1 to 24 carbon atoms include methylene, ethylene, and propylene. Examples of the branched alkylene group having 3 to 24 carbon atoms include isopropylidene and the like. As the aforementioned cyclic alkylene group having 3 to 24 carbon atoms, for example, cyclohexylene and the like can be given. Examples of the arylene group having 6 to 24 carbon atoms include phenylene and naphthylene. Examples of the substituent include methyl, ethyl, and n-propyl substituents such as a halogen atom, a nitro group, an amino group, a mercapto group, a hydroxyl group, or a group in which the hydrogen atom of the hydroxyl group is replaced by a dissociable group. , I-propyl, n-butyl, i-butyl, t-butyl, cyclopropyl, cyclobutyl, etc.

尤其,從溶解性、及成膜性之觀點,前述式(Z-1)~(Z-3)中之R3 係以六亞甲基或伸苯基為佳。又,從溶解性之觀點,前述式(Z-1)~(Z-3)中之R2 係以氫原子為佳。 In particular, from the viewpoint of solubility and film-forming properties, R 3 in the aforementioned formulas (Z-1) to (Z-3) is preferably hexamethylene or phenylene. In addition, from the viewpoint of solubility, R 2 in the aforementioned formulas (Z-1) to (Z-3) is preferably a hydrogen atom.

作為前述式(Z-1)或(Z-2)所示之化合物,且R3 為包含酮基之化合物,可舉出例如以下之式(1-7)所示之化合物。As the compound represented by the aforementioned formula (Z-1) or (Z-2), and R 3 is a compound containing a ketone group, for example, the compound represented by the following formula (1-7) can be mentioned.

Figure 02_image029
Figure 02_image029

(式(1-7)中,R2 係與前述式(Z-1)、(Z-2)同義。R3’ 為取代或無取代之碳數1~22之2價基)。 R3’ 能為例如,取代或無取代之碳數1~22之直鏈狀之伸烷基、取代或無取代之碳數3~22之分支狀之伸烷基、取代或無取代之碳數3~22之環狀之伸烷基,或,取代或無取代之碳數6~22之伸芳基。(In the formula (1-7), R 2 lines in the above formula (Z-1), (Z -2) synonymous .R 3 'is a substituted or unsubstituted 1 to 22 carbon atoms of the divalent group). R 3'can be, for example, a substituted or unsubstituted linear alkylene group with 1 to 22 carbons, a substituted or unsubstituted branched alkylene group with 3 to 22 carbons, a substituted or unsubstituted carbon Cyclic alkylene groups of 3-22, or substituted or unsubstituted arylalkylenes of 6-22 carbons.

作為前述式(Z-1)所示之化合物,且R3 為包含酯鍵之化合物,可舉出例如以下之式(1-8)所示之化合物。As the compound represented by the aforementioned formula (Z-1) and R 3 is a compound containing an ester bond, for example, the compound represented by the following formula (1-8) can be mentioned.

Figure 02_image031
Figure 02_image031

(式(1-8)中,R2 係與前述式(Z-1)同義。R3” 為取代或無取代之碳數1~20之2價基)。 R3” 能為例如,取代或無取代之碳數1~20之直鏈狀之伸烷基、取代或無取代之碳數3~20之分支狀之伸烷基、取代或無取代之碳數3~20之環狀之伸烷基,或,取代或無取代之碳數6~20之伸芳基。(In formula (1-8), R 2 is synonymous with the aforementioned formula (Z-1). R 3" is a substituted or unsubstituted divalent group with 1 to 20 carbon atoms). R 3" can be, for example, substituted Or unsubstituted linear alkylene with 1-20 carbons, substituted or unsubstituted branched alkylene with 3-20 carbons, substituted or unsubstituted cyclic carbon 3-20 Alkylene, or, substituted or unsubstituted arylene with 6 to 20 carbons.

作為前述式(Z-1)所示之化合物,具體地可舉出如以下之式(1-9)所示之化合物。Specific examples of the compound represented by the aforementioned formula (Z-1) include compounds represented by the following formula (1-9).

Figure 02_image033
Figure 02_image033

作為前述式(Z-2)所示之化合物,具體地可舉出如以下之式(1-10)所示之化合物。Specific examples of the compound represented by the aforementioned formula (Z-2) include compounds represented by the following formula (1-10).

Figure 02_image035
Figure 02_image035

作為前述式(Z-3)所示之化合物,具體地可舉出如以下之式(1-11)所示之化合物。Specific examples of the compound represented by the aforementioned formula (Z-3) include compounds represented by the following formula (1-11).

Figure 02_image037
Figure 02_image037

[化合物之製造方法] 本實施形態之化合物之合成方法並無特別限定。前述式(X-1)所示之化合物係能以例如抗壞血酸與反應物質之縮合來取得。縮合反應係適宜適用公知手法,且其反應手法並無特別限定。例如,使抗壞血酸與反應物質在常壓下、酸觸媒下進行縮合反應為佳。又,反應因應必要也可在加壓下進行。[Method of manufacturing compound] The synthesis method of the compound of this embodiment is not particularly limited. The compound represented by the aforementioned formula (X-1) can be obtained by, for example, the condensation of ascorbic acid and a reaction substance. A publicly known method is suitably applied to the condensation reaction system, and the reaction method is not particularly limited. For example, it is better to conduct condensation reaction between ascorbic acid and the reaction substance under normal pressure under an acid catalyst. In addition, the reaction may be carried out under pressure as necessary.

前述式(Y)所示之化合物係例如能以抗壞血酸之開環反應來取得。開環反應係可適宜使用公知之手法,且其反應手法並無特別限定。例如,以使抗壞血酸在常壓下、酸觸媒下進行開環反應為佳。並且,也可使抗壞血酸之開環體與反應物質在常壓下、酸觸媒下進行縮合反應。又,反應在因應必要亦可在加壓下進行。The compound represented by the aforementioned formula (Y) can be obtained, for example, by the ring-opening reaction of ascorbic acid. A known method can be suitably used for the ring-opening reaction system, and the reaction method is not particularly limited. For example, it is better to make ascorbic acid undergo a ring-opening reaction under normal pressure and an acid catalyst. In addition, the ring-opener of ascorbic acid and the reaction material can undergo condensation reaction under normal pressure and acid catalyst. In addition, the reaction can also be carried out under pressure if necessary.

前述式(Z-1)所示之化合物係例如可藉由抗壞血酸與各種醇或酚之縮合來進行合成。縮合反應係可適宜使用公知之手法,且其反應手法並無特別限定。例如,以使抗壞血酸與各種醇或酚在壓下、酸觸媒下進行縮合反應為佳。又,反應在因應必要亦可在加壓下進行。The compound represented by the aforementioned formula (Z-1) can be synthesized, for example, by the condensation of ascorbic acid with various alcohols or phenols. A well-known method can be suitably used for the condensation reaction system, and the reaction method is not specifically limited. For example, the condensation reaction of ascorbic acid and various alcohols or phenols under pressure and an acid catalyst is preferable. In addition, the reaction can also be carried out under pressure if necessary.

前述式(Z-2)所示之化合物係例如可藉由抗壞血酸與各種烷基化劑之縮合反應來進行合成。縮合反應係可適宜使用公知之手法,且反應手法並無特別限定。例如,以使抗壞血酸與各種烷基化劑在常壓下、酸觸媒下進行縮合反應為佳。又,反應在因應必要亦可在加壓下進行。The compound represented by the aforementioned formula (Z-2) can be synthesized, for example, by the condensation reaction of ascorbic acid and various alkylating agents. A well-known method can be suitably used for the condensation reaction system, and the reaction method is not specifically limited. For example, it is better to conduct condensation reaction between ascorbic acid and various alkylating agents under normal pressure under an acid catalyst. In addition, the reaction can also be carried out under pressure if necessary.

前述式(Z-3)所示之化合物係例如可藉由抗壞血酸與各種胺化合物之縮合反應來進行合成。縮合反應係可適宜使用公知之手法,且其反應手法並無特別限定。例如,以使抗壞血酸與各種胺化合物在常壓下、酸觸媒下進行縮合反應為佳。又,反應在因應必要亦可在加壓下進行。The compound represented by the aforementioned formula (Z-3) can be synthesized, for example, by the condensation reaction of ascorbic acid and various amine compounds. A well-known method can be suitably used for the condensation reaction system, and the reaction method is not specifically limited. For example, the condensation reaction of ascorbic acid and various amine compounds is preferably carried out under normal pressure under an acid catalyst. In addition, the reaction can also be carried out under pressure if necessary.

[樹脂] 本實施形態之樹脂包含源自抗壞血酸或其衍生物之構成單位。換言之,本實施形態之樹脂係能為將抗壞血酸或其衍生物作為單體而得之樹脂。該樹脂由於能包含多數參與溶解性變化之基,故為高感度。又,該樹脂由於包含羥基等之極性基,故對於溶劑之溶解性為高。尚且,本實施形態中「樹脂」係指包含至少3個以上源自抗壞血酸或其衍生物之構成單位者。本實施形態之樹脂之化學構造係可藉由1 H-NMR測量及IR測量來確認。本實施形態之樹脂之Mw(重量平均分子量)係以200~6000為佳。又,本實施形態之樹脂之Mw/Mn(數平均分子量)係以1.0~3.0為佳。尚且,本實施形態中,Mw、Mn係藉由GPC測量所求得之值。[Resin] The resin of this embodiment contains a structural unit derived from ascorbic acid or its derivatives. In other words, the resin system of this embodiment can be a resin obtained by using ascorbic acid or a derivative thereof as a monomer. The resin has high sensitivity because it can contain many bases involved in the solubility change. In addition, since this resin contains polar groups such as hydroxyl groups, it has high solubility in solvents. In addition, the "resin" in the present embodiment refers to those containing at least three constituent units derived from ascorbic acid or its derivatives. The chemical structure of the resin of this embodiment can be confirmed by 1 H-NMR measurement and IR measurement. The Mw (weight average molecular weight) of the resin of this embodiment is preferably 200 to 6000. In addition, the Mw/Mn (number average molecular weight) of the resin of this embodiment is preferably 1.0 to 3.0. In addition, in this embodiment, Mw and Mn are values obtained by GPC measurement.

本實施形態之樹脂只要係包含源自抗壞血酸或其衍生物之構成單位,即並無特別限定,可為例如前述式(X-1)之R0 之至少一個為交聯性基之複數之前述式(X-1)所示之化合物藉由、前述交聯性基而互相進行交聯而得之樹脂。又,本實施形態之樹脂可為前述式(Y)之R1 之至少一個為交聯性基之複數之前述式(Y)所示之化合物藉由前述交聯性基而互相進行交聯而得之樹脂。又,本實施形態之樹脂可為前述式(Z-1)~(Z-3)之R2 之至少一個為交聯性基之複數之前述式(Z-1)~(Z-3)所示之化合物藉由前述交聯性基而互相進行交聯而得之樹脂。The resin of this embodiment is not particularly limited as long as it contains a structural unit derived from ascorbic acid or its derivatives. For example , at least one of R 0 of the aforementioned formula (X-1) is a plurality of crosslinkable groups. The compound represented by the formula (X-1) is a resin obtained by crosslinking the aforementioned crosslinkable group with each other. In addition, the resin of the present embodiment may be a compound represented by the aforementioned formula (Y) in which at least one of R 1 of the aforementioned formula (Y) is a plurality of cross-linkable groups through the aforementioned cross-linkable groups to cross-link each other. Get the resin. In addition, the resin of the present embodiment may be represented by the aforementioned formulas (Z-1) to (Z-3) in which at least one of R 2 of the aforementioned formulas (Z-1) to (Z-3) is a plurality of crosslinkable groups The compounds shown are resins obtained by cross-linking each other through the aforementioned cross-linkable groups.

又,從反應性、及成膜性之觀點,本實施形態之樹脂係以包含源自抗壞血酸或其衍生物之構成單位之聚胺基甲酸酯、聚酯、聚醚、或聚碳酸酯為佳。In addition, from the viewpoints of reactivity and film-forming properties, the resin of this embodiment is composed of polyurethane, polyester, polyether, or polycarbonate containing constituent units derived from ascorbic acid or its derivatives. good.

(聚胺基甲酸酯) 作為前述聚胺基甲酸酯,可為例如藉由下述式(X-2)所示之化合物與下述式(a-1)所示之化合物之加成聚合反應而得之聚胺基甲酸酯。(Polyurethane) The aforementioned polyurethane may be, for example, a polyamino group obtained by an addition polymerization reaction of a compound represented by the following formula (X-2) and a compound represented by the following formula (a-1) Formate.

Figure 02_image039
Figure 02_image039

(式(X-2)中,R0 係各自獨立為氫原子、解離性基或交聯性基,至少一個R0 為氫原子)。(In formula (X-2), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one R 0 is a hydrogen atom).

Figure 02_image041
Figure 02_image041

(式(a-1)中,R4 為取代或無取代之碳數1~20之2價基)。(In formula (a-1), R 4 is a substituted or unsubstituted divalent group with 1 to 20 carbon atoms).

前述式(X-2)之R0 之解離性基及交聯性基係能與前述式(X-1)之R0 中之解離性基及交聯性基相同。 The dissociable group and crosslinkable group of R 0 of the aforementioned formula (X-2) can be the same as the dissociable group and crosslinkable group of R 0 of the aforementioned formula (X-1).

前述式(a-1)之R4 ,例如,可為取代或無取代之碳數1~20之直鏈狀之伸烷基、取代或無取代之碳數3~20之分支狀之伸烷基、取代或無取代之碳數3~20之環狀之伸烷基,或,取代或無取代之碳數6~20之伸芳基。R4 係以取代或無取代之碳數1~10之直鏈狀之伸烷基、取代或無取代之碳數3~10之分支狀之伸烷基、取代或無取代之碳數3~10之環狀之伸烷基,或,取代或無取代之碳數6~12之伸芳基為佳。 R 4 of the aforementioned formula (a-1), for example, may be a substituted or unsubstituted linear alkylene group with 1 to 20 carbons, or a substituted or unsubstituted branched alkylene group with 3 to 20 carbons. Group, substituted or unsubstituted cyclic alkylene group with 3-20 carbons, or substituted or unsubstituted arylalkylene group with 6-20 carbons. R 4 is a substituted or unsubstituted linear alkylene group with 1 to 10 carbons, a substituted or unsubstituted branched alkylene group with 3 to 10 carbons, and a substituted or unsubstituted carbon number 3~ 10 cyclic alkylene groups, or substituted or unsubstituted aryl alkylene groups with 6 to 12 carbon atoms are preferred.

作為前述碳數1~20之直鏈狀之伸烷基,可舉出例如,亞甲基、伸乙基、伸丙基等。作為前述碳數3~20之分支狀之伸烷基,可舉出例如,伸異丙基等。作為前述碳數3~20之環狀之伸烷基,可舉出例如,伸環己基等。作為前述碳數6~20之伸芳基,可舉出例如,伸苯基、伸萘基等。作為取代基,可舉出如具有鹵素原子、硝基、胺基、巰基、羥基或羥基之氫原子被解離性基所取代之基等之取代基之甲基、乙基、n-丙基、i-丙基、n-丁基、i-丁基、t-丁基、環丙基、環丁基等。Examples of the linear alkylene group having 1 to 20 carbon atoms include methylene, ethylene, and propylene. Examples of the branched alkylene group having 3 to 20 carbon atoms include isopropylidene and the like. The cyclic alkylene group having 3 to 20 carbon atoms includes, for example, cyclohexylene. Examples of the arylene group having 6 to 20 carbon atoms include phenylene and naphthylene. Examples of the substituent include methyl, ethyl, n-propyl, and substituents such as a halogen atom, a nitro group, an amino group, a mercapto group, a hydroxyl group or a group in which the hydrogen atom of the hydroxyl group is substituted by a dissociable group, etc. i-propyl, n-butyl, i-butyl, t-butyl, cyclopropyl, cyclobutyl, etc.

作為前述式(a-1)之R4 ,從溶解性、及成膜性之觀點,以六亞甲基或伸苯基為佳。又,從溶解性之觀點,前述式(X-2)之R0 係以氫原子為佳。 As R 4 in the aforementioned formula (a-1), hexamethylene or phenylene is preferred from the viewpoint of solubility and film-forming properties. In addition, from the viewpoint of solubility, R 0 in the aforementioned formula (X-2) is preferably a hydrogen atom.

前述聚胺基甲酸酯之Mw係以200~6000為佳。又,前述聚胺基甲酸酯之Mw/Mn係以1.0~3.0為佳。前述聚胺基甲酸酯係可包含例如下述式(A-1)所示之構成單位。The Mw of the aforementioned polyurethane is preferably 200~6000. In addition, the Mw/Mn of the aforementioned polyurethane is preferably 1.0 to 3.0. The aforementioned polyurethane system may include, for example, a structural unit represented by the following formula (A-1).

Figure 02_image043
Figure 02_image043

(式(A-1)中,R0 係與前述式(X-2)同義,R4 係與前述式(a-1)同義)。(In formula (A-1), R 0 is synonymous with the aforementioned formula (X-2), and R 4 is synonymous with the aforementioned formula (a-1)).

從取得性之觀點,前述聚胺基甲酸酯係以包含下述式(A-5)所示之構成單位為佳。From the viewpoint of availability, the aforementioned polyurethane preferably includes a structural unit represented by the following formula (A-5).

Figure 02_image045
Figure 02_image045

(聚酯) 作為前述聚酯,可為例如藉由前述式(X-2)所示之化合物,與下述式(a-2)所示之化合物之任一者之反應而得之聚酯。(Polyester) The polyester may be, for example, a polyester obtained by reacting the compound represented by the aforementioned formula (X-2) with any one of the compounds represented by the following formula (a-2).

Figure 02_image047
Figure 02_image047

(式(a-2)中,R5 為取代或無取代之碳數1~22之2價基。X為鹵素原子)。(In formula (a-2), R 5 is a substituted or unsubstituted divalent group with 1 to 22 carbon atoms. X is a halogen atom).

前述式(a-2)之R5 可為例如取代或無取代之碳數1~22之直鏈狀之伸烷基、取代或無取代之碳數3~22之分支狀之伸烷基、取代或無取代之碳數3~22之環狀之伸烷基,或,取代或無取代之碳數6~22之伸芳基。R5 係以取代或無取代之碳數1~10之直鏈狀之伸烷基、取代或無取代之碳數3~10之分支狀之伸烷基、取代或無取代之碳數3~10之環狀之伸烷基,或,取代或無取代之碳數6~12之伸芳基為佳。 R 5 of the aforementioned formula (a-2) may be, for example, a substituted or unsubstituted linear alkylene group with carbon number of 1 to 22, a substituted or unsubstituted carbon number 3 to 22 branched alkylene group, A substituted or unsubstituted cyclic alkylene group with 3-22 carbons, or a substituted or unsubstituted arylalkylene group with 6-22 carbons. R 5 is a substituted or unsubstituted linear alkylene group with 1 to 10 carbons, a substituted or unsubstituted branched alkylene group with 3 to 10 carbons, and a substituted or unsubstituted carbon number 3~ 10 cyclic alkylene groups, or substituted or unsubstituted aryl alkylene groups with 6 to 12 carbon atoms are preferred.

作為前述碳數1~22之直鏈狀之伸烷基,可舉出例如,亞甲基、伸乙基、伸丙基等。作為前述碳數3~22之分支狀之伸烷基,可舉出例如,伸異丙基等。作為前述碳數3~22之環狀之伸烷基,可舉出例如,伸環己基等。作為前述碳數6~22之伸芳基,可舉出例如,伸苯基、伸萘基等。作為取代基,可舉出如與、前述式(a-1)之R4 中之取代基相同者。作為X,可舉出如氟原子、氯原子、溴原子、碘原子。Examples of the linear alkylene group having 1 to 22 carbon atoms include methylene, ethylene, and propylene. Examples of the branched alkylene group having 3 to 22 carbon atoms include isopropylidene and the like. Examples of the cyclic alkylene group having 3 to 22 carbon atoms include cyclohexylene and the like. Examples of the arylene group having 6 to 22 carbon atoms include phenylene and naphthylene. Examples of the substituent include the same as the substituent in R 4 of the aforementioned formula (a-1). Examples of X include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.

從溶解性、成膜性之觀點,前述式(a-2)之R5 係以六亞甲基或伸苯基為佳。又,從成膜性之觀點,前述式(X-2)之R0 係以氫原子為佳。From the viewpoint of solubility and film-forming properties, R 5 in the aforementioned formula (a-2) is preferably hexamethylene or phenylene. In addition, from the viewpoint of film-forming properties, R 0 in the aforementioned formula (X-2) is preferably a hydrogen atom.

前述聚酯之Mw係以500~6000為佳。又,前述聚酯之Mw/Mn係以1.0~3.0為佳。前述聚酯可包含例如下述式(A-2)所示之構成單位。The Mw of the aforementioned polyester is preferably 500~6000. In addition, the Mw/Mn of the aforementioned polyester is preferably 1.0 to 3.0. The aforementioned polyester may include, for example, a structural unit represented by the following formula (A-2).

Figure 02_image049
Figure 02_image049

(式(A-2)中,R0 係與前述式(X-2)同義,R5 係與前述式(a-2)同義)。(In formula (A-2), R 0 is synonymous with the aforementioned formula (X-2), and R 5 is synonymous with the aforementioned formula (a-2)).

(聚醚) 作為前述聚醚,可為例如藉由前述式(X-2)所示之化合物與下述式(a-3)所示之化合物之反應而得之聚醚。(Polyether) The polyether may be, for example, a polyether obtained by reacting a compound represented by the aforementioned formula (X-2) with a compound represented by the following formula (a-3).

Figure 02_image051
Figure 02_image051

(式(a-3)中,R6 為取代或無取代之碳數1~22之2價基,且亦可包含酮基或酯鍵。X為鹵素原子)。(In formula (a-3), R 6 is a substituted or unsubstituted divalent group with 1 to 22 carbon atoms, and may also include a ketone group or an ester bond. X is a halogen atom).

前述式(a-3)之R6 可為例如,取代或無取代之碳數1~22之直鏈狀之伸烷基、取代或無取代之碳數3~22之分支狀之伸烷基、取代或無取代之碳數3~22之環狀之伸烷基,或,取代或無取代之碳數6~22之伸芳基。R6 係以取代或無取代之碳數1~10之直鏈狀之伸烷基、取代或無取代之碳數3~10之分支狀之伸烷基、取代或無取代之碳數3~10之環狀之伸烷基,或,取代或無取代之碳數6~12之伸芳基為佳。 R 6 of the aforementioned formula (a-3) can be, for example, a substituted or unsubstituted linear alkylene group with carbon number of 1 to 22, or a substituted or unsubstituted branched alkylene group with 3 to 22 carbon atoms , A substituted or unsubstituted cyclic alkylene group with 3-22 carbons, or a substituted or unsubstituted arylalkylene group with 6-22 carbons. R 6 is a substituted or unsubstituted linear alkylene group with 1 to 10 carbons, a substituted or unsubstituted branched alkylene group with 3 to 10 carbons, and a substituted or unsubstituted carbon number 3~ 10 cyclic alkylene groups, or substituted or unsubstituted aryl alkylene groups with 6 to 12 carbon atoms are preferred.

作為前述碳數1~22之直鏈狀之伸烷基,可舉出例如,亞甲基、伸乙基、伸丙基等。作為前述碳數3~22之分支狀之伸烷基,可舉出例如,伸異丙基等。作為前述碳數3~22之環狀之伸烷基,可舉出例如,伸環己基等。作為前述碳數6~22之伸芳基,可舉出例如,伸苯基、伸萘基等。作為取代基,可舉出如與前述式(a-1)之R4 中之取代基為相同者。作為X,可舉出如氟原子、氯原子、溴原子、碘原子。Examples of the linear alkylene group having 1 to 22 carbon atoms include methylene, ethylene, and propylene. Examples of the branched alkylene group having 3 to 22 carbon atoms include isopropylidene and the like. Examples of the cyclic alkylene group having 3 to 22 carbon atoms include cyclohexylene and the like. Examples of the arylene group having 6 to 22 carbon atoms include phenylene and naphthylene. Examples of the substituent include the same as the substituent in R 4 of the aforementioned formula (a-1). Examples of X include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.

從溶解性、成膜性之觀點,前述式(a-3)之R6 係以六亞甲基或伸苯基為佳。又,從溶解性之觀點,前述式(X-2)之R0 係以氫原子為佳。From the viewpoint of solubility and film-forming properties, R 6 in the aforementioned formula (a-3) is preferably hexamethylene or phenylene. In addition, from the viewpoint of solubility, R 0 in the aforementioned formula (X-2) is preferably a hydrogen atom.

前述聚醚之Mw係以200~6000為佳。又,前述聚醚之Mw/Mn係以1.0~3.0為佳。前述聚醚可包含例如下述式(A-3)所示之構成單位。The Mw of the aforementioned polyether is preferably 200~6000. In addition, the Mw/Mn of the aforementioned polyether is preferably 1.0 to 3.0. The aforementioned polyether may include, for example, a structural unit represented by the following formula (A-3).

Figure 02_image053
Figure 02_image053

(式(A-3)中,R0 係與前述式(X-2)同義,R6 係與前述式(a-3)同義)。(In formula (A-3), R 0 is synonymous with the aforementioned formula (X-2), and R 6 is synonymous with the aforementioned formula (a-3)).

作為前述式(A-3)所示之構成單位,且R6 為包含酮基之構成單位,可舉出例如以下之式(A-3-1)所示之構成單位。As the structural unit represented by the aforementioned formula (A-3), where R 6 is a structural unit containing a ketone group, for example, the structural unit represented by the following formula (A-3-1) can be given.

Figure 02_image055
Figure 02_image055

(式(A-3-1)中,R6’ 為取代或無取代之碳數1~20之2價基。R0 係與前述式(X-2)同義)。 R6’ 可為例如,取代或無取代之碳數1~20之直鏈狀之伸烷基、取代或無取代之碳數3~20之分支狀之伸烷基、取代或無取代之碳數3~20之環狀之伸烷基,或,取代或無取代之碳數6~20之伸芳基。(In formula (A-3-1), R 6'is a substituted or unsubstituted divalent group with 1 to 20 carbon atoms. R 0 is synonymous with the aforementioned formula (X-2)). R 6'can be, for example, a substituted or unsubstituted linear alkylene group with 1 to 20 carbons, a substituted or unsubstituted branched alkylene group with 3 to 20 carbons, and a substituted or unsubstituted carbon A cyclic alkylene group of 3 to 20, or a substituted or unsubstituted aryl alkylene of 6 to 20 carbons.

作為前述式(A-3)所示之構成單位,且R6 為包含酯鍵之構成單位,可舉出例如以下之式(A-3-2)所示之構成單位。As the structural unit represented by the aforementioned formula (A-3), and R 6 is a structural unit containing an ester bond, for example, the structural unit represented by the following formula (A-3-2) can be given.

Figure 02_image057
Figure 02_image057

(式(A-3-2)中,R6” 為取代或無取代之碳數1~20之2價基。R0 係與前述式(X-2)同義)。 R6” 可為例如,取代或無取代之碳數1~20之直鏈狀之伸烷基、取代或無取代之碳數3~20之分支狀之伸烷基、取代或無取代之碳數3~20之環狀之伸烷基,或,取代或無取代之碳數6~20之伸芳基。(In the formula (A-3-2), R 6" is a substituted or unsubstituted divalent group with 1 to 20 carbon atoms. R 0 is synonymous with the aforementioned formula (X-2)). R 6" may be, for example, , Substituted or unsubstituted straight-chain alkylene with 1-20 carbons, substituted or unsubstituted branched alkylene with 3-20 carbons, substituted or unsubstituted ring with 3-20 carbons Shaped alkylene, or, substituted or unsubstituted arylalkylene with 6 to 20 carbons.

(聚碳酸酯) 作為前述聚碳酸酯,可為例如藉由前述式(X-2)所示之化合物與下述式(a-4)所示之化合物之反應而得之聚碳酸酯。(Polycarbonate) The polycarbonate may be, for example, a polycarbonate obtained by reacting a compound represented by the aforementioned formula (X-2) and a compound represented by the following formula (a-4).

Figure 02_image059
Figure 02_image059

(式(a-4)中,R7 為取代或無取代之碳數1~20之2價基。X為鹵素原子)。(In formula (a-4), R 7 is a substituted or unsubstituted divalent group with 1 to 20 carbon atoms. X is a halogen atom).

前述式(a-4)之R7 可為例如,取代或無取代之碳數1~20之直鏈狀之伸烷基、取代或無取代之碳數3~20之分支狀之伸烷基、取代或無取代之碳數3~20之環狀之伸烷基,或,取代或無取代之碳數6~20之伸芳基。R7 係以取代或無取代之碳數1~10之直鏈狀之伸烷基、取代或無取代之碳數3~10之分支狀之伸烷基、取代或無取代之碳數3~10之環狀之伸烷基,或,取代或無取代之碳數6~12之伸芳基為佳。 R 7 of the aforementioned formula (a-4) can be, for example, a substituted or unsubstituted linear alkylene group with 1 to 20 carbons, and a substituted or unsubstituted branched alkylene group with 3 to 20 carbons. , Substituted or unsubstituted cyclic alkylene groups with 3-20 carbons, or substituted or unsubstituted arylalkylene groups with 6-20 carbons. R 7 is a substituted or unsubstituted linear alkylene group with 1 to 10 carbons, a substituted or unsubstituted branched alkylene group with 3 to 10 carbons, and a substituted or unsubstituted carbon number 3~ 10 cyclic alkylene groups, or substituted or unsubstituted aryl alkylene groups with 6 to 12 carbon atoms are preferred.

作為前述碳數1~20之直鏈狀之伸烷基,可舉出例如,亞甲基、伸乙基、伸丙基。作為前述碳數3~20之分支狀之伸烷基,可舉出例如,伸異丙基等。作為前述碳數3~20之環狀之伸烷基,可舉出例如,伸環己基等。作為前述碳數6~20之伸芳基,可舉出例如,伸苯基、伸萘基等。作為取代基,可與前述式(a-1)之R4 中之取代基為相同者。作為X,可舉出如氟原子、氯原子、溴原子、碘原子。Examples of the linear alkylene group having 1 to 20 carbon atoms include a methylene group, an ethylene group, and a propylene group. Examples of the branched alkylene group having 3 to 20 carbon atoms include isopropylidene and the like. The cyclic alkylene group having 3 to 20 carbon atoms includes, for example, cyclohexylene. Examples of the arylene group having 6 to 20 carbon atoms include phenylene and naphthylene. The substituent may be the same as the substituent in R 4 of the aforementioned formula (a-1). Examples of X include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.

從溶解性、成膜性之觀點,前述式(a-4)之R7 係以六亞甲基或伸苯基為佳。又,從溶解性之觀點,前述式(X-2)之R0 係以氫原子為佳。From the viewpoint of solubility and film-forming properties, R 7 in the aforementioned formula (a-4) is preferably hexamethylene or phenylene. In addition, from the viewpoint of solubility, R 0 in the aforementioned formula (X-2) is preferably a hydrogen atom.

前述聚碳酸酯之Mw係以500~6000為佳。又,前述聚碳酸酯之Mw/Mn係以1.0~3.0為佳。前述聚碳酸酯可包含例如下述式(A-4)所示之構成單位。The Mw of the aforementioned polycarbonate is preferably 500~6000. In addition, the Mw/Mn of the aforementioned polycarbonate is preferably 1.0 to 3.0. The aforementioned polycarbonate may include, for example, a structural unit represented by the following formula (A-4).

Figure 02_image061
Figure 02_image061

(式(A-4)中,R0 係與前述式(X-2)同義,R7 係與前述式(a-4)同義)。(In formula (A-4), R 0 is synonymous with the aforementioned formula (X-2), and R 7 is synonymous with the aforementioned formula (a-4)).

[化合物或樹脂之純化方法] 本實施形態之化合物或樹脂係可藉由包含以下步驟之純化方法來進行純化。即,前述純化方法包含:使本實施形態之化合物或樹脂溶解於包含不會與水任意混溶之機溶劑之溶劑而取得溶液(A)的步驟;取得之溶液(A)與酸性水溶液接觸來萃取前述化合物或前述樹脂中之雜質的第一萃取步驟。藉由本實施形態之純化方法,即能有效地減低上述含有特定構造之化合物或樹脂中能包含作為雜質之各種金屬之含量。[Purification method of compound or resin] The compound or resin system of this embodiment can be purified by a purification method including the following steps. That is, the aforementioned purification method includes: dissolving the compound or resin of this embodiment in a solvent containing an organic solvent that is not arbitrarily miscible with water to obtain a solution (A); and contacting the obtained solution (A) with an acidic aqueous solution The first extraction step of extracting the aforementioned compound or the impurities in the aforementioned resin. With the purification method of this embodiment, the content of various metals that can be contained as impurities in the above-mentioned compound or resin with a specific structure can be effectively reduced.

使包含本實施形態之化合物或樹脂之溶液(A)所含之金屬分轉移至水相後,分離有機相與水相,而可取得金屬含量經減低之化合物或樹脂。After the metal component contained in the solution (A) containing the compound or resin of this embodiment is transferred to the water phase, the organic phase and the water phase are separated to obtain the compound or resin with reduced metal content.

本實施形態之純化方法所使用之本實施形態之化合物或樹脂係可為單獨者,亦可為混合2種以上者。又,本實施形態之化合物或樹脂亦可一同與各種界面活性劑、各種交聯劑、各種酸產生劑、各種安定劑而是用於本實施形態之純化方法。The compound or resin system of this embodiment used in the purification method of this embodiment may be singly or may be a mixture of two or more kinds. In addition, the compound or resin of this embodiment can also be used in the purification method of this embodiment together with various surfactants, various crosslinking agents, various acid generators, and various stabilizers.

本實施形態之純化方法所使用之「不會與水任意混溶之機溶劑」係意指對於水不會以任意比例均勻混合有機溶劑。作為此種有機溶劑,並無特別限定,以能安全地適用於半導體製造製程中之有機溶劑為佳,具體而言,室溫下對水之溶解度為未滿30%之有機溶劑,較佳為未滿20%,特佳係以未滿10%之有機溶劑為佳。相對於使用之本實施形態之化合物或樹脂100質量份,該有機溶劑之使用量係以1~100質量份為佳。The "organic solvent that is not arbitrarily miscible with water" used in the purification method of this embodiment means that the organic solvent will not be uniformly mixed with water in any ratio. The organic solvent is not particularly limited. It is preferably an organic solvent that can be safely used in the semiconductor manufacturing process. Specifically, an organic solvent whose solubility in water at room temperature is less than 30% is preferably Less than 20%, especially good, less than 10% organic solvent is better. The amount of the organic solvent used is preferably 1-100 parts by mass relative to 100 parts by mass of the compound or resin used in this embodiment.

作為不會與水任意混溶之機溶劑之具體例,並非係受以下所限定者,可舉出例如,二乙基醚、二異丙基醚等之醚類;乙酸乙酯、乙酸n-丁酯、乙酸異戊基酯等之酯類;甲基乙基酮、甲基異丁基酮、乙基異丁基酮、環己酮(CHN)、環戊酮、2-庚酮、2-戊酮等之酮類;乙二醇單乙基醚乙酸酯、乙二醇單丁基醚乙酸酯、丙二醇單甲基醚乙酸酯(PGMEA)、丙二醇單乙基醚乙酸酯等之二醇醚乙酸酯類;n-己烷、n-庚烷等之脂肪族烴類;甲苯、二甲苯等之芳香族烴類;二氯甲烷、氯仿等之鹵化烴類等。該等之中,以選自由甲苯、2-庚酮、環己酮、環戊酮、甲基異丁基酮、丙二醇單甲基醚乙酸酯、乙酸乙酯等所成群之1種以上之有機溶劑為佳,以甲基異丁基酮、乙酸乙酯、環己酮、丙二醇單甲基醚乙酸酯為較佳,以甲基異丁基酮、乙酸乙酯為更佳。甲基異丁基酮、乙酸乙酯等由於對於本實施形態之化合物或樹脂之飽和溶解度相對性高,且沸點相對性低,故變得能減低在工業上餾除溶劑之情況下,或藉由乾燥來除去之步驟中之負荷。該等有機溶劑係可分別單獨使用,又也可混合2種以上使用。As specific examples of organic solvents that are not arbitrarily miscible with water, they are not limited to the following, and examples include ethers such as diethyl ether and diisopropyl ether; ethyl acetate, acetic acid n- Butyl ester, isoamyl acetate, etc.; methyl ethyl ketone, methyl isobutyl ketone, ethyl isobutyl ketone, cyclohexanone (CHN), cyclopentanone, 2-heptanone, 2 -Ketones such as pentanone; ethylene glycol monoethyl ether acetate, ethylene glycol monobutyl ether acetate, propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate Glycol ether acetates, etc.; aliphatic hydrocarbons such as n-hexane and n-heptane; aromatic hydrocarbons such as toluene and xylene; halogenated hydrocarbons such as dichloromethane and chloroform. Among them, one or more selected from the group consisting of toluene, 2-heptanone, cyclohexanone, cyclopentanone, methyl isobutyl ketone, propylene glycol monomethyl ether acetate, ethyl acetate, etc. The organic solvent is preferred, methyl isobutyl ketone, ethyl acetate, cyclohexanone, and propylene glycol monomethyl ether acetate are preferred, and methyl isobutyl ketone and ethyl acetate are more preferred. Methyl isobutyl ketone, ethyl acetate, etc. have relatively high saturation solubility for the compounds or resins of this embodiment, and low boiling point relativity, so it becomes possible to reduce the industrial distillation of solvents, or use The load in the step of removing by drying. These organic solvents may be used alone, or two or more of them may be mixed and used.

作為本實施形態之純化方法所使用之“酸性水溶液”,可從使一般已知之有機系化合物或無機系化合物溶解於水而成之水溶液當中適宜選擇。酸性水溶液並非係受以下所限定者,可舉出例如,使鹽酸、硫酸、硝酸、磷酸等之礦酸溶解於水而成之礦酸水溶液,或,使乙酸、丙酸、草酸、丙二酸、琥珀酸、富馬酸、馬來酸、酒石酸、檸檬酸、甲烷磺酸、酚磺酸、p-甲苯磺酸、三氟乙酸等之有機酸溶解於水而成之有機酸水溶液。該等酸性水溶液係分別可單獨使用,又亦可組合使用2種以上。該等酸性水溶液之中,以選自由鹽酸、硫酸、硝酸及磷酸所成群之1種以上之礦酸水溶液,或,選自由乙酸、丙酸、草酸、丙二酸、琥珀酸、富馬酸、馬來酸、酒石酸、檸檬酸、甲烷磺酸、酚磺酸、p-甲苯磺酸及三氟乙酸所成群之1種以上之有機酸水溶液為佳,以硫酸、硝酸、及乙酸、草酸、酒石酸、檸檬酸等之羧酸之水溶液為較佳,以硫酸、草酸、酒石酸、檸檬酸之水溶液為更佳,以草酸之水溶液為較更佳。草酸、酒石酸、檸檬酸等之多價羧酸會配位在金屬離子上而產生螯合物效果,故認為具有能更有效果地除去金屬之傾向者。又,在此使用之水在因應本實施形態之純化方法之目的,以使用金屬含量少之水,例如離子交換水等為佳。The "acidic aqueous solution" used as the purification method of this embodiment can be suitably selected from aqueous solutions prepared by dissolving generally known organic compounds or inorganic compounds in water. The acidic aqueous solution is not limited to the following. For example, a mineral acid aqueous solution obtained by dissolving mineral acids such as hydrochloric acid, sulfuric acid, nitric acid, and phosphoric acid in water, or acetic acid, propionic acid, oxalic acid, and malonic acid , Succinic acid, fumaric acid, maleic acid, tartaric acid, citric acid, methanesulfonic acid, phenolsulfonic acid, p-toluenesulfonic acid, trifluoroacetic acid and other organic acids dissolved in water. These acidic aqueous solutions may be used alone, or two or more of them may be used in combination. Among the acidic aqueous solutions, one or more mineral acid aqueous solutions selected from the group consisting of hydrochloric acid, sulfuric acid, nitric acid and phosphoric acid, or selected from acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, and fumaric acid One or more organic acid aqueous solutions consisting of, maleic acid, tartaric acid, citric acid, methanesulfonic acid, phenolsulfonic acid, p-toluenesulfonic acid and trifluoroacetic acid are preferred. Sulfuric acid, nitric acid, acetic acid, and oxalic acid are preferred. Aqueous solutions of carboxylic acids such as tartaric acid and citric acid are preferred, aqueous solutions of sulfuric acid, oxalic acid, tartaric acid, and citric acid are more preferred, and aqueous solutions of oxalic acid are more preferred. Polyvalent carboxylic acids such as oxalic acid, tartaric acid, and citric acid coordinate with metal ions to produce a chelate effect. Therefore, it is considered that there is a tendency to remove metals more effectively. In addition, the water used here corresponds to the purpose of the purification method of this embodiment, and it is better to use water with a low metal content, such as ion exchange water.

本實施形態之純化方法所使用之酸性水溶液之pH並無特別限定,以考慮對本實施形態之化合物或樹脂之影響來調整水溶液之酸性度為佳。通常,酸性水溶液之pH範圍為0~5程度,以pH 0~3程度為佳。The pH of the acidic aqueous solution used in the purification method of this embodiment is not particularly limited, and it is better to adjust the acidity of the aqueous solution in consideration of the influence on the compound or resin of this embodiment. Generally, the pH range of the acidic aqueous solution is 0~5, preferably pH 0~3.

本實施形態之純化方法所使用之酸性水溶液之使用量並無特別限定,從減低用以去除金屬之萃取次數之觀點及考慮全體液量而確保操作性之觀點,以調整該使用量為佳。從前述觀點,相對於前述溶液(A)100質量份,酸性水溶液之使用量係以10~200質量份為佳,較佳為20~100質量份。The usage amount of the acidic aqueous solution used in the purification method of this embodiment is not particularly limited, and it is better to adjust the usage amount from the viewpoint of reducing the number of extractions for metal removal and the viewpoint of ensuring operability in consideration of the total liquid volume. From the foregoing viewpoint, the amount of the acidic aqueous solution used is preferably 10 to 200 parts by mass, preferably 20 to 100 parts by mass relative to 100 parts by mass of the aforementioned solution (A).

本實施形態之純化方法中,藉由使如前述之酸性水溶液,與包含選自本實施形態之化合物及樹脂之1種以上以及不會與水任意混溶之機溶劑的溶液(A)進行接觸,而可從溶液(A)中之前述化合物或前述樹脂萃取金屬分。In the purification method of this embodiment, the aforementioned acidic aqueous solution is brought into contact with a solution (A) containing one or more selected from the compound and resin of this embodiment and an organic solvent that is not arbitrarily miscible with water. , And the metal component can be extracted from the aforementioned compound or the aforementioned resin in the solution (A).

在包含會與水任意混溶之有機溶劑時,有可使本實施形態之化合物或樹脂之置入量增加,分液性提升,且能以高鍋效率來進行純化之傾向。添加會與水任意混溶之有機溶劑的方法並無特別限定。例如,可為預先添加於包含有機溶劑之溶液的方法、預先添加至水或酸性水溶液的方法、使包含有機溶劑之溶液與水或酸性水溶液接觸後才添加之方法之任一者。該等之中,以預先添加至包含有機溶劑之溶液的方法在操作之作業性或置入量之管理容易度之面上為佳。When an organic solvent that is arbitrarily miscible with water is included, there is a tendency that the amount of the compound or resin of this embodiment can be increased, the liquid separation property can be improved, and purification can be performed with high pot efficiency. The method of adding an organic solvent arbitrarily miscible with water is not particularly limited. For example, it may be any one of a method of pre-adding to a solution containing an organic solvent, a method of pre-adding to water or an acidic aqueous solution, and a method of adding after contacting a solution containing an organic solvent with water or an acidic aqueous solution. Among these, the method of pre-adding to the solution containing the organic solvent is preferable in terms of the workability of the operation or the ease of management of the amount of insertion.

作為本實施形態之純化方法所使用之會與水任意混溶之有機溶劑,並無特別限定,以能安全地適用於半導體製造製程中之有機溶劑為佳。會與水任意混溶之有機溶劑之使用量只係要溶液相與水相會分離之範圍,即並無特別限定,相對於本實施形態之化合物或樹脂100質量份,以0.1~100質量份為佳,以0.1~50質量份為較佳,0.1~ 20質量份為更佳。The organic solvent that is arbitrarily miscible with water used in the purification method of this embodiment is not particularly limited, and it is preferably an organic solvent that can be safely applied to the semiconductor manufacturing process. The amount of the organic solvent that is arbitrarily miscible with water is only the range within which the solution phase and the water phase can be separated, that is, it is not particularly limited. With respect to 100 parts by mass of the compound or resin of this embodiment, it is 0.1-100 parts by mass Preferably, it is preferably 0.1-50 parts by mass, more preferably 0.1-20 parts by mass.

作為本實施形態之純化方法中所使用之會與水任意混溶之有機溶劑之具體例,並非係受以下所限定者,可舉出如四氫呋喃、1,3-二氧戊烷等之醚類;甲醇、乙醇、異丙醇等之醇類;丙酮、N-甲基吡咯啶酮等之酮類;乙二醇單乙基醚、乙二醇單丁基醚、丙二醇單甲基醚(PGME)、丙二醇單乙基醚等之二醇醚類等之脂肪族烴類。該等之中,以N-甲基吡咯啶酮、丙二醇單甲基醚等為佳,以N-甲基吡咯啶酮、丙二醇單甲基醚為較佳。該等溶劑係可分別單獨使用,又亦可混合2種以上使用。Specific examples of organic solvents that are arbitrarily miscible with water used in the purification method of this embodiment are not limited to those below, but ethers such as tetrahydrofuran, 1,3-dioxolane, etc. ; Alcohols such as methanol, ethanol, isopropanol; ketones such as acetone and N-methylpyrrolidone; ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, propylene glycol monomethyl ether (PGME ), aliphatic hydrocarbons such as glycol ethers such as propylene glycol monoethyl ether. Among them, N-methylpyrrolidone and propylene glycol monomethyl ether are preferred, and N-methylpyrrolidone and propylene glycol monomethyl ether are preferred. These solvent systems may be used alone, or two or more of them may be mixed and used.

本實施形態之純化方法中,溶液(A)與酸性水溶液接觸時,即,進行萃取處理時之溫度係以20~90℃為佳,較佳為30~80℃之範圍。萃取操作並無特別限定,可藉由例如,可藉由攪拌等來良好混合溶液(A)與酸性水溶液後,靜置取得之混合溶液來進行。藉此,包含選自本實施形態之化合物及樹脂之1種以上與有機溶劑之溶液(A)中所含之金屬分轉移至水相。又,藉由本操作,溶液(A)之酸性度降低,而可抑制本實施形態之化合物或樹脂之變質。In the purification method of this embodiment, when the solution (A) is in contact with the acidic aqueous solution, that is, the temperature during the extraction treatment is preferably 20 to 90°C, preferably 30 to 80°C. The extraction operation is not particularly limited, and it can be performed by, for example, mixing the solution (A) and the acidic aqueous solution well by stirring or the like, and then standing the obtained mixed solution. Thereby, the metal component contained in the solution (A) containing one or more selected from the compound and resin of this embodiment and an organic solvent is transferred to an aqueous phase. Moreover, by this operation, the acidity of the solution (A) is reduced, and the deterioration of the compound or resin of this embodiment can be suppressed.

藉由前述混合溶液之靜置,由於會分離成包含選自本實施形態之化合物及樹脂之1種以上與有機溶劑之溶液相,與水相,故藉由傾析等而可回收包含選自本實施形態之化合物及樹脂之1種以上與有機溶劑之溶液相。靜置混合溶液之時間並無特別限定,從將包含有機溶劑之溶液相與水相之分離作成更加良好之觀點,以調整該靜置之時間為佳。通常靜置之時間為1分鐘以上,以10分鐘以上為佳,較佳為30分鐘以上。又,萃取處理係可僅進行1次亦無妨,重複進行複數次之混合、靜置、分離之操作亦為有效。By the standing of the aforementioned mixed solution, since it is separated into a solution phase containing one or more compounds and resins selected from the present embodiment and an organic solvent, and an aqueous phase, it can be recovered by decantation. One or more of the compounds and resins of this embodiment are in a solution phase with an organic solvent. The time for standing the mixed solution is not particularly limited. From the viewpoint of making the separation of the solution phase containing the organic solvent and the water phase more favorable, it is better to adjust the standing time. Usually, the standing time is 1 minute or more, preferably 10 minutes or more, and preferably 30 minutes or more. In addition, the extraction process may be performed only once, and it is effective to repeat the operations of mixing, standing, and separating multiple times.

本實施形態之純化方法中,以包含:在前述第一萃取步驟後,使包含前述化合物或前述樹脂之溶液相更與水接觸,從而萃取前述化合物或前述樹脂中之雜質的步驟(第二萃取步驟)為佳。In the purification method of this embodiment, after the first extraction step, the solution phase containing the compound or the resin is brought into contact with water to extract the impurities in the compound or the resin (second extraction Step) is better.

具體而言,例如,使用酸性水溶液進行前述萃取處理後,以將從該水溶液所萃取並回收之包含選自本實施形態之化合物及樹脂之1種以上與有機溶劑之溶液相再提供至利用水之萃取處理為佳。前述利用水之萃取處理並無特別限定,可藉由例如,藉由攪拌等而將前述溶液相與水良好混合後,藉由靜置取得之混合溶液來進行。該靜置後之混合溶液由於會分離成包含選自本實施形態之化合物及樹脂之1種以上與有機溶劑之溶液相,與水相,故藉由傾析等而可回收包含選自本實施形態之化合物及樹脂之1種以上與有機溶劑之溶液相。Specifically, for example, after the aforementioned extraction treatment is performed using an acidic aqueous solution, a solution phase containing one or more selected from the compound and resin of this embodiment and an organic solvent extracted and recovered from the aqueous solution is supplied to the utilization water The extraction treatment is better. The aforementioned extraction treatment with water is not particularly limited, and can be carried out by, for example, mixing the aforementioned solution phase with water well by stirring or the like, and then by standing still to obtain the mixed solution. The mixed solution after standing is separated into a solution phase containing one or more compounds and resins selected from this embodiment and an organic solvent, and an aqueous phase, so it can be recovered by decantation. One or more types of compounds and resins are in solution phase with organic solvents.

又,在此所使用之水在因應本實施形態之目的,以使用金屬含量少之水,例如離子交換水等為佳。萃取處理係可僅進行1次亦無妨,重複進行複數次之混合、靜置、分離之操作亦為有效。又,萃取處理中之兩者之使用比例,或溫度、時間等之條件並無特別限定,與先前之與酸性水溶液之接觸處理之情況相同亦無妨。In addition, the water used here is suitable for the purpose of this embodiment, and it is better to use water with a low metal content, such as ion exchange water. The extraction process can be performed only once, and it is also effective to repeat the operations of mixing, standing, and separating multiple times. In addition, the ratio of the two used in the extraction treatment, or conditions such as temperature and time are not particularly limited, and it does not matter if it is the same as the previous contact treatment with an acidic aqueous solution.

關於可能混入於藉此而得之包含選自本實施形態之化合物及樹脂之1種以上與有機溶劑之溶液中之水分,可藉由實施減壓蒸餾等之操作而容易去除。又,因應必要可對前述溶液添加有機溶劑,而將本實施形態之化合物或樹脂之濃度調整成任意之濃度。The water that may be mixed in the resulting solution containing one or more selected from the compound and resin of the present embodiment and an organic solvent can be easily removed by performing operations such as vacuum distillation. Furthermore, if necessary, an organic solvent can be added to the aforementioned solution, and the concentration of the compound or resin of this embodiment can be adjusted to any concentration.

從取得之包含選自本實施形態之化合物及樹脂之1種以上與有機溶劑之溶液,來分離選自本實施形態之化合物及樹脂之1種以上的方法並無特別限定,能以減壓除去、利用再沉澱之分離、及該等之組合等公知方法來進行。因應必要,可進行濃縮操作、過濾操作、離心分離操作、乾燥操作等之公知處理。The method for separating one or more selected from the compound and resin of the present embodiment from the obtained solution containing one or more selected from the compound and resin of the present embodiment and an organic solvent is not particularly limited, and can be removed under reduced pressure , Use well-known methods such as separation of reprecipitation and combinations of these. If necessary, well-known treatments such as concentration operation, filtration operation, centrifugal separation operation, and drying operation can be performed.

又,本實施形態之化合物或樹脂也可藉由包含以下步驟之純化方法來進行純化。即,藉由溶解本實施形態之化合物或樹脂,並使前述溶液通過過濾器來進行純化的方法。純化對象之溶液係也可為含有各種界面活性劑、各種交聯劑、各種酸產生劑、各種安定劑等者。In addition, the compound or resin of this embodiment can also be purified by a purification method including the following steps. That is, it is a method of purifying by dissolving the compound or resin of this embodiment, and passing the aforementioned solution through a filter. The solution to be purified may also contain various surfactants, various crosslinking agents, various acid generators, various stabilizers, and the like.

藉由前述溶液通過過濾器進行純化的方法,可使用例如國際公開第2018/159707號記載之方法。The method of purifying the aforementioned solution through a filter can be, for example, the method described in International Publication No. 2018/159707.

[組成物] 本實施形態之組成物含有本實施形態之化合物或樹脂。本實施形態之組成物可為例如微影用材料、微影用材料組成物等。[Composition] The composition of this embodiment contains the compound or resin of this embodiment. The composition of this embodiment may be, for example, a material for lithography, a material composition for lithography, or the like.

(微影用材料) 本實施形態之微影用材料含有本實施形態之化合物或樹脂。本實施形態之微影用材料係可使用於微影技術之材料,只要係含有本實施形態之化合物或樹脂,即並非係受到特別限定者,例如,可與溶劑等一同使用作為微影用材料組成物,以及使用於阻劑用途(即,阻劑組成物)等。(Material for lithography) The lithography material of this embodiment contains the compound or resin of this embodiment. The lithography material of this embodiment is a material that can be used in lithography technology. As long as it contains the compound or resin of this embodiment, it is not particularly limited. For example, it can be used as a lithography material together with a solvent. Composition, and use in resist applications (ie, resist composition), etc.

本實施形態之微影用材料由於含有本實施形態之化合物或樹脂,故為高感度,且對溶劑之溶解性。本實施形態之微影用材料可不包含溶劑。Since the lithography material of this embodiment contains the compound or resin of this embodiment, it has high sensitivity and solubility in solvents. The lithography material of this embodiment may not contain a solvent.

(微影用材料組成物) 本實施形態之微影用材料組成物包含本實施形態之微影用材料與溶劑。由於該微影用材料組成物為高感度,且本實施形態之化合物或樹脂係充分溶解於溶劑中,故能賦予良好之阻劑圖型形狀。例如,可從微影用材料組成物形成阻劑膜。(Material composition for lithography) The material composition for lithography of this embodiment includes the material for lithography of this embodiment and a solvent. Since the material composition for lithography is highly sensitive, and the compound or resin of this embodiment is fully dissolved in the solvent, it can give a good resist pattern shape. For example, a resist film can be formed from a material composition for lithography.

<微影用材料組成物之物性等> 本實施形態之微影用材料係如上述般可使用於阻劑用途,且可藉由旋轉塗佈等公知方法而形成非晶膜。又,根據所使用之顯像液之種類,而可做出區分成正型阻劑圖型及負型阻劑圖型之任一者。以下,說明關於將包含本實施形態之微影用材料之微影用材料組成物使用於阻劑用途(作為阻劑組成物)之情況。<Physical properties of material composition for lithography, etc.> The lithography material of this embodiment can be used for resist applications as described above, and an amorphous film can be formed by a known method such as spin coating. In addition, according to the type of developer used, it can be divided into any one of a positive resist pattern and a negative resist pattern. Hereinafter, the case where the lithography material composition containing the lithography material of this embodiment is used for resist applications (as a resist composition) will be described.

本實施形態之微影用材料組成物為正型阻劑圖型之情況,旋轉塗佈本實施形態之微影用材料組成物所形成之非晶膜在23℃下對顯像液之溶解速度係以5Å/sec以下為佳,以0.05~5Å/sec為較佳,以0.0005~5Å/sec為更佳。該溶解速度在5Å/sec以下時,可作成不溶於顯像液之阻劑。又具有0.0005Å/sec以上之溶解速度時,也有解像性提升的情況。此推測係由於本實施形態之化合物或樹脂在曝光前後之溶解性變化,而溶解於顯像液之曝光部,與不溶解於顯像液之未曝光部之界面對比變大所致。又具有減低線邊緣粗糙度、減低缺陷之效果。When the lithography material composition of this embodiment is a positive resist pattern, the dissolution rate of the amorphous film formed by spin coating the lithography material composition of this embodiment to the developer solution at 23°C It is preferably less than 5 Å/sec, preferably 0.05 to 5 Å/sec, and more preferably 0.0005 to 5 Å/sec. When the dissolution rate is below 5Å/sec, it can be used as a resist that is insoluble in the developer. When it has a dissolution rate of 0.0005 Å/sec or more, the resolution may be improved. This is presumably due to the change in the solubility of the compound or resin of this embodiment before and after exposure, and the contrast between the exposed part dissolved in the developer solution and the unexposed part insoluble in the developer solution becomes larger. It also has the effect of reducing the line edge roughness and reducing defects.

本實施形態之微影用材料組成物為負型阻劑圖型之情況,旋轉塗佈本實施形態之微影用材料組成物所形成之非晶膜在23℃下對顯像液之溶解速度係以10Å/sec以上為佳。該溶解速度在10Å/sec以上時,易溶於顯像液且更適合用於阻劑。又在具有10Å/sec以上之溶解速度時,也有解像性提升的情況。此推測係由於本實施形態之化合物或樹脂之微觀表面部位溶解,而減低線邊緣粗糙度所致。又具有減低缺陷之效果。前述溶解速度係可在23℃下使非晶膜以指定時間浸漬於顯像液,並藉由利用目視、橢圓偏光計或QCM法等之公知方法來測量該浸漬前後之膜厚而決定。When the lithography material composition of this embodiment has a negative resist pattern, the dissolution rate of the amorphous film formed by spin coating the lithography material composition of this embodiment to the developer solution at 23°C It is better to be above 10Å/sec. When the dissolution rate is above 10 Å/sec, it is easily soluble in the developer solution and is more suitable for use as a resist. When the dissolution rate is 10Å/sec or more, the resolution may be improved. This is presumed to be due to the dissolution of the microscopic surface parts of the compound or resin of this embodiment, which reduces the line edge roughness. It also has the effect of reducing defects. The aforementioned dissolution rate can be determined by immersing the amorphous film in the developer solution for a specified time at 23°C, and measuring the film thickness before and after the immersion by a known method such as visual observation, an ellipsometer, or the QCM method.

本實施形態之微影用材料組成物為正型阻劑圖型之情況,旋轉塗佈本實施形態之微影用材料組成物所形成之非晶膜在藉由KrF準分子雷射、極端紫外線、電子線或X線等之放射線而經曝光之部分在23℃下對顯像液之溶解速度係以10Å/sec以上為佳。該溶解速度在10Å/sec以上時,易溶於顯像液,且更適合用於阻劑。又,在具有10Å/sec以上之溶解速度時,也有解像性提升的情況。此推測係由於本實施形態之化合物或樹脂之微觀表面部位溶解,而減低線邊緣粗糙度所致。又具有減低缺陷之效果。When the material composition for lithography of this embodiment is a positive resist pattern, the amorphous film formed by spin coating the material composition for lithography of this embodiment is used by KrF excimer lasers and extreme ultraviolet rays. The dissolution rate of the exposed part of the radioactive rays such as electrons or X-rays to the developing solution at 23°C is preferably 10Å/sec or more. When the dissolution rate is above 10 Å/sec, it is easily soluble in the developer solution and is more suitable for use as a resist. In addition, when the dissolution rate is 10 Å/sec or more, the resolution may be improved. This is presumed to be due to the dissolution of the microscopic surface parts of the compound or resin of this embodiment, which reduces the line edge roughness. It also has the effect of reducing defects.

本實施形態之微影用材料組成物為負型阻劑圖型之情況,旋轉塗佈本實施形態之微影用材料組成物所形成之非晶膜在藉由KrF準分子雷射、極端紫外線、電子線或X線等之放射線而曝光之部分在23℃下對顯像液之溶解速度係以5Å/sec以下為佳,以0.05~5Å/sec為較佳,以0.0005~5Å/sec為更佳。該溶解速度在5Å/sec以下時,可作成不溶於顯像液之阻劑。又具有0.0005Å/sec以上之溶解速度時,也有解像性提升的情況。此推測係由於本實施形態之化合物或樹脂在曝光前後之溶解性變化,而溶解於顯像液之未曝光部,與不溶解於顯像液之曝光部之界面對比變大所致。又具有減低線邊緣粗糙度、減低缺陷之效果。When the material composition for lithography of this embodiment is a negative resist pattern, the amorphous film formed by spin coating the material composition for lithography of this embodiment is used by KrF excimer lasers and extreme ultraviolet rays. , Electron rays or X-rays, etc. The dissolution rate of the part exposed to the developing solution at 23℃ is preferably below 5Å/sec, preferably 0.05~5Å/sec, and 0.0005~5Å/sec Better. When the dissolution rate is below 5Å/sec, it can be used as a resist that is insoluble in the developer. When it has a dissolution rate of 0.0005 Å/sec or more, the resolution may be improved. This is presumably due to the change in the solubility of the compound or resin of this embodiment before and after exposure, and the contrast between the unexposed part dissolved in the developer solution and the exposed part insoluble in the developer solution becomes larger. It also has the effect of reducing the line edge roughness and reducing defects.

<微影用材料組成物之其他成分> 本實施形態之微影用材料組成物含有本實施形態之化合物或樹脂作為固體成分。本實施形態之微影用材料組成物除了本實施形態之化合物或樹脂以外,更含有溶劑。<Other components of material composition for lithography> The material composition for lithography of this embodiment contains the compound or resin of this embodiment as a solid component. The material composition for lithography of this embodiment contains a solvent in addition to the compound or resin of this embodiment.

本實施形態之微影用材料組成物所使用之溶劑並無特別限定,可舉出例如,乙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、乙二醇單-n-丙基醚乙酸酯、乙二醇單-n-丁基醚乙酸酯等之乙二醇單烷基醚乙酸酯類;乙二醇單甲基醚、乙二醇單乙基醚等之乙二醇單烷基醚類;丙二醇單甲基醚乙酸酯、丙二醇單乙基醚乙酸酯(PGMEA)、丙二醇單-n-丙基醚乙酸酯、丙二醇單-n-丁基醚乙酸酯等之丙二醇單烷基醚乙酸酯類;丙二醇單甲基醚(PGME)、丙二醇單乙基醚等之丙二醇單烷基醚類;乳酸甲酯、乳酸乙酯、乳酸n-丙酯、乳酸n-丁酯、乳酸n-戊酯等之乳酸酯類;乙酸甲酯、乙酸乙酯、乙酸n-丙酯、乙酸n-丁酯、乙酸n-戊酯、乙酸n-己酯、丙酸甲酯、丙酸乙酯等之脂肪族羧酸酯類;3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸甲酯、3-乙氧基丙酸乙酯、3-甲氧基-2-甲基丙酸甲酯、3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-甲氧基-3-甲基丙酸丁酯、3-甲氧基-3-甲基丁酸丁酯、乙醯乙酸甲酯、丙酮酸甲酯、丙酮酸乙酯等之其他酯類;甲苯、二甲苯等之芳香族烴類;甲基乙基酮、2-庚酮、3-庚酮、4-庚酮、環戊酮(CPN)、環己酮(CHN)等之酮類;N,N-二甲基甲醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、N-甲基吡咯啶酮等之醯胺類;γ-內酯等之內酯類等。該等之溶劑係可單獨使用或可使用2種以上。The solvent used in the material composition for lithography of this embodiment is not particularly limited, and examples include ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, and ethylene glycol monomethyl ether acetate. -n-propyl ether acetate, ethylene glycol mono-n-butyl ether acetate and other glycol monoalkyl ether acetates; ethylene glycol monomethyl ether, ethylene glycol monoethyl Ethylene glycol monoalkyl ethers such as ethers; propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate (PGMEA), propylene glycol mono-n-propyl ether acetate, propylene glycol mono-n- Propylene glycol monoalkyl ether acetates such as butyl ether acetate; propylene glycol monomethyl ether (PGME), propylene glycol monoethyl ether and other propylene glycol monoalkyl ethers; methyl lactate, ethyl lactate, lactic acid n -Lactate esters such as propyl ester, n-butyl lactate, n-pentyl lactate, etc.; methyl acetate, ethyl acetate, n-propyl acetate, n-butyl acetate, n-pentyl acetate, n-acetate Aliphatic carboxylic acid esters such as hexyl ester, methyl propionate, ethyl propionate, etc.; methyl 3-methoxypropionate, ethyl 3-methoxypropionate, methyl 3-ethoxypropionate , Ethyl 3-ethoxypropionate, methyl 3-methoxy-2-methylpropionate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl ethyl Ester, 3-methoxy-3-methylpropionate butyl ester, 3-methoxy-3-methylbutyrate butyl ester, methyl acetylacetate, methyl pyruvate, ethyl pyruvate, etc. Other esters; aromatic hydrocarbons such as toluene and xylene; methyl ethyl ketone, 2-heptanone, 3-heptanone, 4-heptanone, cyclopentanone (CPN), cyclohexanone (CHN), etc. Ketones; N,N-dimethylformamide, N-methylacetamide, N,N-dimethylacetamide, N-methylpyrrolidone and other amides; γ-in Lactones such as esters, etc. These solvents can be used alone or two or more kinds can be used.

本實施形態之微影用材料組成物所使用之溶劑係以安全溶劑為佳,較佳為選自PGMEA、PGME、CHN、CPN、2-庚酮、苯甲醚、乙酸丁酯、丙酸乙酯及乳酸乙酯之至少一種,更佳為選自PGMEA、PGME及CHN之至少一種。The solvent used in the lithography material composition of this embodiment is preferably a safe solvent, preferably selected from PGMEA, PGME, CHN, CPN, 2-heptanone, anisole, butyl acetate, ethyl propionate At least one of ester and ethyl lactate, more preferably at least one selected from PGMEA, PGME and CHN.

本實施形態之微影用材料組成物中,固體成分之量與溶劑之量的關係並無特別限定,相對於固體成分及溶劑之合計質量100質量%,以固體成分1~80質量%及溶劑20~99質量%為佳,較佳為固體成分1~50質量%及溶劑50~99質量%,更佳為固體成分2~40質量%及溶劑60~98質量%,特佳為固體成分2~10質量%及溶劑90~98質量%。In the lithography material composition of the present embodiment, the relationship between the amount of solid content and the amount of solvent is not particularly limited. The total mass of solid content and solvent is 100% by mass, and the solid content is 1 to 80% by mass and solvent. 20 to 99% by mass is preferable, solid content is preferably 1 to 50% by mass and solvent is 50 to 99% by mass, more preferably solid content is 2 to 40% by mass and solvent is 60 to 98% by mass, particularly preferably solid content 2 ~10% by mass and 90~98% by mass of solvent.

本實施形態之微影用材料組成物亦可含有選自由酸產生劑(C)、酸交聯劑(G)、酸擴散控制劑(E)及其他成分(F)所成群之至少一種作為其他固體成分。The material composition for lithography of this embodiment may also contain at least one selected from the group consisting of an acid generator (C), an acid crosslinking agent (G), an acid diffusion control agent (E), and other components (F). Other solid ingredients.

本實施形態之微影用材料組成物中,本實施形態之化合物或樹脂之含量並無特別限定,以固體成分之總質量(本實施形態之化合物或樹脂、酸產生劑(C)、酸交聯劑(G)、酸擴散控制劑(E)及其他成分(F)等之任意所使用之固體成分之總和,以下相同)之50~99.4質量%為佳,較佳為55~90質量%,更佳為60~80質量%,特佳為60~70質量%。在前述含量時,解像度更加一層提升,線邊緣粗糙度(LER)變得更小。In the lithography material composition of this embodiment, the content of the compound or resin of this embodiment is not particularly limited, and is based on the total mass of solid components (compound or resin of this embodiment, acid generator (C), acid The total amount of solid components used in any of the coupling agent (G), acid diffusion control agent (E) and other ingredients (F), the same below) is preferably 50-99.4% by mass, preferably 55-90% by mass , More preferably 60 to 80% by mass, particularly preferably 60 to 70% by mass. At the aforementioned content, the resolution is further improved, and the line edge roughness (LER) becomes smaller.

<酸產生劑(C)> 本實施形態之微影用材料組成物係包含一種以上選自可見光線、紫外線、準分子雷射、電子線、極端紫外線(EUV)、X線及離子束之任一種放射線之照射而直接或間接產生酸之酸產生劑(C)為佳。<Acid Generator (C)> The material composition for lithography of this embodiment includes more than one type of radiation selected from visible rays, ultraviolet rays, excimer lasers, electron rays, extreme ultraviolet (EUV), X-rays, and ion beams directly or indirectly. An acid generator (C) that generates acid is preferred.

於此情況,本實施形態之微影用材料組成物中,酸產生劑(C)之含量係以固體成分之總質量之0.001~ 49質量%為佳,以1~40質量%為較佳,以3~30質量%為更佳,以10~25質量%為特佳。藉由在前述含量之範圍內使用酸產生劑(C),而可取得更高感度且更低邊緣粗糙度之圖型輪廓(pattern profile)。In this case, in the lithography material composition of this embodiment, the content of the acid generator (C) is preferably 0.001 to 49% by mass of the total solid content, preferably 1 to 40% by mass. It is more preferably 3 to 30% by mass, and particularly preferably 10 to 25% by mass. By using the acid generator (C) within the aforementioned content range, a pattern profile with higher sensitivity and lower edge roughness can be obtained.

本實施形態之微影用材料組成物中,只要系統內會產生酸,則酸之產生方法並無限定。若取代g線、i線等之紫外線而改用準分子雷射,則能進行更加微細加工,且若使用電子線、極端紫外線、X線、離子束作為高能量線,則能進行更加微細加工。In the material composition for lithography of this embodiment, as long as acid is generated in the system, the method for generating acid is not limited. If you use excimer laser instead of g-ray, i-ray, etc. ultraviolet rays, you can perform more fine processing, and if you use electron beams, extreme ultraviolet rays, X-rays, and ion beams as high-energy rays, you can perform more micro processing .

前述酸產生劑(C)並無特限定,可舉出例如國際公開第2017/033943號揭示之化合物。作為酸產生劑(C),以具有芳香環之酸產生劑為佳,以含有具有芳基之磺酸離子之酸產生劑為較佳,以二苯基三甲基苯基鋶 p-甲苯磺酸鹽、三苯基鋶 p-甲苯磺酸鹽、三苯基鋶 三氟甲烷磺酸鹽、三苯基鋶 九氟甲烷磺酸鹽為特佳。藉由使用該酸產生劑,可減低線邊緣粗糙度。The aforementioned acid generator (C) is not particularly limited, and examples thereof include compounds disclosed in International Publication No. 2017/033943. As the acid generator (C), an acid generator having an aromatic ring is preferred, and an acid generator containing a sulfonic acid ion having an aryl group is preferred, and diphenyltrimethylphenylsulfonate p-toluenesulfonate is preferred. Acid salt, triphenyl sulfonium p-toluenesulfonate, triphenyl sulfonium trifluoromethanesulfonate, and triphenyl sulfonium nonafluoromethanesulfonate are particularly preferred. By using the acid generator, the line edge roughness can be reduced.

又,本實施形態之微影用材料組成物係以更含有重氮萘醌光活性化合物作為酸產生劑為佳。重氮萘醌光活性化合物為包含聚合物性及非聚合物性重氮萘醌光活性化合物之重氮萘醌物質,一般而言只要係在正型阻劑組成物中使用作為感光性成分者,即無特別限定,可任意選擇使用1種或2種以上。從低粗度及溶解性之觀點,該等之中係以非聚合物性重氮萘醌光活性化合物為佳,較佳為分子量1500以下之低分子化合物,更佳為分子量1200以下,特佳為分子量1000以下。作為此種非聚合物性重氮萘醌光活性化合物之較佳具體例,可舉出如國際公開第 2016/158881號揭示之非聚合物性重氮萘醌光活性化合物。前述酸產生劑(C)係可單獨使用或使用2種以上。In addition, the material composition for lithography of this embodiment preferably further contains a diazonaphthoquinone photoactive compound as an acid generator. The diazonaphthoquinone photoactive compound is a diazonaphthoquinone substance containing polymeric and non-polymeric diazonaphthoquinone photoactive compounds. Generally speaking, as long as it is used as a photosensitive component in a positive resist composition, that is It is not particularly limited, and one type or two or more types can be arbitrarily selected and used. From the viewpoint of low coarseness and solubility, among them, non-polymeric naphthoquinone diazide photoactive compounds are preferred, and low-molecular compounds with a molecular weight of 1500 or less are preferred, and a molecular weight of 1200 or less is more preferred, and particularly preferred is The molecular weight is below 1000. As a preferred specific example of such a non-polymeric naphthoquinone diazide photoactive compound, for example, the International Publication No. 2016/158881 disclosed the non-polymeric diazonaphthoquinone photoactive compound. The aforementioned acid generator (C) can be used singly or in two or more types.

<酸交聯劑(G)> 本實施形態之微影用材料組成物在使用作為負型阻劑材料之情況或在即使為正型阻劑材料而使用作為增強圖型強度用之添加劑之情況,以含有一種以上之酸交聯劑(G)為佳。酸交聯劑(G)係指在從酸產生劑(C)所產生之酸之存在下,能使本實施形態之化合物或樹脂進行分子內或分子間交聯之化合物。此種酸交聯劑(G)並無特別限定,可舉出例如具有能使本實施形態之化合物或樹脂之1種以上交聯性基的化合物。<Acid crosslinking agent (G)> The lithography material composition of this embodiment may contain more than one acid crosslinking when it is used as a negative resist material or when it is used as a positive resist material as an additive for enhancing pattern strength. Agent (G) is better. The acid crosslinking agent (G) refers to a compound capable of intramolecular or intermolecular crosslinking of the compound or resin of this embodiment in the presence of the acid generated from the acid generator (C). Such an acid crosslinking agent (G) is not particularly limited, and for example, a compound having one or more types of crosslinkable groups capable of making the compound or resin of the present embodiment can be used.

作為此種交聯性基之具體例,並無特別限定,可舉出例如(i)羥基(碳數1~6之烷基)、碳數1~6之烷氧基(碳數1~6之烷基)、乙醯氧基(碳數1~6之烷基)等之羥基烷基或由該等所衍生之基;(ii)甲醯基、羧基(碳數1~6之烷基)等之羰基或由該等所衍生之基;(iii)二甲基胺基甲基、二乙基胺基甲基、二羥甲基胺基甲基、二羥乙基胺基甲基、嗎啉基甲基等之含氮基含有基;(iv)環氧丙基醚基、環氧丙基酯基、環氧丙基胺基等之環氧丙基含有基;(v)苄氧基甲基、苄醯氧基甲基等之、碳數1~6之烯丙基氧基(碳數1~6之烷基)、碳數1~6之芳烷氧基(碳數1~6之烷基)等之由芳香族基所衍生之基;(vi)乙烯基、異丙烯基等之聚合性含多重鍵之基等。作為酸交聯劑(G)之交聯性基,以羥基烷基、及烷氧基烷基等為佳,尤其係以烷氧基甲基為佳。Specific examples of such a crosslinkable group are not particularly limited, and examples include (i) hydroxyl group (alkyl group having 1 to 6 carbons), alkoxy group having 1 to 6 carbons (carbon number 1 to 6 Alkyl group), hydroxyalkyl group such as acetoxy group (alkyl group with 1 to 6 carbons) or groups derived from them; (ii) methionyl group, carboxyl group (alkyl group with 1 to 6 carbons) ) And other carbonyl groups or groups derived from them; (iii) dimethylaminomethyl, diethylaminomethyl, dimethylolaminomethyl, dihydroxyethylaminomethyl, Nitrogen-containing groups such as morpholinyl methyl groups; (iv) glycidyl group-containing groups such as glycidyl ether groups, glycidyl ester groups, and glycidyl amine groups; (v) benzyloxy Benzylmethyl, benzyloxymethyl, etc., allyloxy with 1 to 6 carbons (alkyl with 1 to 6 carbons), aralkyloxy with 1 to 6 carbons (carbon 1 to 6) (6) alkyl) and other groups derived from aromatic groups; (vi) vinyl, isopropenyl and other polymerizable groups containing multiple bonds. As the crosslinkable group of the acid crosslinking agent (G), a hydroxyalkyl group, an alkoxyalkyl group, etc. are preferred, and an alkoxymethyl group is particularly preferred.

作為前述具有交聯性基之酸交聯劑(G),並無特別限定,可舉出例如(i)含羥甲基之三聚氰胺化合物、含羥甲基之苯胍胺化合物、含羥甲基之脲化合物、含羥甲基之乙炔脲化合物、含羥甲基之酚化合物等之含羥甲基之化合物;(ii)含烷氧基烷基之三聚氰胺化合物、含烷氧基烷基之苯胍胺化合物、含烷氧基烷基之脲化合物、含烷氧基烷基之乙炔脲化合物、含烷氧基烷基之酚化合物等之含烷氧基烷基之化合物;(iii)含羧基甲基之三聚氰胺化合物、含羧基甲基之苯胍胺化合物、含羧基甲基之脲化合物、含羧基甲基之乙炔脲化合物、含羧基甲基之酚化合物等之含羧基甲基之化合物;(iv)雙酚A系環氧化合物、雙酚F系環氧化合物、雙酚S系環氧化合物、酚醛樹脂系環氧化合物、甲階酚醛樹脂系環氧化合物、聚(羥基苯乙烯)系環氧化合物等之環氧化合物等。The acid crosslinking agent (G) having a crosslinkable group is not particularly limited, and examples thereof include (i) methylol-containing melamine compounds, methylol-containing benzoguanamine compounds, and methylol-containing Urea compounds, methylol-containing acetylene urea compounds, methylol-containing phenol compounds and other methylol-containing compounds; (ii) alkoxyalkyl-containing melamine compounds, alkoxyalkyl-containing benzene Alkoxyalkyl group-containing compounds such as guanamine compounds, alkoxyalkyl group-containing urea compounds, alkoxyalkyl group-containing acetylene urea compounds, alkoxyalkyl group-containing phenol compounds, etc.; (iii) carboxyl group-containing compounds Methyl melamine compounds, carboxymethyl-containing benzoguanamine compounds, carboxymethyl-containing urea compounds, carboxymethyl-containing acetylene urea compounds, carboxymethyl-containing phenol compounds, etc., such as carboxymethyl-containing compounds; iv) Bisphenol A epoxy compound, bisphenol F epoxy compound, bisphenol S epoxy compound, phenolic resin epoxy compound, resole phenolic epoxy compound, poly(hydroxystyrene) epoxy compound Oxygen compounds and other epoxy compounds.

作為酸交聯劑(G),也可使用具有酚性羥基之化合物,以及對鹼可溶性樹脂中之酸性官能基導入前述交聯性基而賦予交聯性之化合物及樹脂。此情況之交聯性基之導入率並無特別限定,相對於具有酚性羥基之化合物、及鹼可溶性樹脂中之全酸性官能基,例如,調節成5~100莫耳%,較佳調節成10~60莫耳%,更佳調節成15~40莫耳%。在前述範圍時,由於會充分引起交聯反應,而避免殘膜率降低、圖型之膨潤現象或蛇行等而為佳。As the acid crosslinking agent (G), a compound having a phenolic hydroxyl group, and a compound or resin that introduces the aforementioned crosslinkable group to the acidic functional group in the alkali-soluble resin to impart crosslinkability can also be used. In this case, the introduction rate of the crosslinkable group is not particularly limited. For the compound having a phenolic hydroxyl group and the fully acidic functional group in the alkali-soluble resin, for example, it is adjusted to 5-100 mol%, preferably adjusted to 10~60 mol%, preferably 15~40 mol%. When it is in the above range, it is better to cause a cross-linking reaction sufficiently to avoid a decrease in the residual film rate, a swelling phenomenon of the pattern, or a snake.

本實施形態之微影用材料組成物中,酸交聯劑(G)係以烷氧基烷基化脲化合物或其樹脂,或烷氧基烷基化乙炔脲化合物或其樹脂(酸交聯劑(G1));分子內具有1~6個苯環,分子內全體具有2個以上羥基烷基或烷氧基烷基,且該羥基烷基或烷氧基烷基係鍵結於前述任一苯環上之酚衍生物(酸交聯劑(G2));具有至少一個α-羥基異丙基之化合物(酸交聯劑(G3))為佳。可舉出例如,國際公開第2017/033943號揭示之化合物。In the lithography material composition of this embodiment, the acid crosslinking agent (G) is an alkoxyalkylated urea compound or its resin, or an alkoxyalkylated acetylene carbamide compound or its resin (acid crosslinking Agent (G1)); has 1 to 6 benzene rings in the molecule, and the whole molecule has 2 or more hydroxyalkyl or alkoxyalkyl groups, and the hydroxyalkyl group or alkoxyalkyl group is bonded to any of the foregoing A phenol derivative on a benzene ring (acid crosslinker (G2)); a compound with at least one α-hydroxyisopropyl group (acid crosslinker (G3)) is preferred. For example, the compounds disclosed in International Publication No. 2017/033943 can be cited.

本實施形態之微影用材料組成物中,酸交聯劑(G)之含量係以固體成分之總質量之0.5~49質量%為佳,以0.5~40質量%為佳,以1~30質量%為更佳,以2~20質量%為特佳。藉由將前述酸交聯劑(G)之含有比例作成在0.5質量%以上時,由於可使阻劑膜對鹼顯像液之溶解性之抑制效果提升,抑制殘膜率降低,產生圖型之膨潤或蛇行而為佳,另一方面,作成在49質量%以下時,由於可抑制作為阻劑之耐熱性降低而為佳。In the lithography material composition of this embodiment, the content of the acid crosslinking agent (G) is preferably 0.5 to 49 mass% of the total solid content, preferably 0.5 to 40 mass%, and 1 to 30 The mass% is more preferable, and 2-20 mass% is particularly preferable. When the content ratio of the aforementioned acid crosslinking agent (G) is made 0.5% by mass or more, the effect of inhibiting the solubility of the resist film in the alkaline developing solution can be improved, and the residual film rate can be suppressed from decreasing, resulting in a pattern. The swelling or snaking is preferable. On the other hand, when the content is 49% by mass or less, it is preferable to suppress the decrease in heat resistance as a resist.

又,選自前述酸交聯劑(G)中之前述酸交聯劑(G1)、前述酸交聯劑(G2)、前述酸交聯劑(G3)之至少1種化合物之含量也並無特別限定,可根據形成阻劑圖型時所使用之基板種類等而作成各種範圍。In addition, the content of at least one compound selected from the acid crosslinking agent (G1), the acid crosslinking agent (G2), and the acid crosslinking agent (G3) among the acid crosslinking agents (G) is also not present It is specifically limited, and various ranges can be made according to the type of substrate used when forming the resist pattern, etc.

<酸擴散控制劑(E)> 本實施形態之微影用材料組成物也可含有酸擴散控制劑(E),該酸擴散控制劑(E)係具有:控制因放射線照射而從酸產生劑所產生之酸在阻劑膜中之擴散,而阻止在未曝光區域之不佳化學反應之作用等者。藉由使用此種酸擴散控制劑(E),微影用材料組成物之儲藏安定性提升。又,解像度更加提升,並且可抑制因放射線照射前之閒置時間、放射線照射後之閒置時間之變動所造成之阻劑圖型之線寬變化,而成為製程安定性極優異者。<Acid diffusion control agent (E)> The material composition for lithography of this embodiment may also contain an acid diffusion control agent (E), which has: control of the acid generated from the acid generator due to radiation exposure in the resist film Diffusion, and prevent poor chemical reactions in unexposed areas, etc. By using this acid diffusion control agent (E), the storage stability of the material composition for lithography is improved. In addition, the resolution is further improved, and the line width change of the resist pattern caused by the change of the idle time before radiation exposure and the idle time after radiation exposure can be suppressed, and the process stability is extremely excellent.

作為此種酸擴散控制劑(E)並無特別限定,可舉出例如,含氮原子之鹼性化合物、鹼性鋶化合物、鹼性錪化合物等之放射線分解性鹼性化合物。作為酸擴散控制劑(E),可舉出例如,國際公開第2017/033943號揭示之化合物。酸擴散控制劑(E)係可單獨使用或使用2種以上。There are no particular limitations on the acid diffusion control agent (E), and examples thereof include basic compounds containing nitrogen atoms, basic compounds such as basic sulfonium compounds, basic compounds such as radioactive basic compounds such as basic chromium compounds. As the acid diffusion control agent (E), for example, the compound disclosed in International Publication No. 2017/033943 can be cited. The acid diffusion control agent (E) can be used singly or in two or more types.

酸擴散控制劑(E)之含量係以固體成分之總質量之0.001~49質量%為佳,以0.01~10質量%為較佳,以0.01~5質量%為更佳,以0.01~3質量%為特佳。酸擴散控制劑(E)之含量在前述範圍內時,可更加抑制解像度之降低、圖型形狀、尺寸忠實度等之劣化。並且,從電子線照射至放射線照射後加熱為止之閒置時間即使變長,圖型上層部之形狀仍不會劣化。又,酸擴散控制劑(E)之含量在10質量%以下時,可防止感度、未曝光部之顯像性等之降低。又藉由使用此種酸擴散控制劑,微影用材料組成物之儲藏安定性提升,解像度提升,並且可抑制因放射線照射前之閒置時間、放射線照射後之閒置時間之變動造成之阻劑圖型之線寬變化,而成為製程安定性極為優異者。The content of the acid diffusion control agent (E) is preferably 0.001~49 mass% of the total mass of the solid content, preferably 0.01~10 mass%, more preferably 0.01~5 mass%, and 0.01~3 mass% % Is particularly good. When the content of the acid diffusion control agent (E) is within the aforementioned range, it is possible to further suppress the degradation of the resolution, the pattern shape, the dimensional fidelity, and the like. In addition, even if the idle time from electron beam irradiation to heating after radiation irradiation becomes longer, the shape of the upper layer of the pattern does not deteriorate. In addition, when the content of the acid diffusion control agent (E) is 10% by mass or less, it is possible to prevent degradation of sensitivity and developability of unexposed areas. By using this acid diffusion control agent, the storage stability of the lithography material composition is improved, the resolution is improved, and the resist map caused by the change of the idle time before radiation exposure and the idle time after radiation exposure can be suppressed The line width of the model changes, and the process stability is extremely excellent.

(其他成分(F)) 本實施形態之微影用材料組成物中,在不阻礙本實施形態目的之範圍,因應必要可添加1種或2種以上之溶解促進劑、溶解控制劑、增感劑、界面活性劑及有機羧酸或磷之含氧酸或其之衍生物等之各種添加劑作為其他成分(F)。作為其他成分(F),可舉出例如,國際公開第 2017/033943號揭示之化合物。(Other ingredients (F)) In the lithography material composition of this embodiment, within the range that does not hinder the purpose of this embodiment, one or more dissolution promoters, dissolution control agents, sensitizers, surfactants, and organic can be added as necessary. Various additives such as carboxylic acid or phosphorus oxyacid or its derivatives are used as other components (F). As other ingredients (F), for example, International Publication No. The compound disclosed in No. 2017/033943.

其他成分(F)之合計含量係以固體成分之總質量之0~49質量%為佳,以0~5質量%為較佳,以0~1質量%為更佳,以0質量%為特佳。The total content of other ingredients (F) is preferably 0~49% by mass of the total solid content, preferably 0~5% by mass, more preferably 0~1% by mass, and particularly 0% by mass good.

本實施形態之微影用材料組成物中,本實施形態之化合物或樹脂、酸產生劑(C)、酸擴散控制劑(E)、其他成分(F)之含量(本實施形態之化合物或樹脂/酸產生劑(C)/酸擴散控制劑(E)/其他成分(F))在以固形物基準之質量%計,以50~99.4/0.001~49/0.001~49/0~49為佳,較佳為55~90/1~40/0.01~10/0~5,更佳為60~80/3~30/0.01~ 5/0~1,特佳為60~70/10~25/0.01~3/0。In the lithography material composition of this embodiment, the content of the compound or resin of this embodiment, acid generator (C), acid diffusion control agent (E), and other components (F) (compound or resin of this embodiment) /Acid generator (C)/Acid diffusion control agent (E)/Other ingredients (F)) are calculated in mass% based on solids, preferably 50~99.4/0.001~49/0.001~49/0~49 , Preferably 55~90/1~40/0.01~10/0~5, more preferably 60~80/3~30/0.01~ 5/0~1, particularly preferably 60~70/10~25/ 0.01~3/0.

各成分之含有比例係以該總和成為100質量%之方式來選自各範圍。作成前述含有比例時,感度、解像度、顯像性等之性能更加優異。The content ratio of each component is selected from each range so that the sum becomes 100 mass %. When the above-mentioned content ratio is set, the performances such as sensitivity, resolution, and developability are more excellent.

本實施形態之微影用材料組成物之調製方法並無特別限定,可舉出例如,在使用時使各成分溶解於溶劑而作成均勻溶液,其後,因應必要,使用例如孔徑0.2μm程度之過濾器等進行過濾的方法等。The preparation method of the material composition for lithography of this embodiment is not particularly limited. For example, it can be used to dissolve each component in a solvent to form a uniform solution, and then, if necessary, use, for example, a pore size of about 0.2 μm. Filters, etc. for filtering methods, etc.

本實施形態之微影用材料組成物在不阻礙本發明目的之範圍,可包含其他樹脂。其他樹脂並無特別限定,可舉出例如,酚醛樹脂、聚乙烯酚類、聚丙烯酸、聚乙烯醇、苯乙烯-無水馬來酸樹脂、及丙烯酸、乙烯醇,或包含乙烯酚作為單體單位之聚合物或該等衍生物等。該樹脂之含量並無特別限定,因應使用之本實施形態之化合物或樹脂之種類來適宜調節,該化合物每100質量份,以30質量份以下為佳,較佳為10質量份以下,更佳為5質量份以下,特佳為0質量份。The material composition for lithography of this embodiment may contain other resins within a range that does not hinder the purpose of the present invention. Other resins are not particularly limited, and examples include phenolic resins, polyvinylphenols, polyacrylic acid, polyvinyl alcohol, styrene-anhydrous maleic acid resin, acrylic acid, vinyl alcohol, or vinyl phenol as a monomer unit. The polymers or these derivatives, etc. The content of the resin is not particularly limited, and is appropriately adjusted according to the type of compound or resin used in this embodiment. The compound is preferably 30 parts by mass or less per 100 parts by mass, preferably 10 parts by mass or less, more preferably It is 5 parts by mass or less, particularly preferably 0 parts by mass.

[圖型形成方法] 使用微影用材料在基板上形成圖型之情況,例如,可使用包含以下步驟的圖型形成方法:使用本實施形態之微影用材料或包含此之組成物(以下,有將該等統稱為「微影用材料等」的情況)在基板上形成膜的膜形成步驟、曝光前述膜的曝光步驟,及將前述曝光步驟中經曝光之膜予以顯像而形成圖型的顯像步驟。[Pattern Formation Method] In the case of using a lithography material to form a pattern on a substrate, for example, a pattern forming method including the following steps can be used: using the lithography material of this embodiment or a composition containing the same (hereinafter, these are collectively referred to as In the case of "materials for lithography, etc.") a film formation step of forming a film on a substrate, an exposure step of exposing the aforementioned film, and a developing step of developing a pattern by developing the exposed film in the aforementioned exposure step.

例如,使用本實施形態之微影用材料等形成阻劑圖型之情況,圖型(阻劑圖型)之形成方法並無特別限定,作為適宜之方法,可舉出如包含以下步驟的方法:將包含上述微影用材料等之阻劑組成物塗佈於基板上而形成膜(阻劑膜)的膜形成步驟、曝光已形成之膜(阻劑膜)的曝光步驟,及將前述曝光步驟中經曝光之膜(阻劑膜)予以顯像而形成圖型(阻劑圖型)的顯像步驟。本實施形態之阻劑圖型係也可形成作為多層製程中之上層阻劑。For example, when the resist pattern is formed using the lithography material of this embodiment, etc., the method for forming the pattern (resist pattern) is not particularly limited. As a suitable method, for example, a method including the following steps : A film forming step of applying a resist composition containing the above-mentioned lithography material and the like on a substrate to form a film (resist film), an exposure step of exposing the formed film (resist film), and the aforementioned exposure In the step, the exposed film (resist film) is developed to form a pattern (resist pattern). The resist pattern system of this embodiment can also be formed as an upper layer resist in a multilayer process.

作為具體之形成阻劑圖型之方法,並無特別限定,可舉出例如,以下之方法。首先,在以往公知之基板上,藉由旋轉塗佈、流延塗佈、輥塗佈等之塗佈手段來塗佈前述阻劑組成物而形成阻劑膜。以往公知之基板並無特別限定,可例示例如,電子零件用之基板,於其上已形成有指定之配線圖型者等。更具體而言,並無特別限定,可舉出例如,矽晶圓、銅、鉻、鐵、鋁等之金屬製之基板,或玻璃基板等。作為配線圖型之材料,並無特別限定,可舉出例如銅、鋁、鎳、金等。又因應必要,也可為在前述基板上設置有無機系之膜或有機系之膜者。作為無機系之膜,並無特別限定,可舉出例如無機防反射膜(無機BARC)。作為有機系之膜,並無特別限定,可舉出例如有機防反射膜(有機BARC)。也可進行利用六亞甲基二矽氮烷等之表面處理。The specific method for forming the resist pattern is not particularly limited, and for example, the following methods can be mentioned. First, on a conventionally known substrate, the aforementioned resist composition is applied by coating means such as spin coating, cast coating, roll coating, and the like to form a resist film. The conventionally known substrates are not particularly limited, and examples include substrates for electronic parts, those having designated wiring patterns formed thereon, and the like. More specifically, it is not particularly limited, and examples thereof include metal substrates such as silicon wafers, copper, chromium, iron, and aluminum, or glass substrates. The material of the wiring pattern is not particularly limited, and examples include copper, aluminum, nickel, and gold. If necessary, an inorganic film or an organic film may be provided on the aforementioned substrate. It does not specifically limit as an inorganic type film, For example, an inorganic antireflection film (inorganic BARC) is mentioned. The organic film is not particularly limited, and, for example, an organic anti-reflection film (organic BARC) can be mentioned. Surface treatment using hexamethylene disilazane etc. can also be performed.

其次,因應必要加熱經塗佈之基板。加熱條件雖係根據阻劑組成物之含有組成等而不同,以20~250℃為佳,較佳為20~150℃。藉由加熱而有阻劑對基板之密著性提升的情況,故為佳。其次,藉由選自由可見光線、紫外線、準分子雷射、電子線、極端紫外線(EUV)、X線、及離子束所成群之任一種之放射線,來將阻劑膜曝光成所欲之圖型。曝光條件等係因應阻劑組成物之調配組成等來適宜選定。Second, heat the coated substrate as necessary. Although the heating conditions vary according to the content of the resist composition, etc., 20 to 250°C is preferred, and 20 to 150°C is more preferred. It is preferable that the adhesion of the resist to the substrate may be improved by heating. Secondly, the resist film is exposed as desired by radiation selected from the group of visible rays, ultraviolet rays, excimer lasers, electron rays, extreme ultraviolet (EUV), X-rays, and ion beams Pattern. The exposure conditions and the like are appropriately selected in accordance with the formulation composition of the resist composition, etc.

本實施形態之阻劑圖型之形成方法中,為了安定形成曝光之高精度微細圖型,以在放射線照射後以進行加熱為佳。加熱條件係根據阻劑組成物之調配組成等而不同,以20~250℃為佳,較佳為20~150℃。In the resist pattern forming method of this embodiment, in order to stably form a high-precision fine pattern for exposure, it is better to heat it after radiation exposure. The heating conditions vary according to the formulation composition of the resist composition, etc., preferably 20 to 250°C, preferably 20 to 150°C.

其次,藉由以顯像液來顯像經曝光之阻劑膜而形成指定之阻劑圖型。作為上述顯像液,以選擇相對於使用之本實施形態之化合物或樹脂而溶解度參數(SP值)相近之溶劑為佳,可使用如酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑、醚系溶劑等之極性溶劑、烴系溶劑或鹼水溶液。根據顯像液之種類,而可作出分成正型阻劑圖型或負型阻劑圖型,一般而言,在酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑、醚系溶劑等之極性溶劑、烴系溶劑之情況係取得負型阻劑圖型,在鹼水溶液之情況係取得正型阻劑圖型。作為酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑、醚系溶劑、烴系溶劑、鹼性水溶液,可舉出例如,國際公開第2017/033943號揭示者。Secondly, by developing the exposed resist film with a developer solution, a designated resist pattern is formed. As the above-mentioned developer, it is preferable to select a solvent with a solubility parameter (SP value) similar to that of the compound or resin used in this embodiment. For example, ketone-based solvents, ester-based solvents, alcohol-based solvents, and amide-based solvents can be used. Polar solvents such as solvents and ether solvents, hydrocarbon solvents or alkaline aqueous solutions. According to the type of developer, it can be divided into positive resist pattern or negative resist pattern. Generally speaking, in ketone solvent, ester solvent, alcohol solvent, amine solvent, ether solvent In the case of polar solvents and hydrocarbon solvents, a negative resist pattern is obtained, and in the case of an alkaline aqueous solution, a positive resist pattern is obtained. Examples of ketone-based solvents, ester-based solvents, alcohol-based solvents, amide-based solvents, ether-based solvents, hydrocarbon-based solvents, and alkaline aqueous solutions include those disclosed in International Publication No. 2017/033943.

前述溶劑係也可混合複數者,在會具有性能之範圍內,亦可與上述以外之溶劑或水混合使用。但,為了充分達成本發明之效果,以作為顯像液全體之含水率未滿70質量%為佳,以未滿50質量%為較佳,以未滿30質量%為更佳,以未滿10質量%為較更佳,以實質上不含有水分為特佳。即,有機溶劑對顯像液之含量並無特別限定,相對於顯像液之總量,以30質量%以上100質量%以下為佳,並且以50質量%以上100質量%以下為較佳,以70質量%以上100質量%以下為更佳,以90質量%以上100質量%以下為較更佳,以95質量%以上100質量%以下為特佳。The aforementioned solvents can also be mixed in plural, and can also be used in combination with solvents or water other than the above within the range of performance. However, in order to fully achieve the effect of the invention, it is preferable that the water content of the entire developer is less than 70% by mass, less than 50% by mass, more preferably less than 30% by mass, and less than 30% by mass. 10% by mass is more preferable, and it is particularly preferable that it does not substantially contain water. That is, the content of the organic solvent for the developer is not particularly limited. Relative to the total amount of the developer, it is preferably 30% by mass or more and 100% by mass or less, and more preferably 50% by mass or more and 100% by mass or less. It is more preferably 70% by mass or more and 100% by mass or less, more preferably 90% by mass or more and 100% by mass or less, and particularly preferably 95% by mass or more and 100% by mass or less.

由於會改善阻劑圖型之解像性或粗糙度等之阻劑性能,故顯像液尤其係以含有選自酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑之至少1種類溶劑之顯像液為佳。Since it will improve the resist performance such as the resolution or roughness of the resist pattern, the developer especially contains a solvent selected from the group consisting of ketone solvents, ester solvents, alcohol solvents, amide solvents, and ether solvents. A developer with at least one type of solvent is preferred.

顯像液之蒸氣壓並無特別限定,例如,在20℃下,以5kPa以下為佳,以3kPa以下為更佳,以2kPa以下為特佳。藉由將顯像液之蒸氣壓作成在5kPa以下,在顯像液之基板上或顯像杯內之蒸發受到抑制,晶圓面內之溫度均勻性提升,其結果係晶圓面內之尺寸均勻性變得優良化。作為具有此種蒸氣壓之顯像液,可舉出例如,國際公開第2017/033943號揭示之顯像液。The vapor pressure of the developer is not particularly limited. For example, at 20° C., it is preferably 5 kPa or less, more preferably 3 kPa or less, and particularly preferably 2 kPa or less. By making the vapor pressure of the developer liquid below 5kPa, evaporation on the substrate of the developer liquid or in the developer cup is suppressed, and the temperature uniformity in the wafer surface is improved. The result is the size of the wafer surface The uniformity is improved. As a developer having such a vapor pressure, for example, the developer disclosed in International Publication No. 2017/033943 can be cited.

顯像液中因應必要可適量添加界面活性劑。作為界面活性劑,並無特別限定,可使用例如,離子性或非離子性之氟系或矽系界面活性劑等。作為該等氟或矽系界面活性劑,可舉出例如,日本特開昭62-36663號公報、日本特開昭61-226746號公報、日本特開昭61-226745號公報、日本特開昭62-170950號公報、日本特開昭63-34540號公報、日本特開平7-230165號公報、日本特開平 8-62834號公報、日本特開平9-54432號公報、日本特開平9-5988號公報、美國專利第5405720號說明書、同5360692號說明書、同5529881號說明書、同5296330號說明書、同5436098號說明書、同5576143號說明書、同5294511號說明書、同5824451號說明書記載之界面活性劑,以非離子性之界面活性劑為佳。作為非離子性之界面活性劑,並無特別限定,以使用氟系界面活性劑或矽系界面活性劑為更佳。A suitable amount of surfactant can be added to the developer solution if necessary. The surfactant is not particularly limited, and, for example, ionic or nonionic fluorine-based or silicon-based surfactants can be used. Examples of such fluorine or silicon-based surfactants include, for example, Japanese Patent Application Publication No. 62-36663, Japanese Patent Application Publication No. 61-226746, Japanese Patent Application Publication No. 61-226745, Japanese Patent Application Publication No. 61-226745, and Japanese Patent Application Publication No. 62-36663. 62-170950, Japanese Patent Application Publication No. 63-34540, Japanese Patent Application Publication No. 7-230165, Japanese Patent Application Publication No. 7-230165 8-62834, Japanese Patent Application Publication No. 9-54432, Japanese Patent Application Publication No. 9-54432, Japanese Patent Application Publication No. 9-5988, U.S. Patent No. 5405720, Same 5360692, Same 5529981, Same 5296330, Same 5436098 , The surface active agents described in the same specification No. 5576143, the same specification No. 5294511, and the same specification No. 5824451 are preferably nonionic surfactants. The nonionic surfactant is not particularly limited, and it is more preferable to use a fluorine-based surfactant or a silicon-based surfactant.

相對於顯像液之總量,界面活性劑之使用量通常為0.001~5質量%,以0.005~2質量%為佳,更佳為0.01~0.5質量%。Relative to the total amount of the developer, the amount of surfactant used is usually 0.001 to 5% by mass, preferably 0.005 to 2% by mass, and more preferably 0.01 to 0.5% by mass.

作為顯像方法,可適用例如,將基板浸漬於裝滿顯像液之槽中固定時間的方法(浸漬法);藉由使顯像液藉由表面張力而浮在基板表面並靜止固定時間來顯像的方法(盛液法);對基板表面噴霧顯像液的方法(噴霧法);在以固定速度旋轉之基板上以固定速度掃描顯像液吐出噴嘴並同時吐出顯像液的方法(動態分配法)等。進行圖型顯像之時間並無特別限制,以10秒鐘~90秒鐘為佳。As a developing method, for example, a method of immersing the substrate in a tank filled with a developer liquid for a fixed period of time (dipping method); by allowing the developer liquid to float on the surface of the substrate by surface tension and stand still for a fixed period of time. The method of development (liquid filling method); the method of spraying the developer liquid on the surface of the substrate (spray method); the method of scanning the developer liquid discharge nozzle at a fixed speed on a substrate rotating at a fixed speed and simultaneously discharging the developer liquid ( Dynamic allocation method) and so on. There is no particular limitation on the time for image development, preferably 10 seconds to 90 seconds.

又,在進行顯像之步驟後,也可實施取代成其他溶劑並同時停止顯像的步驟。In addition, after performing the development step, it is also possible to perform the step of substituting another solvent and stopping the development at the same time.

以包含:在顯像後,使用包含有機溶劑之潤洗液進行洗淨的步驟為佳。It is better to include: after developing, use a rinsing solution containing an organic solvent for cleaning.

作為顯像後之潤洗步驟所用之潤洗液,只要不會溶解因交聯而硬化之阻劑圖型,即無特別限制,可使用包含一般性有機溶劑之溶液或水。作為前述潤洗液,以使用含有選自烴系溶劑、酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑之至少1種類之有機溶劑的潤洗液為佳。較佳為在顯像後,實施使用含有選自由酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑所成群之至少1種類之有機溶劑之潤洗液進行洗淨的步驟。更佳為在顯像後,實施使用含有醇系溶劑或酯系溶劑之潤洗液進行洗淨的步驟。較更佳為在顯像後,實施使用含有1價醇之潤洗液進行洗淨的步驟。特佳為在顯像後,實施使用含有碳數5以上之1價醇之潤洗液進行洗淨的步驟。進行圖型潤洗之時間並無特別限制,以10秒鐘~90秒鐘為佳。As the rinsing liquid used in the rinsing step after development, as long as it does not dissolve the resist pattern that is hardened by cross-linking, there is no particular limitation. A solution containing a general organic solvent or water can be used. As the rinsing liquid, it is preferable to use a rinsing liquid containing at least one organic solvent selected from the group consisting of hydrocarbon solvents, ketone solvents, ester solvents, alcohol solvents, amide solvents, and ether solvents. It is preferable to perform a step of washing with a rinsing solution containing at least one organic solvent selected from the group of ketone solvents, ester solvents, alcohol solvents, and amide solvents after development. More preferably, after development, a step of washing with a rinsing solution containing an alcohol-based solvent or an ester-based solvent is carried out. It is more preferable to implement a step of washing with a rinsing solution containing monovalent alcohol after development. It is particularly preferable to perform a step of washing with a rinsing solution containing a monovalent alcohol with a carbon number of 5 or more after development. There is no special restriction on the time for pattern rinse, but 10 seconds to 90 seconds is preferred.

在此,作為顯像後之潤洗步驟所使用之1價醇,並無特別限定,可舉出例如,直鏈狀、分支狀、環狀之1價醇,具體而言,可使用如1-丁醇、2-丁醇、3-甲基-1-丁醇、tert-丁基醇、1-戊醇、2-戊醇、1-己醇、4-甲基-2-戊醇、1-庚醇、1-辛醇、2-己醇、環戊醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇、4-辛醇等,作為特佳之碳數5以上之1價醇,可使用如1-己醇、2-己醇、4-甲基-2-戊醇、1-戊醇、3-甲基-1-丁醇等。Here, the monovalent alcohol used in the rinsing step after development is not particularly limited, and examples include linear, branched, and cyclic monovalent alcohols. Specifically, such as 1 -Butanol, 2-butanol, 3-methyl-1-butanol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1-heptanol, 1-octanol, 2-hexanol, cyclopentanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol, etc., As particularly preferred monovalent alcohols with 5 or more carbon atoms, 1-hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol, etc. can be used .

前述各成分係可混合複數來使用,亦可與前述以外之有機溶劑混合後使用。Each of the aforementioned components can be used by mixing a plurality of components, or can be used after being mixed with an organic solvent other than the aforementioned components.

潤洗液中之含水率係並無特別限定,以10質量%以下為佳,較佳為5質量%以下,特佳為3質量%以下。藉由將含水率作成10質量%以下,而可取得更加良好之顯像特性。The moisture content in the dampening liquid is not particularly limited, but is preferably 10% by mass or less, preferably 5% by mass or less, and particularly preferably 3% by mass or less. By setting the moisture content to 10% by mass or less, better imaging characteristics can be obtained.

顯像後所使用之潤洗液之蒸氣壓,在20℃下,以0.05kPa以上、5kPa以下為佳,以0.1kPa以上、5kPa以下為較佳,以0.12kPa以上、3kPa以下為更佳。藉由將潤洗液之蒸氣壓作成在0.05kPa以上、5kPa以下,晶圓面內之溫度均勻性更加提升,並且潤洗液之滲透所造成之膨潤更加受到抑制,而晶圓面內之尺寸均勻性更加優良化。The vapor pressure of the lotion used after development is preferably 0.05kPa or more and 5kPa or less at 20°C, preferably 0.1kPa or more and 5kPa or less, and more preferably 0.12kPa or more and 3kPa or less. By setting the vapor pressure of the dampening solution to above 0.05kPa and below 5kPa, the temperature uniformity in the wafer surface is improved, and the swelling caused by the penetration of the dampening solution is more suppressed, and the size of the wafer surface The uniformity is improved.

潤洗液中也可適量添加界面活性劑來使用。A suitable amount of surfactant can also be added to the lotion for use.

潤洗步驟中,使用前述之包含有機溶劑之潤洗液來洗淨處理已進行顯像之晶圓。洗淨處理之方法並無特別限定,可適用例如,在以固定速度旋轉之基板上持續塗出潤洗液之方法(旋轉塗佈法)、在裝滿潤洗液之槽中浸漬基板固定時間之方法(浸漬法)、對基板表面噴霧潤洗液之方法(噴霧法)等,其中亦以利用旋轉塗佈方法來進行洗淨處理,在洗淨後以2000rpm~4000rpm之旋轉數使基板進行旋轉,而從基板上去除潤洗液為佳。In the rinsing step, the aforementioned rinsing solution containing organic solvent is used to clean the developed wafer. The method of cleaning treatment is not particularly limited. For example, a method of continuously applying a rinse solution on a substrate rotating at a fixed speed (spin coating method), and immersion of the substrate in a tank filled with rinse solution for a fixed time The method (dipping method), the method of spraying the rinse liquid on the substrate surface (spray method), etc., among which the spin coating method is also used for the cleaning process. After cleaning, the substrate is processed at a rotation speed of 2000rpm to 4000rpm. It is better to rotate and remove the rinse solution from the substrate.

形成阻劑圖型後,藉由蝕刻而取得圖型配線基板。蝕刻之方法係能以使用電漿氣體之乾蝕刻及利用鹼溶液、二氯化銅溶液、三氯化鐵溶液等之濕蝕刻等公知方法來進行。After the resist pattern is formed, a patterned wiring substrate is obtained by etching. The etching method can be performed by known methods such as dry etching using plasma gas and wet etching using alkali solution, copper dichloride solution, ferric chloride solution, and the like.

形成阻劑圖型後,也可進行電鍍。作為上述電鍍法,並無特別限定,可舉出例如,鍍銅、焊料電鍍、鍍鎳、鍍金等。After forming the resist pattern, electroplating can also be carried out. The electroplating method is not particularly limited, and examples thereof include copper plating, solder plating, nickel plating, and gold plating.

蝕刻後之殘留阻劑圖型係能以有機溶劑來剝離。作為前述有機溶劑,並無特別限定,可舉出例如,PGMEA(丙二醇單甲基醚乙酸酯)、PGME(丙二醇單甲基醚)、EL(乳酸乙酯)等。作為前述剝離方法,並無特別限定,可舉出例如,浸漬方法、噴霧方式等。又,形成有阻劑圖型之配線基板係可為多層配線基板,也可具有小徑貫通孔(through hole)。The residual resist pattern after etching can be peeled off with an organic solvent. It does not specifically limit as said organic solvent, For example, PGMEA (propylene glycol monomethyl ether acetate), PGME (propylene glycol monomethyl ether), EL (ethyl lactate), etc. are mentioned. It does not specifically limit as said peeling method, For example, a dipping method, a spray method, etc. are mentioned. In addition, the wiring substrate on which the resist pattern is formed may be a multilayer wiring substrate or may have a small-diameter through hole (through hole).

本實施形態中,配線基板係也可在阻劑圖型形成後,藉由在真空中蒸鍍金屬,其後使用溶液來溶化阻劑圖型之方法,即剝離(lift off)法來形成。In this embodiment, the wiring board can also be formed by a method of evaporating a metal in a vacuum after the resist pattern is formed, and then using a solution to melt the resist pattern, that is, a lift off method.

[微影用下層膜形成用或光學物品形成用組成物] 本實施形態之微影用下層膜形成用或光學物品形成用組成物(以下,亦稱為「組成物」)包含:抗壞血酸或其衍生物,或,包含源自抗壞血酸或其衍生物之構成單位之樹脂。[Composition for forming an underlayer film for lithography or forming an optical article] The composition for forming an underlayer film for lithography or for forming an optical article (hereinafter, also referred to as "composition") of this embodiment includes: ascorbic acid or its derivatives, or includes constituent units derived from ascorbic acid or its derivatives的resin.

本實施形態之抗壞血酸或其衍生物,或,包含源自抗壞血酸或其衍生物之構成單位之樹脂由於包含羥基等之極性基,故對溶劑之溶解性為高。又,本實施形態之抗壞血酸或其衍生物,或,包含源自抗壞血酸或其衍生物之構成單位之樹脂由於溶液為低黏度,故在使用包含該等之組成物形成微影用下層膜時,可取得高平坦性之下層膜。又,本實施形態之抗壞血酸或其衍生物,或,包含源自抗壞血酸或其衍生物之構成單位之樹脂由於為非晶性,故在使用包含該等之組成物形成光學物品時,可取得高透明性之光學物品。Since the ascorbic acid or its derivatives of this embodiment, or the resin containing the structural unit derived from ascorbic acid or its derivatives, contains polar groups such as hydroxyl groups, it has high solubility in solvents. In addition, the ascorbic acid or its derivatives of this embodiment, or the resin containing constituent units derived from ascorbic acid or its derivatives, has a low viscosity in the solution. Therefore, when a composition containing these is used to form an underlayer film for lithography, A high-flatness underlayer film can be obtained. In addition, the ascorbic acid or its derivatives of the present embodiment, or the resin containing the constituent units derived from ascorbic acid or its derivatives, is amorphous. Therefore, when an optical article is formed using a composition containing these, high performance can be obtained. Transparent optical items.

尚且,本實施形態之抗壞血酸或其衍生物或樹脂之化學構造係可藉由1 H-NMR測量及IR測量來確認。又,本實施形態中,「抗壞血酸之衍生物」係指包括抗壞血酸枝開環體及其之衍生物,且包含1個或2個源自抗壞血酸之構成單位者。抗壞血酸之衍生物也可為異構物之混合物。另一方面,本實施形態中,「樹脂」係指包含3個以上源自抗壞血酸之構成單位者。Furthermore, the chemical structure of ascorbic acid or its derivatives or resins of this embodiment can be confirmed by 1 H-NMR measurement and IR measurement. In addition, in the present embodiment, "derivatives of ascorbic acid" refers to those that include ascorbic acid branch openers and derivatives thereof, and include one or two constituent units derived from ascorbic acid. Derivatives of ascorbic acid can also be a mixture of isomers. On the other hand, in the present embodiment, "resin" refers to those containing three or more constituent units derived from ascorbic acid.

作為抗壞血酸之衍生物,可舉出如,抗壞血酸之羥基之至少一個被其他取代基所取代之化合物、抗壞血酸之開環體、抗壞血酸之開環體之羥基之至少一個被其他取代基所取代之合物等。Examples of derivatives of ascorbic acid include compounds in which at least one of the hydroxyl groups of ascorbic acid is replaced by other substituents, ascorbic acid ring-openers, and compounds in which at least one of the hydroxyl groups of ascorbic acid ring-openers is replaced by other substituents. Things and so on.

作為本實施形態之組成物中之抗壞血酸之衍生物,可舉出如前述本實施形態之化合物。具體地可舉出如前述式(X-1)所示之化合物、前述式(Y)所示之化合物、前述式(Z-1)、(Z-2)、或(Z-3)所示之化合物等。作為本實施形態之組成物中之樹脂,可舉出如前述本實施形態之樹脂。As the derivative of ascorbic acid in the composition of this embodiment, the compound of this embodiment mentioned above can be mentioned. Specifically, the compound represented by the aforementioned formula (X-1), the compound represented by the aforementioned formula (Y), the aforementioned formula (Z-1), (Z-2), or (Z-3) can be mentioned The compound and so on. Examples of the resin in the composition of this embodiment include the resins of the aforementioned embodiment.

[微影用下層膜形成用組成物、微影用下層膜、及圖型形成方法] (第四實施形態) <微影用下層膜形成用組成物> 本發明之第四實施形態之微影用下層膜形成用組成物為含有:本實施形態之抗壞血酸或其衍生物或樹脂,與,含矽化合物(例如,水解性有機矽烷、其水解物或其水解縮合物)之微影用下層膜形成用組成物。本實施形態之微影用下層膜形成用組成物係可形成阻劑下層膜等之微影用下層膜,且耐熱性高,溶劑溶解性也高。因此,圖型之矩形性優異。又,能減低膜之缺陷低減(薄膜形成),密著性高,且保存安定性為良好,具有高感度及長期耐光性,且能賦予良好之阻劑圖型形狀。又,本實施形態之微影用下層膜形成用組成物可形成高平坦性之微影用下層膜。[Composition for forming underlayer film for lithography, underlayer film for lithography, and pattern forming method] (Fourth Embodiment) <Composition for forming underlayer film for lithography> The composition for forming an underlayer film for lithography of the fourth embodiment of the present invention contains: ascorbic acid or its derivatives or resins of the present embodiment, and a silicon-containing compound (for example, hydrolyzable organosilane, its hydrolysate or its Hydrolysis condensate) is a composition for forming an underlayer film for lithography. The composition for forming an underlayer film for lithography of this embodiment can form an underlayer film for lithography such as a resist underlayer film, and has high heat resistance and solvent solubility. Therefore, the rectangularity of the pattern is excellent. In addition, it can reduce film defects (thin film formation), high adhesion, good storage stability, high sensitivity and long-term light resistance, and can give good resist pattern shapes. In addition, the composition for forming an underlayer film for lithography of this embodiment can form an underlayer film for lithography with high flatness.

本實施形態之微影用下層膜形成用組成物係可適宜使用於例如在上層阻劑(光阻等)與硬遮罩或有機下層膜等之間更具備阻劑下層膜之多層阻劑法。此種多層阻劑法係例如,在基板上之有機下層膜,或隔著硬遮罩而在其上,藉由塗佈法等來形成阻劑下層膜,並於該阻劑下層膜上形成上層阻劑(例如,光阻、電子線阻劑、EUV阻劑)。其後,藉由曝光與顯像來形成阻劑圖型,使用該阻劑圖型而將阻劑下層膜予以乾蝕刻來進行圖型之轉印,且藉由蝕刻有機下層膜來轉印圖型,且藉由該有機下層膜來進行基板之加工。The composition system for forming an underlayer film for lithography of this embodiment can be suitably used, for example, in a multilayer resist method in which a resist underlayer film is further provided between an upper layer resist (photoresist, etc.) and a hard mask or an organic underlayer film. . Such a multilayer resist method is, for example, an organic underlayer film on a substrate, or a hard mask on it, a resist underlayer film is formed by a coating method, etc., and formed on the resist underlayer film Upper layer resist (for example, photoresist, electron beam resist, EUV resist). Thereafter, a resist pattern is formed by exposure and development, the resist underlayer film is dry-etched using the resist pattern to perform pattern transfer, and the pattern is transferred by etching the organic underlayer film Type, and the substrate is processed by the organic underlayer film.

即,使用本實施形態之微影用下層膜形成用組成物所形成之微影用下層膜(阻劑下層膜)不易與上層阻劑引起互混,又,由於具有耐熱性,例如,相對於鹵系(氟系)蝕刻氣體之蝕刻速度係大於使用作為遮罩之經圖型化之上層阻劑,故可取得矩形且良好之圖型。並且,使用本實施形態之微影用下層膜形成用組成物所形成之微影用下層膜(阻劑下層膜)由於對氧系蝕刻氣體之耐性為高,故在硬遮罩等設置於基材上之層之圖型化時,可機能作為良好之遮罩。尚且,本實施形態之微影用下層膜形成用組成物係也可使用於阻劑下層膜係經複數層合之態樣。於此情況,使用本實施形態之微影用下層膜形成用組成物所形成之阻劑下層膜之位置(層合在第幾層之位置)並無特別限定,可為上層阻劑之正下方,也可為位於最靠近基板側之層,亦可為被阻劑下層膜夾住之態樣。That is, the underlayer film for lithography (underlayer resist film) formed using the composition for forming the underlayer film for lithography of this embodiment is unlikely to cause intermixing with the upper layer resist, and because of its heat resistance, for example, compared to The etching speed of the halogen-based (fluorine-based) etching gas is higher than that of the patterned upper layer resist used as a mask, so a rectangular and good pattern can be obtained. In addition, the underlayer film for lithography (underlayer resist film) formed by using the composition for forming an underlayer film for lithography of this embodiment has high resistance to oxygen-based etching gas, so it is provided on the substrate such as a hard mask. When patterning the layer on the material, it can function as a good mask. Furthermore, the composition system for forming an underlayer film for lithography of this embodiment can also be used in a state where a resist underlayer film is laminated in plural. In this case, the position of the lower resist film formed by using the composition for forming the lower layer film for lithography of this embodiment (the position of the layer to be laminated) is not particularly limited, and may be directly below the upper resist It can also be the layer closest to the substrate, or it can be sandwiched by the resist underlayer film.

為了形成微細圖型並且防止圖型倒塌,有使阻劑之膜厚變薄之傾向。由於阻劑之薄膜化而為了將圖型轉印至存在其下層之膜上的乾蝕刻,若蝕刻速度沒有高於上層之膜,則無法進行圖型轉印。本實施形態係可在基板上隔著有機下層膜,並以本實施形態之阻劑下層膜(矽系化合物含有)來被覆其上方,且更以阻劑膜(有機阻劑膜)來被覆在其上方。有機系成分之膜予無機系成分之膜係會根據蝕刻氣體之選擇而乾蝕刻速度大幅不同,有機系成分之膜在氧系氣體下乾蝕刻速度變高,而無機系成分之膜在含鹵素氣體下乾蝕刻速度變高。In order to form a fine pattern and prevent the pattern from collapsing, there is a tendency to make the film thickness of the resist thinner. For dry etching to transfer the pattern to the film on the lower layer due to the thinning of the resist, if the etching speed is not higher than the film on the upper layer, the pattern transfer cannot be performed. In this embodiment, an organic underlayer film can be interposed on the substrate, and the resist underlayer film (containing a silicon compound) of this embodiment can be used to cover the upper part, and a resist film (organic resist film) can be used to cover Above it. The dry etching speed of the film of organic components and the film of inorganic components is greatly different according to the choice of etching gas. The dry etching speed of the film of organic components becomes higher under oxygen gas, while the film of inorganic components contains halogen. The dry etching rate becomes higher under gas.

例如,可使用經圖型轉印之阻劑下層膜,使用氧系氣體來乾蝕刻該下層之有機下層膜,並對有機下層膜進行圖型轉印,以該經圖型轉印之有機下層膜,使用含鹵素氣體進行基板加工。使用本實施形態之微影用下層膜形成用組成物所形成之微影用下層膜(阻劑下層膜)由於密著性也良好,故也可抑制轉印圖型之倒塌。For example, a pattern-transferred resist underlayer film can be used, an oxygen-based gas is used to dry-etch the underlying organic underlayer film, and the organic underlayer film can be pattern-transferred to use the pattern-transferred organic underlayer Film, use halogen-containing gas for substrate processing. The underlayer film for lithography (underlayer film for resist) formed using the composition for forming an underlayer film for lithography of this embodiment also has good adhesion, so that the collapse of the transfer pattern can also be suppressed.

又,由本實施形態之微影用下層膜形成用組成物而得之阻劑下層膜藉由包含對於活性光線之吸收能力優異之本實施形態之抗壞血酸或其衍生物或樹脂,與含矽化合物(例如,水解性有機矽烷、其水解物或其水解縮合物),上層阻劑之感度提升,不會引起與上層阻劑之互混,曝光及顯像後之阻劑下膜形成膜之圖型形狀成為矩形。藉此,而變得能進行利用微細圖型之基板加工。In addition, the resist underlayer film obtained from the composition for forming the underlayer film for lithography of this embodiment contains the ascorbic acid or its derivative or resin of this embodiment, which is excellent in absorbing active light, and a silicon-containing compound ( For example, hydrolyzable organosilane, its hydrolyzate or its hydrolysis condensate), the sensitivity of the upper layer resist is increased, and it will not cause intermixing with the upper layer resist, and the lower film of the resist after exposure and development will form a pattern of the film The shape becomes a rectangle. With this, it becomes possible to perform substrate processing using fine patterns.

又,由本實施形態之微影用下層膜形成用組成物而得之阻劑下層膜由於具有高耐熱性,故即使在高溫烘烤條件下也能使用。並且,由於為相對性低分子量且低黏度,故即使為具有段差之基板(尤其,微細之線間距或孔圖型等),仍能容易均勻地填充至該段差之各個角落為止,其結果係有平坦化性或埋入特性相對性有利提高之傾向。In addition, since the resist underlayer film obtained from the composition for forming an underlayer film for lithography of this embodiment has high heat resistance, it can be used even under high-temperature baking conditions. In addition, due to its relatively low molecular weight and low viscosity, even a substrate with a step (especially fine line pitch or hole pattern, etc.) can be easily and uniformly filled to every corner of the step. The result is There is a tendency to improve the relative flatness or embedding characteristics.

前述微影用下層膜形成用組成物除了包含本實施形態之抗壞血酸或其衍生物或樹脂,與含矽化合物之外,也可更包含溶劑、酸、酸交聯劑等。並且,可包含有機聚合物化合物、酸產生劑及界面活性劑、其他、水、醇、及硬化觸媒等作為任意成為。從塗佈性及品質安定性之觀點,微影用下層膜形成用組成物中之本實施形態之抗壞血酸或其衍生物或樹脂之含量係以0.1~70質量%為佳,以0.5~50質量%為較佳,以3.0~40質量%為特佳。The aforementioned composition for forming an underlayer film for lithography may further include a solvent, an acid, an acid crosslinking agent, etc., in addition to the ascorbic acid or its derivative or resin of this embodiment, and a silicon-containing compound. In addition, an organic polymer compound, an acid generator, a surfactant, others, water, alcohol, and a curing catalyst may be included as optional materials. From the viewpoint of coatability and quality stability, the content of ascorbic acid or its derivatives or resin in this embodiment in the composition for forming an underlayer film for lithography is preferably 0.1 to 70% by mass, and 0.5 to 50% by mass % Is preferred, and 3.0-40% by mass is particularly preferred.

-溶劑- 作為本實施形態中使用之溶劑,只要係至少會溶解本實施形態之抗壞血酸或其衍生物或樹脂者,就可適宜使用公知者。可舉出例如,國際公開第2017/188450號揭示之微影用下層膜形成用組成物所能包含之溶劑。-Solvent- As the solvent used in this embodiment, any known solvent can be suitably used as long as it can dissolve at least ascorbic acid or its derivative or resin of this embodiment. For example, the solvent contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450 may be mentioned.

溶劑之含量並無特別限定,從溶解性及製膜上之觀點,相對於前述微影用下層膜形成用組成物之全固體成分100質量份,以100~10,000質量份為佳,以200~ 8,000質量份為較佳,以200~5,000質量份為更佳。The content of the solvent is not particularly limited. From the viewpoint of solubility and film formation, it is preferably 100 to 10,000 parts by mass, preferably 200 to 100 parts by mass relative to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. 8,000 parts by mass is preferable, and 200 to 5,000 parts by mass is more preferable.

-酸- 從促進硬化性之觀點,前述微影用下層膜形成用組成物可包含酸。作為前述酸,可舉出例如,氫氟酸、鹽酸、溴氫酸、硫酸、硝酸、過氯酸、磷酸、甲烷磺酸、苯磺酸、甲苯碸等。-acid- From the viewpoint of promoting curability, the aforementioned composition for forming an underlayer film for lithography may contain an acid. Examples of the aforementioned acid include hydrofluoric acid, hydrochloric acid, hydrobromic acid, sulfuric acid, nitric acid, perchloric acid, phosphoric acid, methanesulfonic acid, benzenesulfonic acid, and toluene.

酸之含量並無特別限定,從溶解性或塗膜之形狀安定性之觀點,相對於前述微影用下層膜形成用組成物之全固體成分100質量份,以0.001~20質量份為佳,0.005~10質量份為較佳,0.01~5質量份為更佳。The content of the acid is not particularly limited. From the viewpoint of solubility or shape stability of the coating film, it is preferably 0.001 to 20 parts by mass relative to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. 0.005 to 10 parts by mass is preferable, and 0.01 to 5 parts by mass is more preferable.

-酸交聯劑- 前述微影用下層膜形成用組成物在使用作為負型阻劑材料之情況,或,即使為正型阻劑材料而使用作為增加圖型強度用之添加劑之情況,可包含一種以上之酸交聯劑。酸交聯劑係指在上述之酸之存在下,能使本實施形態之抗壞血酸或其衍生物或樹脂在分子內或分子間進行交聯之化合物。此種酸交聯劑並無特別限定,可舉出例如具有可使本實施形態之抗壞血酸或其衍生物或樹脂進行交之1種以上之基(以下,稱為「交聯性基」)的化合物。可舉出例如,國際公開第2017/188450號揭示之微影用下層膜形成用組成物所能包含之酸交聯劑。又,例如,也可舉出國際公開WO2013/024779號所記載者為前述酸交聯劑之具體例。-Acid crosslinking agent- When the composition for forming an underlayer film for lithography is used as a negative type resist material, or even if it is a positive type resist material and used as an additive for increasing the pattern strength, it may contain more than one acid Coupling agent. The acid cross-linking agent refers to a compound that can cross-link the ascorbic acid or its derivatives or resins in the present embodiment within or between molecules in the presence of the above-mentioned acid. The acid crosslinking agent is not particularly limited, and examples include those having at least one group (hereinafter, referred to as "crosslinkable group") that allows the ascorbic acid or its derivatives or resins of this embodiment to be crosslinked. Compound. For example, an acid crosslinking agent that can be contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450 can be mentioned. In addition, for example, the specific example of the acid crosslinking agent described in International Publication WO2013/024779 can also be cited.

酸交聯劑之含量並無特別限定,從溶解性或塗膜形狀安定性之觀點,相對於前述微影用下層膜形成用組成物之全固體成分100質量份,以0.01~30質量份為佳,0.05~20質量份為較佳,0.1~10質量份為更佳。The content of the acid crosslinking agent is not particularly limited. From the viewpoint of solubility or coating shape stability, 0.01-30 parts by mass relative to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography Preferably, 0.05 to 20 parts by mass is preferable, and 0.1 to 10 parts by mass is more preferable.

-含矽化合物- 前述微影用下層膜形成用組成物包含本實施形態之抗壞血酸或其衍生物或樹脂以及含矽化合物。作為前述含矽化合物,可為有機含矽化合物或無機含矽化合物之任一者,以有機含矽化合物為佳。作為前述無機含矽化合物,可舉出例如,能以低溫下之塗佈方式進行成膜之矽氧化物、矽氮化物、由矽氧化氮化物所構成之聚矽氮烷化合物等。又,作為前述有機含矽化合物,可舉出例如,聚倍半矽氧烷基質之化合物,或水解性有機矽烷、其水解物或其水解縮合物。關於前述聚倍半矽氧烷基質之具體性材料,並非係受以下所限定者,可使用例如,日本特開 2007-226170號公報、日本特開2007-226204號公報中記載者。又,作為前述水解性有機矽烷、其水解物、或其水解縮合物,可包含:選自由下述式(D1)之水解性有機矽烷及下述式(D2)所成群之至少1種水解性有機矽烷、該等之水解物、或該等之水解縮合物(以下,有將該等單稱為「選自由式(D1)及式(D2)所成群之至少1種有機矽化合物」的情況)。前述微影用下層膜形成用組成物在包含選自由式(D1)及式(D2)所成群之至少1種有機矽化合物時,藉由調整硬化條件,而容易控制Si-O鍵,在成本上亦為有利,且適宜有機系成分之導入。因此,使用微影用下層膜形成用組成物係包含選自由式(D1)及式(D2)所成群之至少1種有機矽化合物之前述微影用下層膜形成用組成物所形成之層係可有用作為阻劑層之中間層(上層阻劑層,與設置於基材上之有機下層膜之間之層)。-Silicon-containing compound- The composition for forming an underlayer film for lithography includes the ascorbic acid or its derivative or resin of this embodiment and a silicon-containing compound. As the aforementioned silicon-containing compound, either an organic silicon-containing compound or an inorganic silicon-containing compound may be used, and an organic silicon-containing compound is preferred. As the aforementioned inorganic silicon-containing compound, for example, silicon oxide, silicon nitride, and polysilazane compound composed of silicon oxynitride, which can be formed into a film by a coating method at a low temperature, can be cited. In addition, as the aforementioned organosilicon-containing compound, for example, a polysilsesquioxane-based compound, or a hydrolyzable organosilane, its hydrolyzate, or its hydrolyzed condensate can be mentioned. Regarding the specific material of the aforementioned polysilsesquioxane-based material, it is not limited to the following. For example, Japanese Patent Application Publications can be used. Those described in 2007-226170 and Japanese Patent Application Publication No. 2007-226204. In addition, as the aforementioned hydrolyzable organosilane, its hydrolyzate, or its hydrolyzed condensate, it may include: hydrolyzable organosilane selected from the following formula (D1) and at least one hydrolyzed group of the following formula (D2) Organic silanes, these hydrolysates, or these hydrolysis condensates (hereinafter, these are referred to as "at least one organosilicon compound selected from the group consisting of formula (D1) and formula (D2)" Case). When the aforementioned composition for forming an underlayer film for lithography contains at least one organosilicon compound selected from the group consisting of formula (D1) and formula (D2), the Si-O bond can be easily controlled by adjusting the curing conditions. It is also advantageous in cost and suitable for the introduction of organic components. Therefore, a layer formed using the composition for forming an underlayer film for lithography, which includes at least one organosilicon compound selected from the group consisting of formula (D1) and formula (D2), is formed from the composition for forming an underlayer film for lithography. It is useful as an intermediate layer of the resist layer (a layer between the upper resist layer and the organic lower layer film provided on the substrate).

Figure 02_image063
(式(D1)中,R3 為具有烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、環氧基、丙烯醯基、甲基丙烯醯基、巰基、烷氧基芳基、醯氧基芳基、異三聚氰酸酯基、羥基、環狀胺基、或氰基之“有機基”;或,該等之組合,且係藉由Si-C鍵而與矽原子鍵結者,R4 表示烷氧基、醯氧基或鹵素基,a表示0~3之整數)。
Figure 02_image063
(In formula (D1), R 3 is an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group, an epoxy group, an acryloyl group, and a methacryloyl group. , Mercapto, alkoxy aryl, acyloxy aryl, isocyanurate, hydroxyl, cyclic amine, or cyano "organic group"; or, a combination of these, and by Where Si-C bonds are bonded to a silicon atom, R 4 represents an alkoxy group, an acyloxy group or a halogen group, and a represents an integer of 0 to 3).

Figure 02_image065
(式(D2)中,R5 表示烷基,R6 表示烷氧基、醯氧基或鹵素基,Y表示伸烷基或伸芳基,b表示0或1之整數,c表示0或1之整數)。
Figure 02_image065
(In formula (D2), R 5 represents an alkyl group, R 6 represents an alkoxy group, an acyloxy group or a halogen group, Y represents an alkylene group or an aryl group, b represents an integer of 0 or 1, and c represents 0 or 1. Integer).

前述微影用下層膜形成用組成物中,本實施形態之抗壞血酸或其衍生物或樹脂與含矽化合物(例如,選自由式(D1)及式(D2)所成群之至少1種有機矽化合物)之比例係能以莫耳比計1:2~1:200之範圍內使用。為了取得良好之阻劑形狀,例如,能以前述莫耳比計在1:2~1:100之範圍內使用。選自由式(D1)及式(D2)所成群之至少1種有機矽化合物係以作為水解縮合物(聚有機矽氧烷之聚合物)來使用為佳。In the aforementioned composition for forming an underlayer film for lithography, ascorbic acid or its derivatives or resins and silicon-containing compounds of this embodiment (for example, at least one organosilicon selected from the group consisting of formula (D1) and formula (D2) The ratio of compound) can be used within the range of 1:2~1:200 in molar ratio. In order to obtain a good resist shape, for example, it can be used in the range of 1:2 to 1:100 in terms of the aforementioned molar ratio. At least one organosilicon compound selected from the group consisting of formula (D1) and formula (D2) is preferably used as a hydrolysis condensate (polyorganosiloxane polymer).

式(D1)所示之水解性有機矽烷中之R3 為具有烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、環氧基、丙烯醯基、甲基丙烯醯基、巰基、烷氧基芳基、醯氧基芳基、異三聚氰酸酯基、羥基、環狀胺基、或氰基之“有機基”,或,該等之組合,且係藉由Si-C鍵而與矽原子鍵結者,R4 表示烷氧基、醯氧基、或鹵素基,a表示0~3之整數。 R 3 in the hydrolyzable organosilane represented by formula (D1) has an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group, an epoxy group, and an acryloyl group , Methacrylic acid group, mercapto group, alkoxy aryl group, acyloxy aryl group, isocyanurate group, hydroxyl group, cyclic amine group, or "organic group" of cyano group, or, of these Combinations are those bonded to silicon atoms through Si-C bonds, R 4 represents an alkoxy group, an acyloxy group, or a halogen group, and a represents an integer from 0 to 3.

式(D2)之水解性有機矽烷之R5 表示烷基,R6 表示烷氧基、醯氧基、或鹵素基,Y表示伸烷基或伸芳基,b表示0或1之整數,c表示0或1之整數。In the hydrolyzable organosilane of formula (D2), R 5 represents an alkyl group, R 6 represents an alkoxy group, an acyloxy group, or a halogen group, Y represents an alkylene group or an aryl group, b represents an integer of 0 or 1, and c Represents an integer of 0 or 1.

作為式(D1)及式(D2)所示之水解性有機矽烷,可舉出例如,國際公開第2017/188450號揭示之微影用下層膜形成用組成物所能包含之水解性有機矽烷。As the hydrolyzable organosilane represented by the formula (D1) and the formula (D2), for example, the hydrolyzable organosilane that can be contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450.

本實施形態中,可不使本實施形態之抗壞血酸或其衍生物或樹脂,與水解性有機矽烷等反應而作成混合體來形成膜,也可使微影用下層膜形成用組成物中之本實施形態之抗壞血酸或其衍生物或樹脂,與上述之水解性有機矽烷等,使用選自無機酸、脂肪族磺酸及芳香族磺酸之一種以上之化合物作為酸觸媒來進行水解縮合。In this embodiment, the ascorbic acid or its derivatives or resins of this embodiment may not be reacted with hydrolyzable organosilanes and the like to form a mixture to form a film, or this embodiment of the composition for forming an underlayer film for lithography may be used The form of ascorbic acid or its derivatives or resins, and the above-mentioned hydrolyzable organosilanes, etc., use one or more compounds selected from inorganic acids, aliphatic sulfonic acids and aromatic sulfonic acids as acid catalysts for hydrolytic condensation.

此時所使用之酸觸媒係可舉出如氫氟酸、鹽酸、溴氫酸、硫酸、硝酸、過氯酸、磷酸、甲烷磺酸、苯磺酸、甲苯磺酸等。相對於單體(本實施形態之抗壞血酸或其衍生物或樹脂與水解性有機矽烷等之總量)1莫耳,觸媒之使用量係以10-6 ~10莫耳為佳,較佳為10-5 ~5莫耳,更佳為10-4 ~1莫耳。The acid catalyst system used at this time can include, for example, hydrofluoric acid, hydrochloric acid, hydrobromic acid, sulfuric acid, nitric acid, perchloric acid, phosphoric acid, methanesulfonic acid, benzenesulfonic acid, toluenesulfonic acid, and the like. Relative to the monomer (the total amount of ascorbic acid or its derivatives or resin and hydrolyzable organosilane, etc. in this embodiment) 1 mol, the amount of catalyst used is preferably 10 -6 to 10 mol, preferably 10 -5 ~5 mol, more preferably 10 -4 ~1 mol.

使該等單體進行水解縮合時之水量係以鍵結於單體(本實施形態之抗壞血酸或其衍生物或樹脂及水解性有機矽烷等)之水解性取代基每1莫耳添加0.01~100莫耳為佳,較佳添加0.05~50莫耳,更佳添加0.1~30莫耳為佳。若添加100莫耳以下,由於使用於反應之裝置不會變得過大,故為經濟性。The amount of water when the monomers are hydrolyzed and condensed is based on the hydrolyzable substituents bonded to the monomers (ascorbic acid or its derivatives or resins and hydrolyzable organosilanes in this embodiment) per 1 mol. 0.01~100 Molar is better, preferably 0.05-50 mol, more preferably 0.1-30 mol. If it is added 100 mol or less, the device used for the reaction does not become too large, so it is economical.

作為操作方法,例如,對觸媒水溶液添加單體來開始水解縮合反應。此時,可對觸媒水溶液添加有機溶劑,也可預先以有機溶劑來稀釋單體,亦可進行雙方。反應溫度係以0~100℃為佳,較佳為40~100℃。以在滴下單體時,保持在5~80℃之溫度,其後以40~100℃使其熟成之方法為佳。As an operation method, for example, a monomer is added to the aqueous catalyst solution to start the hydrolysis condensation reaction. At this time, an organic solvent may be added to the aqueous catalyst solution, or the monomer may be diluted with an organic solvent in advance, or both may be performed. The reaction temperature is preferably 0-100°C, preferably 40-100°C. It is better to keep the temperature at 5~80℃ when dropping the monomer, and then ripen it at 40~100℃.

作為可添加至觸媒水溶液,或可將單體稀釋之有機溶劑,可舉出例如,國際公開第2017/188450號揭示之有機溶劑。As an organic solvent that can be added to the catalyst aqueous solution or can dilute the monomer, for example, the organic solvent disclosed in International Publication No. 2017/188450 can be cited.

尚且,相對於單體(本實施形態之抗壞血酸或其衍生物或樹脂與水解性有機矽烷等之總量)1莫耳,有機溶劑之使用量係以0~1,000ml為佳,尤其係以0~500ml為佳。有機溶劑之使用量若在1,000ml以下,由於反應容器不會變得過大,故為經濟性。Moreover, relative to the monomer (the total amount of ascorbic acid or its derivatives or resin and hydrolyzable organosilane, etc. in this embodiment) 1 mol, the amount of organic solvent used is preferably 0~1,000ml, especially 0 ~500ml is better. If the amount of organic solvent used is less than 1,000ml, the reaction vessel will not become too large, so it is economical.

其後,若有必要,進行觸媒之中和反應,減壓去除水解縮合反應所生成之醇,而取得反應混合物水溶液。此時,相對於觸媒所使用之酸,可使用於中和之鹼性物質之量係以0.1~2當量為佳。此時鹼性物質只要係在水中顯示鹼性者,可為任意之物質。After that, if necessary, a catalyst neutralization reaction is performed, and the alcohol produced by the hydrolysis condensation reaction is removed under reduced pressure to obtain an aqueous solution of the reaction mixture. At this time, relative to the acid used in the catalyst, the amount of the alkaline substance that can be used for neutralization is preferably 0.1 to 2 equivalents. At this time, the alkaline substance can be any substance as long as it shows alkalinity in the water.

接著,以從反應混合物去除因水解縮合反應所生成之醇等之副產物。此時,加熱反應混合物之溫度係根據所添加之有機溶劑與因反應所產生之醇等之種類而不同,以0~100℃為佳,較佳為10~90℃,更佳為15~80℃。又此時之減壓度係根據應去除之有機溶劑及醇等之種類、排氣裝置、凝縮裝置及加熱溫度而不同,以在大氣壓以下為佳,較佳為絕對壓力80kPa以下,更佳為絕對壓力50kPa以下。此時難以得知被去除之醇量,但以去除生成之醇等之約80質量%以上為理想。Next, to remove by-products such as alcohols generated by the hydrolysis condensation reaction from the reaction mixture. At this time, the temperature for heating the reaction mixture varies according to the types of organic solvents added and alcohols produced by the reaction, etc., preferably 0~100°C, preferably 10~90°C, more preferably 15~80 ℃. At this time, the degree of decompression depends on the type of organic solvent and alcohol to be removed, exhaust device, condensation device, and heating temperature. It is preferably below atmospheric pressure, preferably below 80kPa absolute pressure, and more preferably The absolute pressure is below 50kPa. At this time, it is difficult to know the amount of alcohol removed, but it is desirable to remove about 80% by mass or more of the generated alcohol.

其次,也可從反應混合物去除水解縮合所使用之酸觸媒。作為去除酸觸媒之方法,可例示如混合水與反應混合物,並以有機溶劑來萃取生成物的方法。作為此時使用之有機溶劑,以能溶解生成物,且在與水混合時會分離成2層者為佳。可舉出例如,國際公開第2017/188450號揭示之有機溶劑。Secondly, the acid catalyst used in the hydrolysis condensation can also be removed from the reaction mixture. As a method of removing the acid catalyst, for example, a method of mixing water and a reaction mixture and extracting the product with an organic solvent can be exemplified. As the organic solvent used at this time, it is better to dissolve the product and separate into two layers when mixed with water. For example, organic solvents disclosed in International Publication No. 2017/188450 can be cited.

並且,在從反應混合物去除水解縮合所使用之酸觸媒之際,也能使用水溶性有機溶劑與水難溶性有機溶劑之混合物。可舉出例如國際公開第2017/188450號揭示之混合物。In addition, when removing the acid catalyst used for hydrolysis and condensation from the reaction mixture, a mixture of a water-soluble organic solvent and a poorly water-soluble organic solvent can also be used. For example, the mixture disclosed in International Publication No. 2017/188450 can be cited.

尚且,水溶性有機溶劑與水難溶性有機溶劑之混合比例係可適宜選擇,相對於水難溶性有機溶劑100質量份,以水溶性有機溶劑0.1~1,000質量份為佳,較佳為1~500質量份,更佳為2~100質量份。Furthermore, the mixing ratio of the water-soluble organic solvent and the poorly water-soluble organic solvent can be appropriately selected. Relative to 100 parts by mass of the poorly water-soluble organic solvent, the water-soluble organic solvent is preferably 0.1 to 1,000 parts by mass, preferably 1 to 500 parts by mass. , More preferably 2-100 parts by mass.

在殘留有酸觸媒之生成物,及酸觸媒被除去之生成物之任一之情況,皆係可藉由添加最終溶劑,在減壓下進行溶劑交換而取得生成物之溶液。此時溶劑交換之溫度係根據應去除之反應溶劑或萃取溶劑之種類而不同,以0~100℃為佳,較佳為10~90℃,更佳為15~80℃。又此時之減壓度係根據應去除之萃取溶劑之種類、排氣裝置、凝縮裝置及加熱溫度而不同,以在大氣壓以下為佳,較佳為絕對壓力80kPa以下,更佳為絕對壓力50kPa以下。In any case where the product of the acid catalyst remains and the product of the removal of the acid catalyst, a solution of the product can be obtained by adding a final solvent and performing solvent exchange under reduced pressure. The temperature of solvent exchange at this time varies according to the type of reaction solvent or extraction solvent to be removed, preferably 0~100°C, preferably 10~90°C, more preferably 15~80°C. At this time, the degree of decompression depends on the type of extraction solvent to be removed, exhaust device, condensation device, and heating temperature. It is preferably below atmospheric pressure, preferably below 80kPa absolute pressure, and more preferably 50kPa absolute pressure. the following.

-其他任意成分- 前述微影用下層膜形成用組成物除了前述成分之外,因應必要可包含有機聚合物化合物、交聯劑、光酸產生劑及界面活性劑等。-Other optional ingredients- In addition to the aforementioned components, the aforementioned composition for forming an underlayer film for lithography may contain an organic polymer compound, a crosslinking agent, a photoacid generator, a surfactant, and the like as necessary.

藉由使用有機聚合物化合物,而可調整由前述微影用下層膜形成用組成物所形成之阻劑下層膜之乾蝕刻速度(每單位時間之膜厚減少量)、衰減係數及折射率等。作為有機聚合物化合物,並無特別限制,可使用各種有機聚合物。可使用縮聚合聚合物及加成聚合聚合物等。例如國際公開第2017/188450號揭示之有機聚合物化合物。By using an organic polymer compound, it is possible to adjust the dry etching rate (reduction in film thickness per unit time), attenuation coefficient, refractive index, etc. of the resist underlayer film formed from the composition for forming the underlayer film for lithography. . The organic polymer compound is not particularly limited, and various organic polymers can be used. Condensation polymerization polymers, addition polymerization polymers, etc. can be used. For example, the organic polymer compound disclosed in International Publication No. 2017/188450.

藉由使用交聯劑,而可調整由前述微影用下層膜形成用組成物所形成之阻劑下層膜之乾蝕刻速度(每單位時間之膜厚減少量)等。作為交聯劑,並無特別限制,可使用各種交聯劑。作為本實施形態能使用之交聯劑之具體例,可舉出例如,三聚氰胺化合物、胍胺化合物、乙炔脲化合物、脲化合物、環氧化合物、硫代環氧(thioepoxy)化合物、異氰酸酯化合物、疊氮化合物、烯基醚基等之包含雙鍵之化合物,且係具有選自羥甲基、烷氧基甲基、醯氧基甲基之至少一個基作為取代基(交聯性基)者等,但並非係特別限定於該等。可舉出例如國際公開第2017/188450號揭示之交聯劑。By using a crosslinking agent, it is possible to adjust the dry etching rate (the reduction in film thickness per unit time) of the resist underlayer film formed from the composition for forming the underlayer film for lithography. The crosslinking agent is not particularly limited, and various crosslinking agents can be used. Specific examples of crosslinking agents that can be used in this embodiment include, for example, melamine compounds, guanamine compounds, acetylene urea compounds, urea compounds, epoxy compounds, thioepoxy compounds, isocyanate compounds, and laminates. Compounds containing double bonds such as nitrogen compounds, alkenyl ether groups, etc., and having at least one group selected from the group consisting of hydroxymethyl, alkoxymethyl, and oxymethyl as a substituent (crosslinkable group), etc. , But not particularly limited to these. For example, the crosslinking agent disclosed in International Publication No. 2017/188450 can be cited.

前述微影用下層膜形成用組成物中,交聯劑之含量並無特別限定,相對於本實施形態之抗壞血酸或其衍生物或樹脂100質量份,以1~10質量份為佳,較佳為1~5質量份。藉由作成上述之為佳範圍,有與阻劑層之互混現象之產生受到抑制的傾向,又,有提高防反射效果,且提高交聯後之膜形成性的傾向。In the aforementioned composition for forming an underlayer film for lithography, the content of the cross-linking agent is not particularly limited, and it is preferably 1-10 parts by mass relative to 100 parts by mass of ascorbic acid or its derivatives or resin of this embodiment, preferably It is 1 to 5 parts by mass. By making the above-mentioned preferable range, there is a tendency for the occurrence of intermixing with the resist layer to be suppressed, and there is a tendency for the anti-reflection effect to be improved, and the film formability after crosslinking is improved.

前述微影用下層膜形成用組成物中可含有酸產生劑。作為酸產生劑,已知有因熱分解而產生酸者,因光照射而產生酸者等,任一者皆可使用。作為前述酸產生劑,可使用例如,國際公開WO2013/024779號記載者。The composition for forming an underlayer film for lithography may contain an acid generator. As the acid generator, those that generate acid due to thermal decomposition, those that generate acid due to light irradiation, and the like are known, and any of them can be used. As the aforementioned acid generator, for example, those described in International Publication WO2013/024779 can be used.

在使用酸產生劑之情況,作為其之比例,相對於本實施形態之抗壞血酸或其衍生物或樹脂100質量份為0.01質量份~5質量份,或0.1質量份~3質量份,或0.5質量份~1質量份。In the case of using an acid generator, the ratio is 0.01 parts by mass to 5 parts by mass, or 0.1 parts by mass to 3 parts by mass, or 0.5 parts by mass relative to 100 parts by mass of ascorbic acid or its derivatives or resins of this embodiment Parts~1 parts by mass.

界面活性劑係將前述微影用下層膜形成用組成物塗佈於基板時會有效抑制表面缺陷等產生者。作為前述微影用下層膜形成用組成物所含之界面活性劑,可舉出例如,國際公開第2017/188450號揭示之界面活性劑。在使用界面活性劑之情況,作為其之比例,相對於本實施形態之抗壞血酸或其衍生物或樹脂100質量份,例如為0.0001質量份~5質量份,或0.001質量份~1質量份,或0.01質量份~0.5質量份。Surfactants are those that effectively suppress the occurrence of surface defects and the like when the aforementioned composition for forming an underlayer film for lithography is applied to a substrate. As the surfactant contained in the composition for forming an underlayer film for lithography, for example, the surfactant disclosed in International Publication No. 2017/188450 can be cited. In the case of using a surfactant, the ratio is, for example, 0.0001 to 5 parts by mass, or 0.001 to 1 part by mass relative to 100 parts by mass of ascorbic acid or its derivatives or resin of this embodiment, or 0.01 parts by mass to 0.5 parts by mass.

<微影用下層膜及圖型形成方法> 本發明之第四實施形態之微影用下層膜係可使用前述本發明之第四實施形態之微影用下層膜形成用組成物來形成。本實施形態之微影用下層膜係可適宜使用作為多層阻劑法所使用之光阻(上層)之下層(阻劑下層膜)。<Underlayer film for lithography and pattern forming method> The underlayer film for lithography of the fourth embodiment of the present invention can be formed using the composition for forming an underlayer film for lithography of the fourth embodiment of the present invention. The lower layer film system for lithography of this embodiment can be suitably used as a photoresist (upper layer) and lower layer (resist lower layer film) used in the multilayer resist method.

本實施形態中,例如,可使用微影用下層膜形成用組成物形成阻劑下層膜,在前述阻劑下層膜上形成至少1層光阻層後,藉由對該光阻層之指定區域照射放射線,進行顯像來形成圖型。In this embodiment, for example, a composition for forming an underlayer film for lithography can be used to form a resist underlayer film. After at least one photoresist layer is formed on the aforementioned resist underlayer film, a designated area of the photoresist layer Radiation is irradiated and developed to form a pattern.

又,作為使用藉由上述操作所製作之前述本發明之第四實施形態之微影用下層膜形成用組成物之本發明之第四實施形態之圖型形成方法之一態樣,可舉出如,在基板上使用塗佈型有機下層膜材料形成有機下層膜,在前述有機下層膜上使用本發明之第四實施形態之微影用下層膜形成用組成物形成阻劑下層膜,在前述阻劑下層膜上使用上層阻劑膜組成物形成上層阻劑膜,在前述上層阻劑膜形成上層阻劑圖型,將前述上層阻劑圖型當作遮罩利用蝕刻將圖型轉印至前述阻劑下層膜,將經轉印圖型之前述阻劑下層膜當作遮罩利用蝕刻將圖型轉印至前述有機下層膜,以及將經轉印圖型之前述有機下層膜當作遮罩利用蝕刻將圖型轉印至前述基板(被加工體)的圖型形成方法。In addition, as an aspect of the pattern forming method of the fourth embodiment of the present invention using the composition for forming an underlayer film for lithography of the fourth embodiment of the present invention produced by the above operations, there can be mentioned For example, a coating type organic underlayer film material is used to form an organic underlayer film on a substrate, and a resist underlayer film is formed on the aforementioned organic underlayer film using the composition for forming an underlayer film for lithography according to the fourth embodiment of the present invention. The upper resist film composition is used on the lower resist film to form the upper resist film, the upper resist pattern is formed on the upper resist film, and the upper resist pattern is used as a mask to transfer the pattern to For the aforementioned resist underlayer film, the aforementioned resist underlayer film of the transferred pattern is used as a mask, and the pattern is transferred to the aforementioned organic underlayer film by etching, and the aforementioned organic underlayer film of the transferred pattern is used as a mask. The mask is a pattern forming method in which the pattern is transferred to the aforementioned substrate (object to be processed) by etching.

作為本發明之第四實施形態之圖型形成方法之另一態樣,可舉出如,在基板上使用CVD法形成將碳作為主成分之有機硬遮罩,在前述有機硬遮罩上使用本發明之第四實施形態之微影用下層膜形成用組成物形成阻劑下層膜,在前述阻劑下層膜上使用上層阻劑膜組成物形成上層阻劑膜,在前述上層阻劑膜形成上層阻劑圖型,將該上層阻劑圖型當作遮罩利用蝕刻將圖型轉印至前述阻劑下層膜,將經轉印圖型之前述阻劑下層膜當作遮罩利用蝕刻將圖型轉印至前述有機硬遮罩,以及將經轉印圖型之前述有機硬遮罩當作遮罩利用蝕刻將圖型轉印至前述基材(被加工體)的圖型形成方法。As another aspect of the pattern forming method of the fourth embodiment of the present invention, for example, a CVD method is used to form an organic hard mask with carbon as the main component on a substrate, and the organic hard mask is used on the aforementioned organic hard mask. The composition for forming an underlayer film for lithography of the fourth embodiment of the present invention forms an underlayer resist film, and the upper resist film composition is used on the underlayer resist film to form an upper resist film, and the upper resist film is formed The upper layer resist pattern, the upper layer resist pattern is used as a mask, and the pattern is transferred to the aforementioned resist underlayer film by etching, and the aforementioned resist underlayer film with the transferred pattern is used as a mask by etching. A pattern forming method in which the pattern is transferred to the aforementioned organic hard mask, and the aforementioned organic hard mask of the transferred pattern is used as a mask and the pattern is transferred to the aforementioned substrate (object to be processed) by etching.

作為前述基材,可使用例如,半導體基板。作為前述半導體基板,一般係可使用矽基板,但並非係受到特別限定者,可使用如Si、非晶矽(α-Si)、p-Si、SiO2 、SiN、SiON、W、TiN、Al等之與被加工層為相異之材質者。As the aforementioned base material, for example, a semiconductor substrate can be used. As the aforementioned semiconductor substrate, a silicon substrate can generally be used, but it is not particularly limited, such as Si, amorphous silicon (α-Si), p-Si, SiO 2 , SiN, SiON, W, TiN, Al The material is different from the processed layer.

又,作為構成前述基材(被加工體;包括前述半導體基板)之金屬,可使用如,矽、鈦、鎢、鉿、鋯、鉻、鍺、銅、鋁、銦、鎵、砷、鈀、鐵、鉭、銥、或鉬之任一者,或該等之合金。In addition, as the metal constituting the aforementioned substrate (object to be processed; including the aforementioned semiconductor substrate), for example, silicon, titanium, tungsten, hafnium, zirconium, chromium, germanium, copper, aluminum, indium, gallium, arsenic, palladium, Any of iron, tantalum, iridium, or molybdenum, or their alloys.

又,在半導體基板上作為被加工層(被加工部分),可使用如已成膜有金屬膜、金屬碳化膜、金屬氧化膜、金屬氮化膜、金屬氧化碳化膜、或金屬氧化氮化膜之任一膜者。作為此種包含金屬之被加工層,可使用例如,Si、SiO2 、SiN、SiON、SiOC、p-Si、α-Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、W、W-Si、Al、Cu、Al-Si等以及各種低介電膜及其阻蝕刻膜,通常能以50~10,000nm,尤其100~5,000nm之厚度來形成。In addition, as the processed layer (processed portion) on the semiconductor substrate, for example, a metal film, a metal carbide film, a metal oxide film, a metal nitride film, a metal oxide carbide film, or a metal oxide nitride film can be used. Any of the membranes. As such a metal-containing processed layer, for example, Si, SiO 2 , SiN, SiON, SiOC, p-Si, α-Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, W , W-Si, Al, Cu, Al-Si, etc., as well as various low dielectric films and their etching resist films, usually can be formed with a thickness of 50~10,000nm, especially 100~5,000nm.

本實施形態之圖型形成方法係可在基板上形成有機下層膜、或有機硬遮罩。其中,有機下層膜係可由塗佈型有機下層膜材料使用旋轉塗佈法等來形成,有機硬遮罩係係可從將碳作為主成分之有機硬遮罩之材料使用CVD法來形成。此種有機下層膜及有機硬遮罩之種類等並無特別限定,在上層阻劑膜係藉由曝光來進行圖型形成之情況,以會展現充分防反射膜機能者為佳。藉由形成此種有機下層膜或有機硬遮罩,可不使尺寸轉換差產生,而將在上層阻劑膜所形成之圖型轉印至基材(被加工體)上。尚且,「將碳作為主成分之」硬遮罩係意指以固體成分之50質量%以上為也被稱為非晶碳且表示成a-C:H之非晶氫化碳等之碳系材料來構成之硬遮罩。a-C:H膜係能藉由各種技術來使其堆積,電漿化學氣相堆積(PECVD)因費用效率及膜質調整可能性而廣泛受到使用。作為前述硬遮罩之例,可參照例如,日本特表2013-526783號公報記載者。The pattern forming method of this embodiment can form an organic underlayer film or an organic hard mask on the substrate. Among them, the organic underlayer film can be formed by a coating-type organic underlayer film material using a spin coating method or the like, and the organic hard mask can be formed by a CVD method from a material of an organic hard mask containing carbon as a main component. The types of the organic underlayer film and the organic hard mask are not particularly limited. In the case where the upper layer resist film is patterned by exposure, it is preferable to exhibit sufficient anti-reflection film function. By forming such an organic underlayer film or organic hard mask, the pattern formed on the upper layer resist film can be transferred to the substrate (processed body) without causing a difference in size conversion. Furthermore, the "hard mask with carbon as the main component" means that 50% by mass or more of the solid content is composed of a carbon-based material such as amorphous carbon and expressed as aC:H. The hard mask. a-C: H films can be deposited by various technologies, and plasma chemical vapor deposition (PECVD) is widely used due to cost efficiency and the possibility of film quality adjustment. As an example of the aforementioned hard mask, refer to, for example, those described in JP 2013-526783 A.

本實施形態之圖型之形成方法所使用之使用本實施形態之阻劑下膜形成用組成物的阻劑下層膜係能從微影用下層膜形成用組成物使用旋轉塗佈法等在已設有有機下層膜等之被加工體上進行製作。在使用旋轉塗佈法來形成阻劑下膜之情況,旋轉塗佈後,使溶劑蒸發,以防止與上層阻劑膜之互混為目的,以進行用以促進交聯反應之烘烤為理想。烘烤溫度係以50~500℃之範圍內為佳。此時,雖根據製造裝置之構造而不同,但為了減少對裝置之熱損傷,烘烤溫度係以400℃以下為特佳。烘烤時間係以採用10秒~300秒之範圍內為佳。The resist underlayer film system using the resist underlayer film forming composition of this embodiment used in the pattern forming method of this embodiment can be used from the underlayer film forming composition for lithography using spin coating method, etc. Manufactured on the processed body provided with organic underlayer film. In the case of using the spin coating method to form the lower resist film, after the spin coating, the solvent is evaporated to prevent intermixing with the upper resist film. It is ideal for baking to promote the cross-linking reaction . The baking temperature should be within the range of 50~500℃. At this time, although it depends on the structure of the manufacturing device, in order to reduce the thermal damage to the device, the baking temperature is particularly preferably below 400°C. The baking time is preferably within the range of 10 seconds to 300 seconds.

又,本實施形態之圖型形成方法中,作為在上層阻劑膜形成圖型之方法,可適宜使用使用波長300nm以下之光或EUV光之微影法;電子線直接描繪法、及誘導自我組織化法之任一方法。藉由使用此種方法,而可在阻劑上層膜上形成微細圖型。In addition, in the pattern forming method of this embodiment, as a method of forming patterns on the upper layer resist film, the lithography method using light with a wavelength of 300 nm or less or EUV light; direct electron beam drawing method, and self-inducing method can be suitably used. Any method of organizational method. By using this method, a fine pattern can be formed on the upper resist film.

作為前述上層阻劑膜組成物,可因應上述之在上層阻劑膜形成圖型之方法來適宜選擇。例如,在實施使用300nm以下之光或EUV光的微影術的情況,作為上層阻劑膜組成物,可使用化學增幅型之光阻膜材料。作為此種光阻膜材料,可例示如形成光阻膜進行曝光後,藉由使用鹼顯像液來溶解曝光部而形成正型圖型者,或,藉由使用包含有機溶劑之顯像液來溶解未曝光部而形成負型圖型者。As the above-mentioned upper layer resist film composition, it can be appropriately selected in accordance with the above-mentioned method of forming a pattern on the upper layer resist film. For example, in the case of performing lithography using light below 300 nm or EUV light, as the upper layer resist film composition, a chemically amplified resist film material can be used. As such a photoresist film material, for example, after forming a photoresist film and exposing, a positive pattern is formed by using an alkali developer to dissolve the exposed part, or by using a developer containing an organic solvent To dissolve the unexposed area to form a negative pattern.

由本實施形態之微影用下層膜形成用組成物所形成之阻劑下層膜係會根據微影製程中所使用之光之波長,而有吸收該光的情況。且,在此種情況,可機能作為具有防止來自基板之反射光之效果的防反射膜。The resist underlayer film formed from the composition for forming an underlayer film for lithography of this embodiment may absorb the light according to the wavelength of the light used in the lithography process. And, in this case, it can function as an anti-reflection film with the effect of preventing light reflected from the substrate.

又,EUV阻劑之下層膜除了機能作為硬遮罩以外,也能使用於以下之目的。可使用本實施形態之微影用下層膜形成用組成物作為不會與EUV阻劑互混,能防止在EUV曝光(波長13.5nm)之際為不佳之曝光光線,例如上述之UV或DUV(ArF光、KrF光)之來自基板或界面之反射的EUV阻劑之下層防反射膜。可在EUV阻劑之下層有效率地防止反射。又,前述下層膜形成用組成物由於EUV之吸收能力優異,故能展現上層阻劑組成物之增感作用,且賦予感度提升。在使用作為EUV阻劑下層膜之情況,製程係能與光阻用下層膜同樣地進行。Furthermore, the EUV resist underlayer film can be used for the following purposes in addition to its function as a hard mask. The composition for forming an underlayer film for lithography of this embodiment can be used as a composition that does not mix with EUV resists and can prevent poor exposure light during EUV exposure (wavelength 13.5nm), such as the above-mentioned UV or DUV ( ArF light, KrF light) is the anti-reflection film under the EUV resist from the reflection of the substrate or the interface. It can effectively prevent reflection under the EUV resist. In addition, since the aforementioned composition for forming a lower layer film has excellent EUV absorption capacity, it can exhibit the sensitization effect of the upper layer resist composition and impart improved sensitivity. In the case of using the underlayer film as an EUV resist, the process can be performed in the same manner as the underlayer film for photoresist.

(第五實施形態) <微影用下層膜形成用組成物> 本發明之第五實施形態之微影用下層膜形成用組成物為含有本實施形態之抗壞血酸或其衍生物或樹脂之微影用下層膜形成用組成物。本實施形態之微影用下層膜形成用組成物能減低膜之缺陷(薄膜形成),保存安定性良好,高感度且具有長期耐光性,且能賦予良好之阻劑圖型形狀。本實施形態之微影用下層膜形成用組成物可不包含含矽化合物。(Fifth Embodiment) <Composition for forming underlayer film for lithography> The composition for forming an underlayer film for lithography according to the fifth embodiment of the present invention is a composition for forming an underlayer film for lithography containing the ascorbic acid or its derivative or resin of this embodiment. The composition for forming an underlayer film for lithography of this embodiment can reduce film defects (thin film formation), has good storage stability, high sensitivity and long-term light resistance, and can impart a good resist pattern shape. The composition for forming an underlayer film for lithography of this embodiment may not include a silicon-containing compound.

本實施形態之微影用下層膜形成用組成物係能適用濕式製程,且能實現為了形成耐熱性、密著性、段差埋入特性,尤其係平坦性優異之光阻下層膜而有用之微影用下層膜形成用組成物。且,該微影用下層膜形成用組成物由於能相對性提高交聯密度,且使用高溶劑溶解性之具有特定構造之化合物,故烘烤時之膜劣化受到抑制,而能形成對於氟氣系電漿蝕刻等之蝕刻耐性亦優之下層膜。並且,由於與阻劑層之密著性亦優,故可形成優異之阻劑圖型。本實施形態之微影用下層膜形成用組成物由於尤其係耐熱性、段差埋入特性及平坦性優異,故可使用作為例如複數之阻劑層之中設置於最下層之阻劑下層膜形成用之組成物。但,使用本實施形態之微影用下層膜形成用組成物所形成之阻劑下層膜也可為在與基板之間更包含其他阻劑下層者。The composition for forming an underlayer film for lithography of this embodiment can be applied to a wet process, and is useful for forming a photoresist underlayer film with excellent flatness, heat resistance, adhesion, and step embedding characteristics. A composition for forming an underlayer film for lithography. In addition, since the composition for forming an underlayer film for lithography can relatively increase the crosslink density and use a compound with a specific structure with high solvent solubility, the film deterioration during baking is suppressed, and it can be formed to be resistant to fluorine gas. The etching resistance of plasma etching, etc. is also superior to the underlying film. In addition, since the adhesion to the resist layer is also excellent, an excellent resist pattern can be formed. Since the composition for forming an underlayer film for lithography of this embodiment is particularly excellent in heat resistance, step embedding characteristics, and flatness, it can be used as a resist underlayer film formed at the lowest layer among plural resist layers, for example. Used in the composition. However, the underlayer resist film formed using the composition for forming an underlayer film for lithography of this embodiment may further include another underlayer resist between the substrate and the substrate.

本實施形態之微影用下層膜形成用組成物除了本實施形態之抗壞血酸或其衍生物或樹脂之外,可更包含溶劑、酸產生劑、酸交聯劑等。並且,可包含鹼性化合物、其他、水、醇、及硬化觸媒等作為任意成分。從塗佈性及品質安定性之觀點,微影用下層膜形成用組成物中之本實施形態之抗壞血酸或其衍生物或樹脂之含量係以0.1~70質量%為佳,以0.5~50質量%為較佳,以3.0~40質量%為特佳。The composition for forming an underlayer film for lithography of this embodiment may further include a solvent, an acid generator, an acid crosslinking agent, etc., in addition to the ascorbic acid or its derivatives or resins of this embodiment. In addition, a basic compound, others, water, alcohol, and a curing catalyst may be included as optional components. From the viewpoint of coatability and quality stability, the content of ascorbic acid or its derivatives or resin in this embodiment in the composition for forming an underlayer film for lithography is preferably 0.1 to 70% by mass, and 0.5 to 50% by mass % Is preferred, and 3.0-40% by mass is particularly preferred.

-溶劑- 作為本實施形態中使用之溶劑,只要係會至少溶解本實施形態之抗壞血酸或其衍生物或樹脂者,即可適宜使用公知者。可舉出例如國際公開第2017/188451號揭示之溶劑。-Solvent- As the solvent used in this embodiment, any known solvent can be suitably used as long as it dissolves at least ascorbic acid or its derivative or resin of this embodiment. For example, the solvent disclosed in International Publication No. 2017/188451 can be cited.

溶劑之含量並無特別限定,從溶解性及製膜上之觀點,相對於前述微影用下層膜形成用組成物之全固體成分100質量份,以100~10,000質量份為佳,以200~ 5,000質量份為較佳,200~1,000質量份為更佳。The content of the solvent is not particularly limited. From the viewpoint of solubility and film formation, it is preferably 100 to 10,000 parts by mass, preferably 200 to 100 parts by mass relative to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. 5,000 parts by mass is preferable, and 200 to 1,000 parts by mass is more preferable.

-酸交聯劑- 如上述般,本實施形態之微影用下層膜形成用組成物在從抑制互混等之觀點,因應必要亦可含有酸交聯劑。作為本實施形態能使用之酸交聯劑,可舉出例如,三聚氰胺化合物、環氧化合物、胍胺化合物、乙炔脲化合物、脲化合物、硫代環氧化合物、異氰酸酯化合物、疊氮化合物、烯基醚基等之包含雙鍵之化合物,且係具有選自羥甲基、烷氧基甲基、醯氧基甲基之至少一個基作為取代基(交聯性基)者等,但並非係受限於該等。尚且,該等酸交聯劑係可單獨使用1種,或可組合使用2種以上。又,該等係亦可使用作為添加劑。又,包含羥基之化合物也係可使用作為交聯劑。作為前述酸交聯劑之具體例,可舉出例如,國際公開2013/024779號記載者。-Acid crosslinking agent- As described above, the composition for forming an underlayer film for lithography of the present embodiment may contain an acid crosslinking agent as necessary from the viewpoint of suppressing intermixing. Examples of acid crosslinking agents that can be used in this embodiment include melamine compounds, epoxy compounds, guanamine compounds, acetylene urea compounds, urea compounds, thioepoxy compounds, isocyanate compounds, azide compounds, and alkenyl compounds. Compounds containing double bonds such as ether groups and having at least one group selected from the group consisting of hydroxymethyl, alkoxymethyl, and oxymethyl as a substituent (crosslinkable group), etc., but are not subject to Limited to these. Furthermore, these acid crosslinking agents may be used individually by 1 type, or may be used in combination of 2 or more types. In addition, these systems can also be used as additives. In addition, a compound containing a hydroxyl group can also be used as a crosslinking agent. As a specific example of the said acid crosslinking agent, what is described in International Publication 2013/024779 can be mentioned, for example.

本實施形態之微影用下層膜形成用組成物中,酸交聯劑之含量並無特別限定,相對於前述微影用下層膜形成用組成物之全固體成分100質量,以5~50質量份為佳,較佳為10~40質量份。藉由作成上述之為佳範圍,有抑制與阻劑層之互混現象產生的傾向,又,有提高防反射效果,且提高交聯後膜形成性的傾向。In the composition for forming an underlayer film for lithography of this embodiment, the content of the acid crosslinking agent is not particularly limited, and is 5-50 mass relative to the total solid content of 100 mass of the composition for forming an underlayer film for lithography. Parts are better, preferably 10-40 parts by mass. By making the above-mentioned preferable range, there is a tendency to suppress the occurrence of the intermixing phenomenon with the resist layer, and also to improve the anti-reflection effect and improve the film formability after crosslinking.

-酸產生劑- 本實施形態之微影用下層膜形成用組成物在從更加促進利用熱之交聯反應等之觀點,因應必要亦可含有酸產生劑。作為酸產生劑,已知有因熱分解而產生酸者,因光照射而產生酸者等,任一者皆可使用。作為前述酸產生劑,可使用例如,國際公開WO2013/024779號記載者。-Acid Generator- The composition for forming an underlayer film for lithography of the present embodiment may contain an acid generator as necessary from the viewpoint of further accelerating the crosslinking reaction by heat. As the acid generator, those that generate acid due to thermal decomposition, those that generate acid due to light irradiation, and the like are known, and any of them can be used. As the aforementioned acid generator, for example, those described in International Publication WO2013/024779 can be used.

本實施形態之微影用下層膜形成用組成物中,酸產生劑之含量並無特別限定,相對於前述微影用下層膜形成用組成物之全固體成分100質量份,以0.1~50質量份為佳,較佳為0.5~40質量份。藉由作成上述之為佳範圍,有酸發生量變多而交聯反應提高的傾向,又,與阻劑層之互混現象之發生受到抑制的傾向。The content of the acid generator in the composition for forming an underlayer film for lithography of this embodiment is not particularly limited, and is 0.1-50 parts by mass relative to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. Parts are better, preferably 0.5-40 parts by mass. By setting the above-mentioned preferable range, there is a tendency that the amount of acid generation increases and the cross-linking reaction increases, and the occurrence of intermixing with the resist layer tends to be suppressed.

-鹼性化合物- 並且,本實施形態之微影用下層膜形成用組成物在從提升保存安定性等之觀點,亦可含有鹼性化合物。-Basic compound- In addition, the composition for forming an underlayer film for lithography of the present embodiment may contain a basic compound from the viewpoint of improving storage stability and the like.

鹼性化合物係為了防止由酸產生劑所微量產生之酸進行交聯反應而達成對酸之淬滅體之作用者。作為此種鹼性化合物,可舉出例如,第一級、第二級或第三級之脂肪族胺類、混成胺類、芳香族胺類、雜環胺類、具有羧基之含氮化合物、具有磺醯基之含氮化合物、具有羥基之含氮化合物、具有羥基苯基之含氮化合物、醇性含氮化合物、醯胺衍生物、醯亞胺衍生物等,但並非係受限於該等。作為鹼性化合物之具體例,可舉出例如,國際公開2013/024779號記載者。The basic compound is to prevent the acid generated by the acid generator from undergoing cross-linking reaction and achieve the effect of the quencher of the acid. Examples of such basic compounds include first, second or third aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having carboxyl groups, Nitrogen-containing compounds with sulfonyl groups, nitrogen-containing compounds with hydroxyl groups, nitrogen-containing compounds with hydroxyphenyl groups, alcoholic nitrogen-containing compounds, amide derivatives, amide derivatives, etc., but are not limited to these Wait. As a specific example of a basic compound, the one described in International Publication No. 2013/024779 can be mentioned, for example.

本實施形態之微影用下層膜形成用組成物中,鹼性化合物之含量並無特別限定,相對於前述微影用下層膜形成用組成物之全固體成分100質量份,以0.001~2質量份為佳,較佳為0.01~1質量份。藉由作成上述之為佳範圍,則有不會過度損及交聯反應而提高保存安定性的傾向。The content of the basic compound in the composition for forming an underlayer film for lithography of this embodiment is not particularly limited, and is 0.001 to 2 parts by mass relative to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. Parts are better, preferably 0.01 to 1 part by mass. By making the above-mentioned preferable range, there is a tendency to improve the storage stability without excessively impairing the cross-linking reaction.

又,本實施形態之微影用下層膜形成用組成物在賦予熱硬化性或控制吸光度的目的上,亦可含有其他樹脂或化合物。作為此種其他樹脂或化合物,可舉出如,萘酚樹脂、二甲苯樹脂萘酚變性樹脂、萘樹脂之酚變性樹脂、聚羥基苯乙烯、二環戊二烯樹脂、(甲基)丙烯酸酯、二甲基丙烯酸酯、三甲基丙烯酸酯、四甲基丙烯酸酯、乙烯萘、聚苊烯等之萘環、菲醌、茀等之聯苯基環、噻吩、茚等之包含具有雜原子之雜環之樹脂或不包含芳香族環之樹脂;松香系樹脂、環糊精、金剛烷(聚)醇、三環癸烷(聚)醇及該等之衍生物等之包含脂環構造之樹脂或化合物等,但並非係特別受限該等。並且,本實施形態之微影用下層膜形成用組成物亦可含有公知之添加劑。作為前述公知之添加劑,並非係受以下所限定者,可舉出例如,紫外線吸收劑、界面活性劑、著色劑、非離子系界面活性劑。In addition, the composition for forming an underlayer film for lithography of this embodiment may contain other resins or compounds for the purpose of imparting thermosetting properties or controlling absorbance. Examples of such other resins or compounds include naphthol resin, xylene resin naphthol denatured resin, phenol denatured resin of naphthalene resin, polyhydroxystyrene, dicyclopentadiene resin, (meth)acrylate , Dimethacrylate, trimethacrylate, tetramethacrylate, vinyl naphthalene, polyacenaphthylene, etc. naphthalene ring, phenanthrenequinone, biphenyl ring such as stilbene, thiophene, indene, etc. containing heteroatoms Heterocyclic resins or resins that do not contain aromatic rings; rosin-based resins, cyclodextrins, adamantane (poly) alcohols, tricyclodecane (poly) alcohols, and derivatives of these, including alicyclic structures Resins, compounds, etc., but are not particularly limited to them. In addition, the composition for forming an underlayer film for lithography of this embodiment may contain a known additive. The aforementioned well-known additives are not limited to those below, and examples include ultraviolet absorbers, surfactants, colorants, and nonionic surfactants.

<微影用阻劑下層膜及圖型形成方法> 本發明之第五實施形態之微影用阻劑下層膜係使用前述本發明之第五實施形態之微影用下層膜形成用組成物來形成。本實施形態中所形成之圖型係可使用作為例如阻劑圖型或電路圖型。<Resist underlayer film for lithography and pattern formation method> The underlayer film for lithography resist in the fifth embodiment of the present invention is formed using the composition for forming underlayer film for lithography in the fifth embodiment of the present invention. The pattern formed in this embodiment can be used as, for example, a resist pattern or a circuit pattern.

又,本發明之第五實施形態之圖型形成方法係具有:在基板上使用本發明之第五實施形態之微影用下層膜形成用組成物形成阻劑下層膜的步驟(A-1步驟)、在前述阻劑下層膜上形成至少1層光阻層的步驟(A-2步驟),及,在前述A-2步驟中形成至少1層光阻層後,對前述光阻層之指定區域照射放射線,進行顯像的步驟(A-3步驟)。尚且,“光阻層”係意指阻劑層之最外層,即阻劑層中設置於最表側(與基板為反對側)之層。In addition, the pattern forming method of the fifth embodiment of the present invention has the step of forming a resist underlayer film on a substrate using the composition for forming an underlayer film for lithography of the fifth embodiment of the present invention (Step A-1) ), the step of forming at least one photoresist layer on the aforementioned resist underlayer film (step A-2), and, after forming at least one photoresist layer in the aforementioned step A-2, designate the aforementioned photoresist layer The area is irradiated with radiation to perform the imaging step (step A-3). Furthermore, the "photoresist layer" means the outermost layer of the resist layer, that is, the layer provided on the outermost side (the side opposite to the substrate) in the resist layer.

更進一步,本發明之第五實施形態之其他圖型形成方法係具有:在基板上使用本發明之第五實施形態之微影用下層膜形成用組成物形成阻劑下層膜的步驟(B-1步驟)、在前述下層膜上使用阻劑中間層膜材料(例如,含矽阻劑層)形成阻劑中間層膜的步驟(B-2步驟)、在前述阻劑中間層膜上形成至少1層光阻層的步驟(B-3步驟)、在前述B-3步驟中形成至少1層光阻層後,對前述光阻層之指定區域照射放射線,進行顯像而形成阻劑圖型的步驟(B-4步驟),及,藉由在前述B-4步驟中形成阻劑圖型後,將前述阻劑圖型作為遮罩來蝕刻前述阻劑中間層膜,將取得之中間層膜圖型作為蝕刻遮罩來蝕刻前述下層膜,將取得之下層膜圖型作為蝕刻遮罩來蝕刻基板,而在基板形成圖型的步驟(B-5步驟)。Furthermore, another pattern forming method according to the fifth embodiment of the present invention has a step of forming a resist underlayer film on a substrate using the composition for forming an underlayer film for lithography of the fifth embodiment of the present invention (B- Step 1), the step of forming a resist intermediate layer film on the aforementioned lower layer film using a resist intermediate layer film material (for example, a silicon-containing resist layer) (Step B-2), and forming at least Step of a photoresist layer (step B-3), after forming at least one photoresist layer in the step B-3, irradiate a designated area of the photoresist layer with radiation and develop it to form a resist pattern Step (B-4 step), and, by forming the resist pattern in the step B-4, using the resist pattern as a mask to etch the resist interlayer film to obtain the interlayer The film pattern is used as an etching mask to etch the aforementioned lower layer film, the lower layer film pattern is obtained as an etching mask to etch the substrate, and the step of forming a pattern on the substrate (step B-5).

本實施形態之微影用阻劑下層膜只要係由本實施形態之微影用下層膜形成用組成物所形成者,則其形成方法並無特別限定,可適用公知之手法。例如,本實施形態之微影用下層膜形成用組成物係能以旋轉塗佈或網版印刷等之公知塗佈法或印刷法等賦予至基板上後,藉由使有機溶劑揮發等進行去除,而形成阻劑下層膜。As long as the underlayer film for lithography resist of this embodiment is formed from the composition for underlayer film formation for lithography of this embodiment, the forming method is not particularly limited, and a known method can be applied. For example, the composition for forming an underlayer film for lithography of the present embodiment can be applied to a substrate by a known coating method such as spin coating or screen printing, or a printing method, and then removed by volatilizing an organic solvent. , And the formation of a resist underlayer film.

阻劑下層膜之形成時,為了抑制與上層阻劑(例如,光阻層或阻劑中間層膜)之互混現象產生,且促進交聯反應,以實施烘烤處理為佳。於此情況,烘烤溫度並無特別限定,以80~450℃之範圍內為佳,較佳為200~400℃。又,烘烤時間也並無特別限定,以10秒鐘~300秒鐘之範圍內為佳。尚且,阻劑下層膜之厚度係可因應要求性能來適宜選定,而並無特別限定,通常係以30~20,000nm程度為佳,較佳係以作成50~15,000nm為佳。During the formation of the lower resist film, in order to suppress the intermixing phenomenon with the upper resist (for example, the photoresist layer or the resist intermediate film) and promote the crosslinking reaction, it is better to perform a baking treatment. In this case, the baking temperature is not particularly limited, and is preferably in the range of 80 to 450°C, preferably 200 to 400°C. In addition, the baking time is not particularly limited, but it is preferably in the range of 10 seconds to 300 seconds. Moreover, the thickness of the resist underlayer film can be appropriately selected according to the required performance, and is not particularly limited. Generally, it is preferably about 30 to 20,000 nm, and preferably 50 to 15,000 nm.

在基板上製作阻劑下層膜後,可在光阻層與阻劑下層膜之間設置阻劑中間層膜。例如,在2層製程之情況,在阻劑下層膜之上設置含矽阻劑層或包含通常之烴之單層阻劑等作為阻劑中間層膜。又,例如,在3層製程之情況,以在阻劑中間層膜與光阻層之間製作含矽中間層,更在其上製作不包含矽之單層阻劑層為佳。作為形成該等光阻層、阻劑中間層膜、及設置於該等層之間之阻劑層用之光阻材料,可使用公知者After the resist underlayer film is fabricated on the substrate, a resist intermediate layer film can be arranged between the photoresist layer and the resist underlayer film. For example, in the case of a two-layer process, a silicon-containing resist layer or a single-layer resist containing normal hydrocarbons is provided on the resist underlayer film as the resist intermediate layer film. Also, for example, in the case of a three-layer process, it is better to form a silicon-containing intermediate layer between the resist intermediate layer film and the photoresist layer, and it is better to form a single-layer resist layer that does not contain silicon thereon. As the photoresist material for forming the photoresist layer, the resist intermediate layer film, and the resist layer disposed between the layers, known ones can be used

例如,作為2層製程用之含矽阻劑材料,從氧氣蝕刻耐性之觀點,較佳係使用正型光阻材料,該正型光阻材料係使用聚倍半矽氧烷衍生物或乙烯基矽烷衍生物等之含矽原子之聚合物作為基質聚合物,並且包含有機溶劑、酸產生劑、因應必要之鹼性化合物等。在此作為含矽原子之聚合物,可使用該種阻劑材料中所使用之公知聚合物。For example, as a silicon-containing resist material for a two-layer process, from the viewpoint of oxygen etching resistance, it is preferable to use a positive photoresist material, which uses polysilsesquioxane derivatives or vinyl Silane derivatives and other polymers containing silicon atoms are used as matrix polymers, and contain organic solvents, acid generators, and basic compounds as necessary. Here, as the silicon atom-containing polymer, a known polymer used in this kind of resist material can be used.

又,例如,作為3層製程用之含矽中間層,較佳係使用聚倍半矽氧烷基質之中間層。藉由使阻劑中間層具有作為防反射膜之效果,有能有效抑制反射的傾向。例如,在193nm曝光用製程中,使用包含諸多芳香族基且基板蝕刻耐性為高之材料作為阻劑下層膜,有k值變高,且基板反射變高的傾向,故藉由在阻劑中間層抑制反射,可將基板反射作成0.5%以下。作為具有此種防反射效果之中間層,並非受限於以下者,作為193nm曝光用,較佳使用已導入苯基或具有矽-矽鍵之吸光基之因酸或熱而進行交聯之聚倍半矽氧烷。In addition, for example, as a silicon-containing intermediate layer for a three-layer process, a polysilsesquioxane-based intermediate layer is preferably used. By making the resist intermediate layer have the effect as an anti-reflection film, there is a tendency that reflection can be effectively suppressed. For example, in the 193nm exposure process, a material containing many aromatic groups and high substrate etching resistance is used as a resist underlayer film. The k value becomes higher and the substrate reflection tends to become higher. The layer suppresses reflection, and the reflection of the substrate can be made 0.5% or less. As an intermediate layer with such anti-reflection effect, it is not limited to the following. For 193nm exposure, it is preferable to use a polymer that has been introduced into a phenyl group or a light-absorbing group with a silicon-silicon bond that is crosslinked by acid or heat Silsesquioxane.

又,也可使用以化學氣相沉積(Chemical Vapour Deposition,CVD)法形成之阻劑中間層膜。作為以CVD法製作之作為防反射膜之效果為高之中間層,並非係受限於以下者,已知有例如SiON膜。一般而言,以從CVD法藉由旋轉塗佈法或網版印刷等之濕式製程來形成阻劑中間層膜較為簡便且在成本上具有優點。尚且,3層製程中之上層阻劑可為正型、負型之任一者,又,可使用與通常所使用之單層阻劑為相同者。In addition, a resist intermediate layer film formed by a chemical vapor deposition (Chemical Vapour Deposition, CVD) method can also be used. As an intermediate layer that is produced by the CVD method and has a high effect as an anti-reflection film, it is not limited to the following, and for example, a SiON film is known. Generally speaking, it is relatively simple and cost-effective to form a resist interlayer film by a wet process such as a spin coating method or a screen printing method from the CVD method. In addition, the upper layer resist in the three-layer process can be either positive or negative. In addition, the same one as the usual single-layer resist can be used.

並且,本實施形態之阻劑下層膜係也能使用作為通常單層阻劑用之防反射膜或抑制圖型倒塌用之基底材料。本實施形態之阻劑下層膜由於基底加工用之蝕刻耐性優異,故也能期待作為基底加工用之硬遮罩之功能。In addition, the resist underlayer film system of this embodiment can also be used as an anti-reflection film for a normal single-layer resist or as a base material for suppressing pattern collapse. Since the resist underlayer film of this embodiment is excellent in etching resistance for substrate processing, it can also be expected to function as a hard mask for substrate processing.

在藉由上述公知之光阻材料來形成阻劑層的情況,與前述形成阻劑下層膜的情況相同,較佳使用旋轉塗佈法或網版印刷等之濕式製程。又,藉由旋轉塗佈法等塗佈阻劑材料後,通常進行預烘烤,該預烘烤係以80~180℃,及,烘烤時間10秒鐘~300秒鐘之範圍內進行為佳。其後,可依據常法,進行曝光,並進行後硬化烘烤(PEB)、顯像,而取得阻劑圖型。尚且,各阻劑膜之厚度並無特別限制,一般係以30~500nm為佳,以50~400nm為較佳。In the case of forming the resist layer by the above-mentioned known photoresist material, as in the case of forming the resist underlayer film described above, it is preferable to use a wet process such as a spin coating method or screen printing. In addition, after coating the resist material by the spin coating method, etc., it is usually pre-baked. The pre-baking is carried out at 80-180°C, and the baking time is within the range of 10 seconds to 300 seconds. good. After that, according to the usual method, exposure, post-curing bake (PEB) and development can be carried out to obtain the resist pattern. Furthermore, the thickness of each resist film is not particularly limited. Generally, 30~500nm is preferred, and 50~400nm is preferred.

又,曝光光線係因應所使用之光阻材料適宜選擇即可。一般如波長300nm以下之高能量線,具體地可舉出如248nm、193nm、157nm之準分子雷射、3~20nm之軟X光、電子束、X光等。In addition, the exposure light can be appropriately selected according to the photoresist material used. Generally, high-energy rays with a wavelength below 300nm, specifically, excimer lasers such as 248nm, 193nm, and 157nm, soft X-rays, electron beams, X-rays of 3-20nm, etc. can be mentioned.

藉由上述方法所形成之阻劑圖型係藉由本實施形態之阻劑下層膜而成為圖型倒塌受到抑制者。因此,藉由使用本實施形態之阻劑下層膜,而可取得更微細之圖型,又,可使為了取得該阻劑圖型之必要曝光量降低。The resist pattern formed by the above-mentioned method is the one whose pattern collapse is suppressed by the resist underlayer film of this embodiment. Therefore, by using the resist underlayer film of this embodiment, a finer pattern can be obtained, and the amount of exposure necessary to obtain the resist pattern can be reduced.

其次,將取得之阻劑圖型作為遮罩來進行蝕刻。作為2層製程中之阻劑下層膜之蝕刻,較佳使用氣體蝕刻。作為氣體蝕刻,適宜為使用氧氣之蝕刻。除了氧氣,也能加入He、Ar等之惰性氣體,或,CO、CO2 、NH3 、SO2 、N2 、NO2 、H2 氣體。又,也可不使用氧氣,而僅以CO、CO2 、NH3 、N2 、NO2 、H2 氣體來進行氣體蝕刻。尤其,後者之氣體係較佳使用於為了防止圖型側壁之底切(undercut)用之側壁保護。Secondly, use the obtained resist pattern as a mask for etching. As the etching of the resist underlayer film in the two-layer process, gas etching is preferably used. As gas etching, etching using oxygen is suitable. In addition to oxygen, inert gases such as He, Ar, etc., or CO, CO 2 , NH 3 , SO 2 , N 2 , NO 2 , and H 2 gas can also be added. Moreover, it is also possible to perform gas etching with only CO, CO 2 , NH 3 , N 2 , NO 2 , and H 2 gas without using oxygen. In particular, the latter air system is preferably used for sidewall protection in order to prevent undercuts of the patterned sidewalls.

另一方面,3層製程中之中間層(位於光阻層與阻劑下層膜之間之層)之蝕刻中也係較佳使用氣體蝕刻。作為氣體蝕刻,能適用與在上述2層製程中所說明者為相同者。尤其,3層製程中之中間層之加工係以使用氟碳系氣體將阻劑圖型作為遮罩來進行為佳。其後,如上述般藉由將中間層圖型作為遮罩來進行例如氧氣蝕刻,而可進行下層膜之加工。On the other hand, in the etching of the intermediate layer (the layer between the photoresist layer and the resist underlayer) in the three-layer process, it is also preferable to use gas etching. As the gas etching, the same as those described in the above-mentioned two-layer process can be applied. In particular, the processing of the intermediate layer in the 3-layer process is preferably performed by using a fluorocarbon-based gas to use the resist pattern as a mask. Thereafter, as described above, by using the intermediate layer pattern as a mask, for example, oxygen etching is performed to process the underlying film.

在此,形成無機硬遮罩中間層膜作為中間層時,以CVD法或ALD法等來形成矽氧化膜、矽氮化膜、矽氧化氮化膜(SiON膜)。作為氮化膜之形成方法,並無係受限於以下者,可使用例如,日本特開2002-334869號公報、WO2004/066377中記載之方法。可在此種中間層膜之上直接形成光阻膜,亦可在中間層膜之上以旋轉塗佈形成有機防反射膜(BARC),並於其上形成光阻膜。Here, when an inorganic hard mask intermediate layer film is formed as an intermediate layer, a silicon oxide film, a silicon nitride film, and a silicon oxide nitride film (SiON film) are formed by a CVD method, an ALD method, or the like. The method for forming the nitride film is not limited to the following. For example, the method described in Japanese Patent Application Laid-Open No. 2002-334869 and WO2004/066377 can be used. A photoresist film can be formed directly on the intermediate layer film, or an organic anti-reflective film (BARC) can be formed by spin coating on the intermediate layer film, and a photoresist film can be formed thereon.

作為中間層,亦可適宜使用聚倍半矽氧烷基底之中間層。藉由使阻劑中間層膜具有作為防反射膜之效果,而有能有效抑制反射的傾向。關於聚倍半矽氧烷基底之中間層之具體材料,並非係受限於以下者,可使用例如,日本特開2007-226170號、日本特開2007-226204號中記載者。As the intermediate layer, a polysilsesquioxane-based intermediate layer can also be suitably used. By making the resist interlayer film have the effect of being an anti-reflection film, there is a tendency that reflection can be effectively suppressed. Regarding the specific material of the intermediate layer of the polysilsesquioxane base, it is not limited to the following. For example, those described in Japanese Patent Application Publication No. 2007-226170 and Japanese Patent Application Publication No. 2007-226204 can be used.

又,基板之蝕刻也係可根據常法來進行,例如,基板若為SiO2 、SiN,則可進行以氟碳系氣體為主體之蝕刻,若為p-Si或Al、W,則可進行以氯系、溴系氣體為主體之蝕刻。以氟碳系氣體來蝕刻基板的情況,2層阻劑製程之含矽阻劑與3層製程之含矽中間層係在基板加工之同時而被剝離。另一方面,以氯系或溴系氣體蝕刻基板的情況,含矽阻劑膜或含矽中間層之剝離係另外進行,一般係在基板加工後進行利用氟碳系氣體之乾蝕刻剝離。In addition, the etching of the substrate can also be carried out according to the conventional method. For example, if the substrate is SiO 2 or SiN, etching with fluorocarbon gas as the main body can be carried out, and if it is p-Si or Al, W, it can be carried out. Etching with chlorine and bromine gases as the main body. When the substrate is etched with fluorocarbon gas, the silicon-containing resist of the 2-layer resist process and the silicon-containing intermediate layer of the 3-layer process are peeled off at the same time as the substrate is processed. On the other hand, when the substrate is etched with chlorine or bromine gas, the peeling of the silicon-containing resist film or the silicon-containing intermediate layer is performed separately. Generally, dry etching with fluorocarbon gas is performed after the substrate is processed.

本實施形態中之阻劑下層膜係對於該等基板之蝕刻耐性優異。尚且,作為基板,可適宜選擇使用公知者而並無特別限定,可舉出如Si、α-Si、p-Si、SiO2 、SiN、SiON、W、TiN、Al等。又,基板也可為在基材(支持體)上具有被加工膜(被加工基板)之層合體。作為此種被加工膜,可舉出例如,Si、SiO2 、SiON、SiN、p-Si、α-Si、W、W-Si、Al、Cu、Al-Si等各種Low-k膜及其之制動器膜等,通常係使用與基材(支持體)為相異之材質者。尚且,成為加工對象之基板或被加工膜之厚度並無特別限定,通常係以50~10,000nm程度為佳,以75~5,000nm為較佳。The resist underlayer film in this embodiment has excellent etching resistance to these substrates. Furthermore, as the substrate, known ones can be appropriately selected and used without particular limitation, and examples thereof include Si, α-Si, p-Si, SiO 2 , SiN, SiON, W, TiN, Al, and the like. In addition, the substrate may be a laminate having a film to be processed (substrate to be processed) on a substrate (support). As such a processed film, for example, various Low-k films such as Si, SiO 2 , SiON, SiN, p-Si, α-Si, W, W-Si, Al, Cu, Al-Si, etc. can be cited. The brake film, etc., are usually made of a material different from the base material (support). Furthermore, the thickness of the substrate or the processed film to be processed is not particularly limited, and it is usually about 50 to 10,000 nm, preferably 75 to 5,000 nm.

本實施形態之阻劑下層膜係對於具有段差之基板之埋入平坦性優異。作為埋入平坦性之評價方法,可適宜選擇使用公知者,而並無特別限定,例如,藉由旋轉塗佈在具有段差之矽製基板上塗佈調整成指定濃度之各化合物之溶液,在110℃下進行90秒鐘之溶劑去除,以成為指定厚度之方式形成下層膜後,藉由橢圓偏光計來測量在240~300℃程度之溫度下烘烤指定時間後之線寬&線間距區域與無圖型之開放區域之下層膜厚度之差(ΔT),藉此來評價對於段差基板之埋入平坦性。The resist underlayer film system of this embodiment is excellent in embedding flatness for a substrate with a step. As an evaluation method of the embedment flatness, known ones can be selected as appropriate, and are not particularly limited. For example, a solution of each compound adjusted to a specified concentration is coated on a silicon substrate with a step by spin coating. After the solvent is removed for 90 seconds at 110℃, the underlayer film is formed to a specified thickness, and then the line width & line spacing area after baking at a temperature of 240~300℃ for a specified time is measured by an ellipsometer The difference (ΔT) of the film thickness under the open area with no pattern is used to evaluate the embedding flatness of the stepped substrate.

[光學物品形成用組成物及光學物品] 本實施形態之光學零件形成用組成物為含有本實施形態之抗壞血酸或其衍生物或樹脂之光學零件形成用組成物。該光學零件形成用組成物係可有用地使用於形成光學物品。本實施形態之光學零件形成用組成物藉由含有本實施形態之抗壞血酸或其衍生物或樹脂,而能期待取得之光學物品之高折射率及高透明性,以及能期待保存安定性、構造物形成能力(膜形成能力)、耐熱性。[Composition for forming optical articles and optical articles] The composition for forming an optical part of the present embodiment is a composition for forming an optical part containing the ascorbic acid or its derivative or resin of the present embodiment. The composition system for forming optical parts can be usefully used for forming optical articles. The composition for forming an optical part of the present embodiment contains the ascorbic acid or its derivatives or resins of the present embodiment, and can be expected to obtain the high refractive index and high transparency of the optical article, as well as the storage stability and structure. Formation ability (film formation ability), heat resistance.

從光學零件之小型化或提升集光率之觀點,光學物品之折射率係以1.65以上為佳,以1.70以上為較佳,以1.75以上為更佳。從提升集光率之觀點,光學物品之透明性係以70%以上為佳,以80%以上為較佳,以90%以上為更佳。From the viewpoint of miniaturization of optical parts or enhancement of light concentration, the refractive index of the optical article is preferably 1.65 or more, preferably 1.70 or more, and more preferably 1.75 or more. From the viewpoint of increasing the light collection rate, the transparency of optical articles is preferably 70% or more, more preferably 80% or more, and more preferably 90% or more.

折射率之測量方法並無特別限制,可使用公知之方法。可舉出例如,光譜橢圓偏振法(spectroscopic ellipsometry)、最小偏向角法、臨界角法(阿貝式、浦夫立希式)、V形塊法、稜鏡耦合法法或液浸法(貝克線法)。透明性之測量方法並無特別限制,可使用公知之方法。可舉出例如,分光光度計或光譜橢圓偏振法。The method of measuring the refractive index is not particularly limited, and a known method can be used. For example, spectroscopic ellipsometry (spectroscopic ellipsometry), minimum deflection angle method, critical angle method (Abbe type, Pufrich type), V-block method, scallop coupling method or liquid immersion method (Baker Line method). The method of measuring transparency is not particularly limited, and a known method can be used. For example, a spectrophotometer or spectroscopic ellipsometry can be mentioned.

又使該光學零件形成用組成物硬化而得之形成光學物品之本實施形態之硬化物矽能為三次元交聯物,受到從低溫至高溫之廣範圍之熱處理仍會抑制著色,且能期待高折射率及高透明性。Furthermore, the cured product of this embodiment of the optical article obtained by curing the composition for forming an optical part is a three-dimensional cross-linked product. The heat treatment in a wide range from a low temperature to a high temperature will still suppress the coloring, and it can be expected High refractive index and high transparency.

本實施形態之光學零件形成用組成物除了本實施形態之抗壞血酸或其衍生物或樹脂以外,以可更含有溶劑。作為該溶劑,可使用與前述本實施形態之微影用下層膜形成用組成物所使用之溶劑為同樣者。The composition for forming an optical component of this embodiment may contain a solvent in addition to the ascorbic acid or its derivatives or resins of this embodiment. As the solvent, the same solvent as that used in the composition for forming an underlayer film for lithography of the present embodiment described above can be used.

本實施形態之光學零件形成用組成物中,固體成分之量與溶劑之量的關係並無特別限定,相對於固體成分及溶劑之合計100質量%,固體成分1~80質量%及溶劑20~99質量%為佳,較佳為固體成分1~50質量%及溶劑50~99質量%,更佳為固體成分2~40質量%及溶劑60~98質量%,特佳為固體成分2~10質量%及溶劑90~98質量%。尚且,本實施形態之光學零件形成用組成物也可不包含溶劑。In the composition for forming optical parts of the present embodiment, the relationship between the amount of solid content and the amount of solvent is not particularly limited. With respect to the total solid content and solvent 100% by mass, the solid content is 1 to 80% by mass and the solvent is 20%. 99% by mass is preferred, solid content is preferably 1-50% by mass and solvent is 50-99% by mass, solid content is more preferably 2-40% by mass and solvent is 60-98% by mass, and solid content is particularly preferably 2-10. Mass% and solvent 90~98% by mass. Furthermore, the composition for forming an optical component of this embodiment does not need to contain a solvent.

本實施形態之光學零件形成用組成物也可包選自由酸產生劑(C)、酸交聯劑(G)、酸擴散控制劑(E)及其他成分(F)所成群之至少一種作為其他固體成分。The composition for forming optical parts of this embodiment may also include at least one selected from the group consisting of acid generator (C), acid crosslinking agent (G), acid diffusion control agent (E), and other components (F). Other solid ingredients.

本實施形態之光學零件形成用組成物中,本實施形態之抗壞血酸或其衍生物或樹脂之含量並無特別限定,以固體成分之總質量(本實施形態之抗壞血酸或其衍生物或樹脂、酸產生劑(C)、酸交聯劑(G)、酸擴散控制劑(E)及其他成分(F)等之任意所使用之固體成分之總和,以下相同)之50~99.4質量%為佳,較佳為55~90質量%,更佳為60~80質量%,特佳為60~70質量%。In the composition for forming optical parts of this embodiment, the content of ascorbic acid or its derivatives or resins in this embodiment is not particularly limited, and is based on the total mass of solid components (ascorbic acid or its derivatives or resins, acid of this embodiment). The total amount of solid components used in any of the generator (C), acid crosslinking agent (G), acid diffusion control agent (E) and other components (F), the same below) is preferably 50-99.4% by mass, It is preferably 55 to 90% by mass, more preferably 60 to 80% by mass, and particularly preferably 60 to 70% by mass.

(酸產生劑(C)) 本實施形態之光學零件形成用組成物係以含有一種以上之因熱而直接或間接產生酸之酸產生劑(C)為佳。前述酸產生劑(C)並無特別限定,可為例如與前述之本實施形態之微影用下層膜形成用組成物所能包含之酸產生劑(C)為相同者。(Acid Generator (C)) The composition for forming an optical part of the present embodiment preferably contains one or more acid generators (C) that directly or indirectly generate acid due to heat. The acid generator (C) is not particularly limited, and may be, for example, the same as the acid generator (C) that can be contained in the composition for forming an underlayer film for lithography of the present embodiment described above.

本實施形態之光學零件形成用組成物中,酸產生劑(C)之含量係以固體成分之總質量之0.001~49質量%為佳,以1~40質量%為較佳,以3~30質量%為更佳,以10~25質量為特佳。藉由在前述含量之範圍內使用酸產生劑(C),而可更加取得高折射率。In the composition for forming optical parts of this embodiment, the content of the acid generator (C) is preferably 0.001 to 49% by mass of the total solid content, preferably 1 to 40% by mass, and 3 to 30 The quality% is better, and the quality is 10-25. By using the acid generator (C) within the aforementioned content range, a higher refractive index can be obtained.

(酸交聯劑(G)) 本實施形態之光學零件形成用組成物在使用作為增加構造物之強度用之添加劑時,以包含一種以上之酸交聯劑(G)為佳。酸交聯劑(G)並無特別限定,可為例如與前述之本實施形態之微影用下層膜形成用組成物所能包含之酸交聯劑(G)為相同者。(Acid crosslinking agent (G)) When the composition for forming an optical part of the present embodiment is used as an additive for increasing the strength of the structure, it is preferable to contain one or more acid crosslinking agents (G). The acid crosslinking agent (G) is not particularly limited, and may be, for example, the same as the acid crosslinking agent (G) that can be contained in the composition for forming an underlayer film for lithography of the present embodiment described above.

本實施形態之光學零件形成用組成物中,酸交聯劑(G)之含量係以固體成分之總質量之0.5~49質量%為佳,以0.5~40質量%為較佳,以1~30質量%為更佳,以2~20質量%為特佳。將前述酸交聯劑(G)之含有比例作成在0.5質量%以上時,由於可提升光學零件形成用組成物對有機溶劑之溶解性之抑制效果而為佳,另一方面,在作成49質量%以下時,由於可抑制作為光學零件形成用組成物之耐熱性的降低而為佳。In the composition for forming optical parts of this embodiment, the content of the acid crosslinking agent (G) is preferably 0.5 to 49% by mass of the total solid content, preferably 0.5 to 40% by mass, and 1 to 30% by mass is more preferable, and 2-20% by mass is particularly preferable. When the content of the aforementioned acid crosslinking agent (G) is made 0.5% by mass or more, it is preferable to improve the inhibitory effect of the composition for forming optical parts on the solubility of organic solvents. On the other hand, it is made 49% by mass. % Or less, since the reduction in heat resistance as a composition for forming optical parts can be suppressed.

又,選自前述酸交聯劑(G)中之前述酸交聯劑(G1)、前述酸交聯劑(G2)、前述酸交聯劑(G3)之至少1種化合物之含量也並無特別限定,可根據形成光學零件形成用組成物之際所使用之基板種類等來作成各種範圍。In addition, the content of at least one compound selected from the acid crosslinking agent (G1), the acid crosslinking agent (G2), and the acid crosslinking agent (G3) among the acid crosslinking agents (G) is also not present It is particularly limited, and various ranges can be made according to the type of substrate used when forming the composition for forming an optical component, and the like.

(酸擴散控制劑(E)) 本實施形態之光學零件形成用組成物亦可含有:酸擴散控制劑(E),該酸擴散控制劑(E)係具有控制從酸產生劑所產生之酸在光學零件形成用組成物中之擴散而阻止不佳化學反應之作用等者。藉由使用此種酸擴散控制劑(E),而光學零件形成用組成物之儲藏安定性提升。 又解像度更加提升,並且可抑制加熱後之閒置時間之變動所造成之構造物之線寬變化,而成為製程安定性極優異者。此種酸擴散控制劑(E)並無特別限定,可為例如與前述之本實施形態之微影用下層膜形成用組成物所能包含之酸擴散控制劑(E)為相同者。(Acid diffusion control agent (E)) The composition for forming an optical part of the present embodiment may also contain: an acid diffusion control agent (E), which has the ability to control the acid generated from the acid generator in the composition for forming an optical part Diffusion to prevent the effect of poor chemical reactions, etc. By using this acid diffusion control agent (E), the storage stability of the composition for forming optical parts is improved. The resolution is further improved, and the line width change of the structure caused by the change of the idle time after heating can be suppressed, and the process stability is extremely excellent. Such an acid diffusion control agent (E) is not particularly limited, and may be, for example, the same as the acid diffusion control agent (E) that can be contained in the composition for forming an underlayer film for lithography of the present embodiment described above.

酸擴散控制劑(E)之含量係以固體成分之總質量之0.001~49質量%為佳,以0.01~10質量%為較佳,以0.01~5質量%為更佳,以0.01~3質量%為特佳。酸擴散控制劑(E)之含量在前述範圍內時,可更加抑制解像度降低、圖型形狀、尺寸忠實度等之劣化。有從電子線照射至放射線照射後加熱為止之閒置時間即使變長,圖型上層部之形狀仍不會劣化。又,酸擴散控制劑(E)之含量在10質量%以下時,可防止感度、未曝光部之顯像性等低下。又,藉由使用此種酸擴散控制劑,有光學零件形成用組成物之儲藏安定性提升,又解像度提升,並且可抑制放射線照射前之閒置時間、放射線照射後之閒置時間之變動所造成之光學零件形成用組成物之線寬變化,而製程安定性成為極優異者。The content of the acid diffusion control agent (E) is preferably 0.001~49 mass% of the total mass of the solid content, preferably 0.01~10 mass%, more preferably 0.01~5 mass%, and 0.01~3 mass% % Is particularly good. When the content of the acid diffusion control agent (E) is within the aforementioned range, it is possible to further suppress the deterioration of the resolution, the shape of the pattern, the dimensional fidelity, and the like. Even if the idle time from electron beam irradiation to heating after radiation irradiation becomes longer, the shape of the upper layer of the pattern will not deteriorate. In addition, when the content of the acid diffusion control agent (E) is 10% by mass or less, it is possible to prevent deterioration of sensitivity and developability of unexposed areas. In addition, by using this acid diffusion control agent, the storage stability of the composition for forming optical parts is improved, and the resolution is improved, and the variation in the idle time before radiation exposure and the idle time after radiation exposure can be suppressed. The line width of the composition for forming optical parts varies, and the process stability becomes extremely excellent.

(其他成分(F)) 本實施形態之光學零件形成用組成物中,在不阻礙本實施形態之目的範圍,因應必要,可添加1種或2種以上之溶解促進劑、溶解控制劑、增感劑、界面活性劑及有機羧酸或磷之含氧酸或其衍生物等之各種添加劑作為其他成分(F)。作為其他成分(F),可為例如與前述之本實施形態之微影用下層膜形成用組成物所能包含之其他成分(F)為相同者。(Other ingredients (F)) In the composition for forming optical parts of this embodiment, one or more of dissolution promoters, dissolution control agents, sensitizers, surfactants, and surfactants can be added as necessary within a range that does not hinder the purpose of this embodiment. Various additives such as organic carboxylic acid or phosphorus oxyacid or its derivatives are used as other components (F). The other component (F) may be, for example, the same as the other component (F) that can be contained in the composition for forming an underlayer film for lithography of the present embodiment described above.

其他成分(F)之合計含量係以固體成分之總質量之0~49質量%為佳,以0~5質量%為較佳,以0~1質量%為更佳,以0質量%為特佳。The total content of other ingredients (F) is preferably 0~49% by mass of the total solid content, preferably 0~5% by mass, more preferably 0~1% by mass, and particularly 0% by mass good.

本實施形態之光學零件形成用組成物中,本實施形態之抗壞血酸或其衍生物或樹脂、酸產生劑(C)、酸擴散控制劑(E)、其他成分(F)之含量(本實施形態之抗壞血酸或其衍生物或樹脂/酸產生劑(C)/酸擴散控制劑(E)/其他成分(F))在固形物基準之質量%計,以50~99.4/0.001~49/0.001~49/0~49為佳,較佳為55~90/1~ 40/0.01~10/0~5,更佳為60~80/3~30/0.01~5/0~1,特佳為60~70/10~25/0.01~3/0。各成分之含有比例係以其總和成為100質量%之方式來選自各範圍。作成前述含有比例時,感度、解像度、顯像性等之性能更加優異。In the composition for forming optical parts of this embodiment, the content of ascorbic acid or its derivatives or resins, acid generator (C), acid diffusion control agent (E), and other components (F) of this embodiment (this embodiment Ascorbic acid or its derivatives or resin/acid generator (C)/acid diffusion control agent (E)/other ingredients (F)) based on the mass% of the solid content, based on 50~99.4/0.001~49/0.001~ 49/0~49 is better, preferably 55~90/1~ 40/0.01~10/0~5, more preferably 60~80/3~30/0.01~5/0~1, particularly preferably 60 ~70/10~25/0.01~3/0. The content ratio of each component is selected from each range so that the sum becomes 100 mass %. When the above-mentioned content ratio is set, the performances such as sensitivity, resolution, and developability are more excellent.

本實施形態之光學零件形成用組成物之調製方法並無特別限定,可舉出例如,在使用時使各成分溶解於溶劑而作成均勻溶液,其後,因應必要使用例如孔徑0.2μm程度之過濾器等進行過濾的方法。The preparation method of the composition for forming optical parts of the present embodiment is not particularly limited. For example, the components are dissolved in a solvent to form a uniform solution during use, and thereafter, if necessary, filtration with a pore size of about 0.2 μm is used. Filter, etc.

本實施形態之光學零件形成用組成物在不阻礙本發明之目的範圍,亦可包含其他樹脂。其他樹脂並無特別限定,可舉出例如,酚醛樹脂、聚乙烯酚類、聚丙烯酸、聚乙烯醇、苯乙烯-無水馬來酸樹脂,及將丙烯酸、乙烯醇、或乙烯酚包含作為單體單位之聚合物或該等之衍生物等。該樹脂之含量並無特別限定,因應所使用之本實施形態之抗壞血酸或其衍生物或樹脂之種類來適宜調節,本實施形態之抗壞血酸或其衍生物或樹脂每100質量份,以30質量份以下為佳,較佳為10質量份以下,更佳為5質量份以下,特佳為0質量份。The composition for forming an optical component of the present embodiment may include other resins within a range that does not hinder the purpose of the present invention. Other resins are not particularly limited, and examples include phenolic resins, polyvinyl phenols, polyacrylic acid, polyvinyl alcohol, styrene-anhydrous maleic acid resins, and acrylic acid, vinyl alcohol, or vinyl phenol as monomers. Units of polymers or their derivatives, etc. The content of the resin is not particularly limited, and is appropriately adjusted according to the type of ascorbic acid or its derivatives or resin used in this embodiment. Ascorbic acid or its derivatives or resin in this embodiment is 30 parts by mass per 100 parts by mass The following is preferable, preferably 10 parts by mass or less, more preferably 5 parts by mass or less, and particularly preferably 0 parts by mass.

又本實施形態之硬化物係使前述光學零件形成用組成物硬化而得,且可使用作為各種樹脂。該等硬化物係可使用於各種用途作為賦予高融點、高折射率及高透明性之各種特性之高泛用性材料。尚且,該硬化物係可使前述組成物藉由光照射、加熱等之對應各組成之公知方法來取得。In addition, the cured product of this embodiment is obtained by curing the aforementioned composition for forming optical parts, and can be used as various resins. These hardened materials can be used in various applications as highly versatile materials that impart various characteristics such as high melting point, high refractive index, and high transparency. In addition, the cured product can be obtained by a known method corresponding to each composition such as light irradiation and heating.

該等硬化物係可使用作為環氧樹脂、聚碳酸酯樹脂、丙烯酸樹脂等之各種合成樹脂,以及可活用其機能性而使用透鏡、光學片等之光學零件。 [實施例]Various synthetic resins such as epoxy resins, polycarbonate resins, and acrylic resins can be used for these hardened materials, and optical parts such as lenses and optical sheets can be used by utilizing their functions. [Example]

以下,例舉實施例,更加具體說明本實施形態。但,本發明並非係受到該等實施例所限定者。Hereinafter, the present embodiment will be explained in more detail by giving examples. However, the present invention is not limited by these embodiments.

[實施例1-1] 基於下述式(2-1)所示之反應式,合成出本實施形態之化合物。[Example 1-1] Based on the reaction formula shown in the following formula (2-1), the compound of this embodiment was synthesized.

Figure 02_image067
Figure 02_image067

具體而言,將間苯二酚(20mmol)、TBAB(溴化四丁基銨)(1.0mmol)及NaH(44mmol),添加至作為溶劑之DMSO(二甲亞碸),在室溫下使其反應1小時。其後,添加L-抗壞血酸(100mmol),使其反應24小時。藉由CHCl3 使其再沉澱後,藉由在二乙基醚中一同與鹽酸進行攪拌並進行傾析,以99%以上之收率取得目的之化合物。該化合物之構造係藉由1 H-NMR測量及IR測量進行確認。Specifically, resorcinol (20mmol), TBAB (tetrabutylammonium bromide) (1.0mmol) and NaH (44mmol) were added to DMSO (dimethyl sulfide) as a solvent, and the mixture was heated at room temperature. It reacted for 1 hour. Then, L-ascorbic acid (100 mmol) was added, and it was made to react for 24 hours. After reprecipitating with CHCl 3, it was stirred with hydrochloric acid in diethyl ether and decanted to obtain the target compound with a yield of 99% or more. The structure of the compound was confirmed by 1 H-NMR measurement and IR measurement.

[實施例1-2] 除了在80℃下進行反應以外,其他係與實施例1-1同樣地實施,以71%之收率取得目的之化合物。[Example 1-2] Except that the reaction was carried out at 80°C, the other systems were carried out in the same manner as in Example 1-1, and the desired compound was obtained with a yield of 71%.

[實施例1-3] 除了取代DMSO而改用NMP(N-甲基吡咯啶酮)作為溶劑以外,與實施例1-1同樣地實施,以29%之收率取得目的之化合物。[Example 1-3] Except that NMP (N-methylpyrrolidone) was used as a solvent instead of DMSO, the same procedure was carried out as in Example 1-1, and the target compound was obtained with a yield of 29%.

[實施例1-4] 除了在80℃下進行反應以外,與實施例1-3同樣地實施,以72%之收率取得目的之化合物。[Example 1-4] Except that the reaction was carried out at 80°C, it was carried out in the same manner as in Example 1-3, and the target compound was obtained with a yield of 72%.

[實施例2-1] 基於下述式(2-2)所示之反應式,合成出本實施形態之化合物。[Example 2-1] Based on the reaction formula shown in the following formula (2-2), the compound of this embodiment was synthesized.

Figure 02_image069
Figure 02_image069

具體而言,將L-抗壞血酸(10mmol)及乙氧基化鈉(1mmol)添加至DMSO,在室溫下使其反應6小時。其後,添加無水乙酸(53mmol)及TEA(三乙基胺)(72mmol),使其反應1小時。藉由二乙基醚使其再沉澱後,藉由進行傾析,以97%之收率(異構物混合物之收率)取得目的之化合物。該化合物之構造係藉由1 H-NMR測量及IR測量進行確認。Specifically, L-ascorbic acid (10 mmol) and sodium ethoxylate (1 mmol) were added to DMSO and allowed to react at room temperature for 6 hours. Then, anhydrous acetic acid (53 mmol) and TEA (triethylamine) (72 mmol) were added, and it was made to react for 1 hour. After reprecipitating with diethyl ether, decantation was performed to obtain the desired compound with a yield of 97% (yield of a mixture of isomers). The structure of the compound was confirmed by 1 H-NMR measurement and IR measurement.

[實施例2-2] 除了在60℃下進行反應以外,其他係與實施例2-1同樣地實施,以96%之收率取得目的之化合物。[Example 2-2] Except that the reaction was carried out at 60°C, the other systems were carried out in the same manner as in Example 2-1, and the desired compound was obtained with a yield of 96%.

[實施例3] 基於下述式(2-3)所示之反應式,合成出本實施形態之化合物。[Example 3] Based on the reaction formula shown in the following formula (2-3), the compound of this embodiment was synthesized.

Figure 02_image071
Figure 02_image071

具體而言,將L-抗壞血酸(1mmol)、TBAB (0.1mmol)及NaH(4.4mmol)添加至NMP,在室溫下使其反應1小時。其後,添加溴乙酸金剛烷基酯(4.4mmol),在80℃下使其反應24小時。藉由1mol/L之鹽酸水溶液使其再沉澱後進行傾析,而取得目的之化合物。該化合物之構造係藉由1 H-NMR測量及IR測量進行確認。Specifically, L-ascorbic acid (1 mmol), TBAB (0.1 mmol), and NaH (4.4 mmol) were added to NMP and allowed to react at room temperature for 1 hour. Then, adamantyl bromoacetate (4.4 mmol) was added, and it was made to react at 80 degreeC for 24 hours. Re-precipitate with 1 mol/L hydrochloric acid aqueous solution and then decant to obtain the desired compound. The structure of the compound was confirmed by 1 H-NMR measurement and IR measurement.

[實施例4] 基於下述式(2-4)所示之反應式,合成出本實施形態之化合物。[Example 4] Based on the reaction formula shown in the following formula (2-4), the compound of this embodiment was synthesized.

Figure 02_image073
Figure 02_image073

具體而言,將L-抗壞血酸(10mmol)及甲基丙烯醯氯(44mmol)添加至NMP與TEA之混合溶劑(NMP/TEA =4/1(體積比)),藉由在氮環境下,在室溫中使其反應24小時,以86%之收率取得目的之化合物。該化合物之構造係藉由1 H-NMR測量及IR測量進行確認。又,確認到該化合物係會良好溶解於甲醇、THF(四氫呋喃)、丙酮、MEK(甲基乙基酮)、氯仿、DMSO、DMF(二甲基甲醯胺)、NMP、及乙酸乙酯。Specifically, L-ascorbic acid (10mmol) and methacrylic acid chloride (44mmol) were added to the mixed solvent of NMP and TEA (NMP/TEA = 4/1 (volume ratio)), and the It was allowed to react at room temperature for 24 hours, and the target compound was obtained with a yield of 86%. The structure of the compound was confirmed by 1 H-NMR measurement and IR measurement. In addition, it was confirmed that this compound is well dissolved in methanol, THF (tetrahydrofuran), acetone, MEK (methyl ethyl ketone), chloroform, DMSO, DMF (dimethylformamide), NMP, and ethyl acetate.

[實施例5-1] 基於下述式(2-5)所示之反應式,合成出本實施形態之樹脂。[Example 5-1] Based on the reaction formula represented by the following formula (2-5), the resin of this embodiment was synthesized.

Figure 02_image075
Figure 02_image075

具體而言,在50ml茄型燒瓶中放入L-抗壞血酸(3mmol:0.528g),進行氮取代,添加三甲基胺(12 mmol:1.7ml)、NMP 15ml。對此滴下將在冰浴中溶解於NMP 10ml之對苯二甲醯氯(6mmol:1.23g),在室溫下使其反應24小間。反應結束後,使其再沉澱於1mol/L之鹽酸水溶液,進行膜過濾,並進行減壓乾燥,以71%之收率取得白色固體之目的樹脂。Specifically, L-ascorbic acid (3 mmol: 0.528 g) was put in a 50 ml eggplant-shaped flask, and nitrogen substitution was performed, and trimethylamine (12 mmol: 1.7 ml) and 15 ml of NMP were added. To this, terephthalic acid chloride (6 mmol: 1.23 g) dissolved in 10 ml of NMP in an ice bath was dropped, and the reaction was allowed to react at room temperature for 24 hours. After the reaction, it was reprecipitated in a 1 mol/L hydrochloric acid aqueous solution, membrane filtered, and dried under reduced pressure to obtain the target resin as a white solid with a yield of 71%.

根據GPC測量,確認到Mn=3770,Mw/Mn= 1.056。測量1 H-NMR之結果,確認到在7.92-8.31ppm處起因於苯環之波峰,在5.26ppm及5.21ppm處起因於次甲基之波峰,在4.37ppm處起因於亞甲基之波峰。又,根據IR測量,確認到在1691cm-1 處起因於羰基之波峰,在1286cm-1 處起因於碳-碳雙鍵之波峰,在1213cm-1 處起因於醚之波峰。藉此,確認到已取得目的之樹脂。又,確認到該化合物係會良好地溶解於甲醇、THF、DMSO、DMF及NMP。According to GPC measurement, it is confirmed that Mn=3770 and Mw/Mn=1.056. As a result of 1 H-NMR measurement, it was confirmed that the peaks originated from the benzene ring at 7.92-8.31 ppm, the peaks originated from the methine group at 5.26 ppm and 5.21 ppm, and the peak originated from the methylene group at 4.37 ppm. Further, according to IR measurement, it was confirmed that peaks at 1691cm-carbonyl place due to -1 at 1286cm -1 due to carbon - carbon double bond peak at 1213cm -1 due to the peak of ether. With this, it was confirmed that the resin for which the purpose was obtained. In addition, it was confirmed that this compound system dissolves well in methanol, THF, DMSO, DMF, and NMP.

[實施例5-2] 基於前述式(2-6)所示之反應式,合成出本實施形態之樹脂。[Example 5-2] Based on the reaction formula shown in the aforementioned formula (2-6), the resin of this embodiment was synthesized.

Figure 02_image077
Figure 02_image077

具體而言,在50ml茄型燒瓶中放入L-抗壞血酸(3mmol:0.528g),進行氮取代,並添加三乙基胺(7mmol:1.0ml)、NMP 15ml。對此滴下在冰浴中溶解於NMP 5ml之對苯二甲醯氯(3mmol:0.61g),在室溫下使其反應24小間。反應結束後,使其再沉澱於1mol/L之鹽酸水溶液中,進行桐山過濾,而取得茶色固體。使其溶解於甲醇,並再沉澱於醚中,進行膜過濾,並進行減壓乾燥,而取得白色固體之目的樹脂。Specifically, L-ascorbic acid (3 mmol: 0.528 g) was placed in a 50 ml eggplant-shaped flask, and nitrogen substitution was performed, and triethylamine (7 mmol: 1.0 ml) and 15 ml of NMP were added. To this, terephthalic acid chloride (3 mmol: 0.61 g) dissolved in 5 ml of NMP in an ice bath was dropped and allowed to react at room temperature for 24 hours. After the reaction, it was reprecipitated in a 1 mol/L hydrochloric acid aqueous solution, and Kiriyama filtration was performed to obtain a brown solid. Dissolve it in methanol, re-precipitate in ether, perform membrane filtration, and dry under reduced pressure to obtain the target resin as a white solid.

根據GPC測量,確認到Mn=5772,Mw/Mn= 1.254。測量1 H-NMR之結果,確認到在11.20ppm及8.49-7.99ppm處起因於羥基之波峰,在5.24ppm及4.48-4.30ppm處起因於次甲基之波峰,在4.25ppm處起因於亞甲基之波峰。又,根據IR測量,確認到在3017cm-1 處起因於羥基之波峰,在1720cm-1 處起因於羰基之波峰,在1259cm-1 處起因於酯基之波峰,在1127cm-1 處起因於醚之波峰。藉此,確認到已取得目的之樹脂。又,確認到該化合物會良好地溶解於甲醇、THF、丙酮、MEK、氯仿、DMSO、DMF、及NMP。According to GPC measurement, it is confirmed that Mn=5772 and Mw/Mn=1.254. The results of 1 H-NMR measurement confirmed that the peaks at 11.20 ppm and 8.49-7.99 ppm originated from hydroxyl groups, the peaks at 5.24 ppm and 4.48-4.30 ppm originated from methine groups, and the peaks at 4.25 ppm originated from methylene groups. The crest of the foundation. In addition, according to IR measurement, it was confirmed that the peak at 3017 cm -1 originated from the hydroxyl group, the peak at 1720 cm -1 originated from the carbonyl group, the peak at 1259 cm -1 originated from the ester group, and the peak at 1127 cm -1 originated from the ether. The crest. With this, it was confirmed that the resin for which the purpose was obtained. In addition, it was confirmed that this compound is well dissolved in methanol, THF, acetone, MEK, chloroform, DMSO, DMF, and NMP.

[實施例6-1] 基於下述式(2-7)所示之反應式,合成出本實施形態之樹脂。[Example 6-1] Based on the reaction formula shown in the following formula (2-7), the resin of this embodiment was synthesized.

Figure 02_image079
Figure 02_image079

具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(3mmol:0.529g)、六亞甲基二異氰酸酯(6mmol:1.000g)、NMP 15ml、TEA 2ml,在氮下在室溫中進行攪拌24小時而使其反應。反應結束後,使反應溶液在1mol/L之鹽酸水溶液中進行再沉澱,而沉澱出黃色黏性固體。進行傾析而取得黃色黏性固體後,進行減壓乾燥,以56%之收率取得目的之樹脂。該樹脂之構造係藉由1 H-NMR測量及IR測量進行確認。又,根據GPC測量,確認到Mn=2758,Mw/Mn=1.33。Specifically, put a stirrer in a 100ml eggplant-shaped flask, add L-ascorbic acid (3mmol: 0.529g), hexamethylene diisocyanate (6mmol: 1.000g), 15ml of NMP, 2ml of TEA, and place it in the chamber under nitrogen. The reaction was carried out by stirring for 24 hours at warm temperature. After the reaction, the reaction solution was re-precipitated in a 1 mol/L hydrochloric acid aqueous solution, and a yellow viscous solid was precipitated. After decantation was performed to obtain a yellow viscous solid, it was dried under reduced pressure to obtain the desired resin with a yield of 56%. The structure of the resin was confirmed by 1 H-NMR measurement and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=2758 and Mw/Mn=1.33.

[實施例6-2] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,L-抗壞血酸(10mmol:1.780g)、六亞甲基二異氰酸酯(10 mmol:1.675g)、NMP 15ml、TEA 5.6ml,在氮下在室溫中進行攪拌24小時而使其反應。反應結束後,使用二乙基醚進行再沉澱,沉澱出粉紅色黏性固體。進行傾析而取得粉紅色黏性固體後,在60℃下進行減壓乾燥,以61%之收率取得目的之樹脂。該樹脂之構造係係藉由1 H-NMR測量及IR測量進行確認。又,根據GPC測量,確認到Mn=1821,Mw/Mn=2.63。[Example 6-2] Based on the reaction formula represented by the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100ml eggplant-shaped flask, L-ascorbic acid (10mmol: 1.780g), hexamethylene diisocyanate (10mmol: 1.675g), NMP 15ml, TEA 5.6ml, The reaction was performed by stirring at room temperature for 24 hours. After the reaction was completed, diethyl ether was used for reprecipitation, and a pink viscous solid was precipitated. After decantation was performed to obtain a pink viscous solid, it was dried under reduced pressure at 60°C to obtain the desired resin with a yield of 61%. The structure of the resin was confirmed by 1 H-NMR measurement and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=1821 and Mw/Mn=2.63.

[實施例6-3] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(5mmol:0.8879g)、六亞甲基二異氰酸酯(10mmol:1.7233g)、THF 15ml、TEA 2.8ml,在氮下在室溫中進行攪拌24小時而使其反應。反應結束後,以蒸發器使反應溶液濃縮,並以水進行再沉澱,而沉澱出白色固體。以膜過濾取得白色固體後,在60℃下進行減壓乾燥,以19%之收率取得目的之樹脂。該樹脂之構造係藉由1 H-NMR測量及IR測量進行確認。又,根據GPC測量,確認到Mn=1907,Mw/Mn=1.29。[Example 6-3] Based on the reaction formula represented by the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100 ml eggplant-shaped flask, add L-ascorbic acid (5 mmol: 0.8879 g), hexamethylene diisocyanate (10 mmol: 1.7233 g), 15 ml of THF, 2.8 ml of TEA, and add L-ascorbic acid (5 mmol: 0.8879 g), THF 15 ml, and TEA 2.8 ml. The reaction was performed by stirring at room temperature for 24 hours. After the completion of the reaction, the reaction solution was concentrated with an evaporator and re-precipitated with water to precipitate a white solid. After the white solid was obtained by membrane filtration, it was dried under reduced pressure at 60°C to obtain the target resin with a yield of 19%. The structure of the resin was confirmed by 1 H-NMR measurement and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=1907 and Mw/Mn=1.29.

[實施例6-4] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(10mmol:1.7681g)、六亞甲基二異氰酸酯(10mmol:1.7338g)、THF 15ml、TEA 5.6ml,在氮下在室溫中進行攪拌24小時使其反應。反應結束後,以蒸發器濃縮反應溶液,使一半在二乙基醚中進行再沉澱,使另一半在己烷中進行再沉澱,而沉澱出粉紅色黏性固體。進行傾析而取得粉紅色黏性固體後,進行減壓乾燥一晚,以71%之收率取得目的之樹脂。該樹脂之構造係藉由1 H-NMR測量及IR測量進行確認。又,根據GPC測量,確認到Mn=953,Mw/Mn=1.35。[Example 6-4] Based on the reaction formula represented by the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100ml eggplant-shaped flask, add L-ascorbic acid (10mmol: 1.7681g), hexamethylene diisocyanate (10mmol: 1.7338g), 15ml of THF, 5.6ml of TEA, and add L-ascorbic acid (10mmol: 1.7681g), 15ml of THF, and 5.6ml of TEA under nitrogen. It was stirred at room temperature for 24 hours to make it react. After the completion of the reaction, the reaction solution was concentrated with an evaporator, half was reprecipitated in diethyl ether, and the other half was reprecipitated in hexane, and a pink viscous solid was precipitated. After decantation was performed to obtain a pink viscous solid, it was dried under reduced pressure overnight to obtain the desired resin with a yield of 71%. The structure of the resin was confirmed by 1 H-NMR measurement and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=953 and Mw/Mn=1.35.

[實施例6-5] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(10mmol:1.7612g)、六亞甲基二異氰酸酯(15mol:2.5218g)、THF 15ml、TEA 5.6ml,在氮下在室溫中進行反應24小時。反應結束後,以蒸發器濃縮反應溶液,並以二乙基醚進行再沉澱時,沉澱出粉紅色黏性固體。其後,進行傾析而取得粉紅色黏性固體後,在常溫下進行減壓乾燥,以36%之收率取得目的之樹脂。該樹脂之構造係藉由進行1 H-NMR測量及IR測量。又,根據GPC測量,確認到Mn=965,Mw/Mn=1.43。[Example 6-5] Based on the reaction formula represented by the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100ml eggplant-shaped flask, add L-ascorbic acid (10mmol: 1.7612g), hexamethylene diisocyanate (15mol: 2.5218g), THF 15ml, TEA 5.6ml, and add L-ascorbic acid (10mmol: 1.7612g), THF 15ml, TEA 5.6ml, under nitrogen The reaction was carried out at room temperature for 24 hours. After the completion of the reaction, the reaction solution was concentrated by an evaporator and re-precipitated with diethyl ether, a pink viscous solid was precipitated. Then, after decantation was performed to obtain a pink viscous solid, it was dried under reduced pressure at room temperature to obtain the desired resin with a yield of 36%. The structure of the resin was measured by 1 H-NMR and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=965 and Mw/Mn=1.43.

[實施例6-6] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(10mmol:1.7612g)、六亞甲基二異氰酸酯(15mmol:2.5218g)、THF 15ml、TEA 5.6ml,在氮下在室溫中進行反應48小時。反應結束後,以蒸發器濃縮反應溶液,並以二乙基醚進行再沉澱時,沉澱出粉紅色黏性固體。其後,進行傾析而取得粉紅色黏性固體後,在60~85℃下進行減壓乾燥,以96%之收率取得目的之樹脂。該樹脂之構造係藉由1 H-NMR測量及IR測量進行確認。又,根據GPC測量,確認到Mn=3770,Mw/Mn=1.92。[Example 6-6] Based on the reaction formula represented by the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100ml eggplant-shaped flask, add L-ascorbic acid (10mmol: 1.7612g), hexamethylene diisocyanate (15mmol: 2.5218g), THF 15ml, TEA 5.6ml, and add L-ascorbic acid (10mmol: 1.7612g), THF 15ml, TEA 5.6ml, under nitrogen The reaction was carried out at room temperature for 48 hours. After the completion of the reaction, the reaction solution was concentrated by an evaporator and re-precipitated with diethyl ether, a pink viscous solid was precipitated. Then, after decantation was performed to obtain a pink viscous solid, it was dried under reduced pressure at 60~85°C to obtain the target resin with a yield of 96%. The structure of the resin was confirmed by 1 H-NMR measurement and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=3770 and Mw/Mn=1.92.

[實施例6-7] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(5mmol:0.8806g)、六亞甲基二異氰酸酯(10mmol:1.682g)、THF 15ml、TEA 2.8ml,在氮下在室溫中進行反應24小時。反應結束後,以蒸發器濃縮反應溶液,並以二乙基醚進行再沉澱時,沉澱出粉紅色黏性固體。其後,進行傾析而取得粉紅色之雪霜(sherbet)狀黏性固體後,在60℃下進行減壓乾燥,以57%之收率取得目的之樹脂。該樹脂之構造係藉由、1 H-NMR測量及IR測量進行確認。又,根據GPC測量,確認到Mn=2954,Mw/Mn= 1.83。[Example 6-7] Based on the reaction formula represented by the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100 ml eggplant-shaped flask, add L-ascorbic acid (5 mmol: 0.8806 g), hexamethylene diisocyanate (10 mmol: 1.682 g), 15 ml of THF, 2.8 ml of TEA, and add L-ascorbic acid (5 mmol: 0.8806 g), THF 15 ml, and TEA 2.8 ml under nitrogen. The reaction was carried out at room temperature for 24 hours. After the completion of the reaction, the reaction solution was concentrated by an evaporator and re-precipitated with diethyl ether, a pink viscous solid was precipitated. Then, after decantation was performed to obtain a pink sherbet-like viscous solid, it was dried under reduced pressure at 60° C. to obtain the desired resin with a yield of 57%. The structure of the resin was confirmed by 1 H-NMR measurement and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=2954 and Mw/Mn=1.83.

[實施例6-8] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(5mmol:0.8806g)、六亞甲基二異氰酸酯(10mmol:1.682)、THF 15ml、TEA 2.8ml,在氮下在室溫中進行反應48小時。反應結束後,以蒸發器濃縮反應溶液,並以二乙基醚進行再沉澱時,沉澱出粉紅色黏性固體。其後,進行傾析而取得粉紅色黏性固體後,在60℃下進行減壓乾燥,以49%之收率取得目的之樹脂。該樹脂之構造係藉由1 H-NMR測量及IR測量進行確認。又,根據GPC測量,確認到Mn=2896,Mw/Mn=1.69。[Example 6-8] Based on the reaction formula represented by the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100ml eggplant-shaped flask, add L-ascorbic acid (5mmol: 0.8806g), hexamethylene diisocyanate (10mmol: 1.682), 15ml of THF, 2.8ml of TEA, and place it in the chamber under nitrogen. The reaction was carried out at warm temperature for 48 hours. After the completion of the reaction, the reaction solution was concentrated by an evaporator and re-precipitated with diethyl ether, a pink viscous solid was precipitated. Then, after decantation was performed to obtain a pink viscous solid, it was dried under reduced pressure at 60° C., and the target resin was obtained with a yield of 49%. The structure of the resin was confirmed by 1 H-NMR measurement and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=2896 and Mw/Mn=1.69.

[實施例6-9] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(10mmol:1.7612g)、六亞甲基二異氰酸酯(15mol:2.5218g)、THF 15ml、TEA 0.56ml,在氮下在室溫中進行反應24小時。反應結束後,以蒸發器濃縮反應溶液,而取得白色固體。其後,進行減壓乾燥,以99%以上之收率取得目的之樹脂。該樹脂之構造係藉由1 H-NMR測量及IR測量進行確認。又,根據GPC測量,確認到Mn=2095,Mw/Mn=1.53。[Example 6-9] Based on the reaction formula represented by the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100ml eggplant-shaped flask, add L-ascorbic acid (10mmol: 1.7612g), hexamethylene diisocyanate (15mol: 2.5218g), THF 15ml, TEA 0.56ml, and add L-ascorbic acid (10mmol: 1.7612g), THF 15ml, TEA 0.56ml, under nitrogen The reaction was carried out at room temperature for 24 hours. After the reaction, the reaction solution was concentrated by an evaporator to obtain a white solid. After that, it was dried under reduced pressure to obtain the desired resin with a yield of 99% or more. The structure of the resin was confirmed by 1 H-NMR measurement and IR measurement. Also, according to GPC measurement, it was confirmed that Mn=2095 and Mw/Mn=1.53.

[實施例6-10] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(10mmol:1.7612g)、六亞甲基二異氰酸酯(15mmol:2.5218g)、THF 15ml、二丁基錫二月桂酸鹽(4mmol:2.5262g),在氮下在室溫中進行反應2小時。反應結束後,進行桐山過濾,而取得白色膠體。其後,進行減壓乾燥,以99%以上之收率取得目的之樹脂。該樹脂之構造係藉由IR測量進行確認。[Example 6-10] Based on the reaction formula shown in the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, a stirrer was placed in a 100ml eggplant-shaped flask, and L-ascorbic acid (10mmol: 1.7612g), hexamethylene diisocyanate (15mmol: 2.5218g), THF 15ml, dibutyltin dilaurate (4mmol : 2.5262g), the reaction was carried out at room temperature under nitrogen for 2 hours. After the reaction, Kiriyama filtration was performed to obtain a white colloid. After that, it was dried under reduced pressure to obtain the desired resin with a yield of 99% or more. The structure of the resin was confirmed by IR measurement.

[實施例6-11] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(10mmol:1.7612g)、六亞甲基二異氰酸酯(10mmol:1.6812g)、THF 15ml、二丁基錫二月桂酸鹽(4mmol:2.5262g),在氮下在室溫中進行反應2小時。反應結束後,進行桐山過濾而取得白色膠體。其後,進行減壓乾燥,以85%之收率取得目的之樹脂。該樹脂之構造係藉由IR測量進行確認。[Example 6-11] Based on the reaction formula shown in the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100ml eggplant-shaped flask, add L-ascorbic acid (10mmol: 1.7612g), hexamethylene diisocyanate (10mmol: 1.6812g), 15ml of THF, dibutyltin dilaurate (4mmol : 2.5262g), the reaction was carried out at room temperature under nitrogen for 2 hours. After the reaction, Kiriyama filtration was performed to obtain a white colloid. After that, it was dried under reduced pressure to obtain the desired resin with a yield of 85%. The structure of the resin was confirmed by IR measurement.

[實施例6-12] 基於前述式(2-7)所示之反應式,合成出本實施形態之樹脂。具體而言,在100ml茄型燒瓶中放入攪拌器,添加L-抗壞血酸(5mmol:0.8806g)、六亞甲基二異氰酸酯(10mmol:1.6812g)、THF 15ml、二丁基錫二月桂酸鹽(2mmol:1.263g),在氮下在室溫中進行反應4小時。反應結束後,進行桐山過濾而取得白色膠體。其後,進行減壓乾燥,以99%以上之收率取得目的之樹脂。該樹脂之構造係藉由IR測量進行確認。[Example 6-12] Based on the reaction formula shown in the aforementioned formula (2-7), the resin of this embodiment was synthesized. Specifically, put a stirrer in a 100ml eggplant-shaped flask, add L-ascorbic acid (5mmol: 0.8806g), hexamethylene diisocyanate (10mmol: 1.6812g), 15ml of THF, dibutyltin dilaurate (2mmol : 1.263g), the reaction was carried out at room temperature under nitrogen for 4 hours. After the reaction, Kiriyama filtration was performed to obtain a white colloid. After that, it was dried under reduced pressure to obtain the desired resin with a yield of 99% or more. The structure of the resin was confirmed by IR measurement.

[比較例1] 準備具備戴氏冷卻管、溫度計及攪拌翼,且能開底之內容積10L四頸燒瓶。對該四頸燒瓶在氮氣流中放入1,5-二甲基萘1.09kg(7mol、三菱氣體化學股份有限公司製)、40質量%福馬林水溶液2.1kg(作為甲醛為28mol,三菱氣體化學股份有限公司製)及98質量%硫酸(關東化學股份有限公司製)0.97mL,在常壓下,以100℃使其迴流並同時反應7小時。其後,對反應液添加作為稀釋溶劑之乙基苯(和光純藥工業股份有限公司製,試藥特級)1.8kg,靜置後,去除下相之水相。並且,進行中和及水洗,藉由在減壓下餾除乙基苯及未反應之1,5-二甲基萘,而取得淡褐色固體之二甲基萘甲醛樹脂1.25kg。取得之二甲基萘甲醛樹脂之分子量為數平均分子量(Mn):562、重量平均分子量(Mw):1168、分散度(Mw/Mn):2.08。[Comparative Example 1] Prepare a 10L four-necked flask with Dai's cooling tube, thermometer and stirring wing, and an open bottom inner volume. Into this four-necked flask, 1.09 kg of 1,5-dimethylnaphthalene (7 mol, manufactured by Mitsubishi Gas Chemical Co., Ltd.) and 2.1 kg of 40% by mass formalin aqueous solution (28 mol as formaldehyde, Mitsubishi Gas Chemical Co., Ltd.) were placed in a nitrogen stream. Co., Ltd.) and 0.97 mL of 98% by mass sulfuric acid (Kanto Chemical Co., Ltd.) were refluxed at 100°C under normal pressure and reacted for 7 hours at the same time. Then, 1.8 kg of ethylbenzene (manufactured by Wako Pure Chemical Industries, Ltd., reagent special grade) as a dilution solvent was added to the reaction liquid, and after standing still, the lower water phase was removed. In addition, neutralization and water washing were performed, and ethylbenzene and unreacted 1,5-dimethylnaphthalene were distilled off under reduced pressure to obtain 1.25 kg of light brown solid dimethylnaphthalene formaldehyde resin. The molecular weight of the obtained dimethylnaphthalene formaldehyde resin is number average molecular weight (Mn): 562, weight average molecular weight (Mw): 1168, and degree of dispersion (Mw/Mn): 2.08.

接著,準備具備戴氏冷卻管、溫度計及攪拌翼之內容積0.5L四頸燒瓶。對該四頸燒瓶在氮氣流下放入藉由前述操作而得之二甲基萘甲醛樹脂100g(0.51mol)與p-甲苯磺酸0.05g,升溫至190℃並加熱2小時後進行攪拌。其後更添加1-萘酚52.0g(0.36mol),再升溫至220℃使其反應2小時。溶劑稀釋後,進行中和及水洗,藉由在減壓下去除溶劑,而取得黑褐色固體之樹脂(C-1)126.1g。取得之樹脂(C-1)為Mn:885,Mw:2220,Mw/Mn:2.51。Next, prepare a four-necked flask with an inner volume of 0.5 L equipped with a Dai's cooling tube, a thermometer, and a stirring blade. In this four-necked flask, 100 g (0.51 mol) of the dimethylnaphthalene formaldehyde resin obtained by the foregoing operation and 0.05 g of p-toluenesulfonic acid were placed under a nitrogen stream, and the mixture was heated to 190°C and heated for 2 hours, followed by stirring. After that, 52.0 g (0.36 mol) of 1-naphthol was further added, and the temperature was increased to 220° C. to react for 2 hours. After the solvent was diluted, neutralization and water washing were performed, and the solvent was removed under reduced pressure to obtain 126.1 g of a dark brown solid resin (C-1). The obtained resin (C-1) is Mn: 885, Mw: 2220, and Mw/Mn: 2.51.

[合成例1] 使2-甲基-2-甲基丙烯醯氧基金剛烷4.15g、甲基丙烯醯氧基-γ-丁內酯3.00g、3-羥基-1-金剛烷基甲基丙烯酸酯2.08g,及,偶氮二異丁腈0.38g溶解於四氫呋喃80mL而作成反應溶液。使該反應溶液在氮環境下,保持在、反應溫度63℃進行聚合22小時後,將反應溶液滴下至400mL之n-己烷中。將取得之生成樹脂予以凝固純化,並過濾經生成之白色粉末後,在減壓下以40℃乾燥一晩,而取得下述式所示之樹脂(AC-1)。[Synthesis Example 1] Make 2.15 g of 2-methyl-2-methacryloxy adamantane, 3.00 g of methacryloxy-γ-butyrolactone, and 2.08 g of 3-hydroxy-1-adamantyl methacrylate, And, 0.38 g of azobisisobutyronitrile was dissolved in 80 mL of tetrahydrofuran to prepare a reaction solution. After the reaction solution was kept at a reaction temperature of 63°C under a nitrogen atmosphere for polymerization for 22 hours, the reaction solution was dropped into 400 mL of n-hexane. The resultant resin obtained was coagulated and purified, and the resultant white powder was filtered, and dried under reduced pressure at 40°C overnight to obtain a resin (AC-1) represented by the following formula.

Figure 02_image081
Figure 02_image081

前述式中,「40」、「40」、「20」係指表示各構成單位之比率者,而並非係表示嵌段共聚物。In the foregoing formula, "40", "40", and "20" refer to those representing the ratio of each constituent unit, rather than representing a block copolymer.

[實施例7-1~7-9、比較例2] 化合物或樹脂係使用實施例1-1、實施例2-1、實施例3、實施例4、實施例5-1、實施例6-1、實施例6-4、及比較例1取得之化合物或樹脂,而分別調製出下述表1所示之組成之阻劑膜形成用組成物。關於酸產生劑、酸擴散控制劑、及有機溶劑係使用以下者。 酸產生劑:翠化學公司製,三苯基鋶九氟甲烷磺酸鹽(表中標示為「TPS-109」)。 酸擴散控制劑:關東化學製,三-n-辛基胺(表中標示為「TOA」)。 交聯劑:三和化學製,Nikalac MW-100LM(表中標示為「MW-100LM」)。 有機溶劑:關東化學製,丙二醇單甲基醚(表中標示為「PGME」)。[Examples 7-1 to 7-9, Comparative Example 2] The compound or resin system uses the compounds obtained in Example 1-1, Example 2-1, Example 3, Example 4, Example 5-1, Example 6-1, Example 6-4, and Comparative Example 1 Or resin, and the composition for forming a resist film having the composition shown in Table 1 below was prepared. Regarding the acid generator, the acid diffusion control agent, and the organic solvent, the following are used. Acid generator: Tsui Chemical Co., triphenyl sulfonate nonafluoromethanesulfonate (labeled "TPS-109" in the table). Acid diffusion control agent: manufactured by Kanto Chemical, tri-n-octylamine (marked as "TOA" in the table). Crosslinking agent: Nikalac MW-100LM manufactured by Sanwa Chemical Co., Ltd. (labeled as "MW-100LM" in the table). Organic solvent: manufactured by Kanto Chemical, propylene glycol monomethyl ether (marked as "PGME" in the table).

Figure 02_image083
Figure 02_image083

(評價方法) (1)化合物或樹脂之對安全溶劑之溶解性 實施例7-1~7-9及比較例2所使用之化合物或樹脂之對安全溶劑之溶解性係根據對PGME之溶解量並利用以下之基準進行評價。尚且,溶解量之測量係在23℃下進行測量。單獨地將化合物或樹脂精秤於試驗管中,以化合物或樹脂之濃度成為指定濃度之方式來添加PGME,在超音波洗淨機中施加30分鐘超音波,藉由以目視觀察其後之液之狀態來測量溶解量。將結果展示於表2。 A:5.0質量%≦溶解量 B:2.0質量%≦溶解量<5.0質量% C:溶解量<2.0質量%(Evaluation method) (1) The solubility of the compound or resin to safe solvents The solubility of the compounds or resins used in Examples 7-1 to 7-9 and Comparative Example 2 to safe solvents was evaluated based on the amount of PGME dissolved in the following criteria. Moreover, the measurement of the dissolved amount is performed at 23°C. Separately weigh the compound or resin in the test tube, add PGME so that the concentration of the compound or resin becomes the specified concentration, apply ultrasonic waves in an ultrasonic cleaner for 30 minutes, and observe the subsequent liquid visually. The state to measure the amount of dissolution. The results are shown in Table 2. A: 5.0 mass%≦dissolved amount B: 2.0% by mass≦dissolved amount <5.0% by mass C: Dissolved amount <2.0% by mass

(2)阻劑膜形成用組成物之保存安定性 根據以下方法來評價藉由前述方法所調製之實施例7-1~7-9及比較例2之阻劑膜形成用組成物之保存安定性。調製阻劑膜形成用組成物後,在23℃下靜置3天,以目視關於有無析出。又,將阻劑膜形成用組成物旋轉塗佈於潔淨矽晶圓上後,在110℃之加熱板上進行曝光前烘烤(PB)而形成厚度50nm之薄膜狀之阻劑膜。關於阻劑膜形成用組成物,將有析出之情況評價為「C」,將無析出且為均勻溶液,但阻劑膜具有缺陷之情況評價為「B」,將無析出且為均勻溶液且阻劑膜並無缺陷,阻劑膜形成為良好之情況評價為「A」。將結果展示於表2。(2) Storage stability of the composition for forming a resist film The storage stability of the resist film formation composition of Examples 7-1 to 7-9 and Comparative Example 2 prepared by the aforementioned method was evaluated according to the following method. After preparing the composition for forming a resist film, it was allowed to stand at 23° C. for 3 days, and the presence or absence of precipitation was visually checked. In addition, after spin-coating the composition for forming a resist film on a clean silicon wafer, pre-exposure bake (PB) was performed on a hot plate at 110° C. to form a thin-film resist film with a thickness of 50 nm. Regarding the composition for forming a resist film, the case where there is precipitation is evaluated as "C", and the case where there is no precipitation and a uniform solution but the resist film has defects is evaluated as "B", and the case where there is no precipitation and a uniform solution is evaluated as "B". There was no defect in the resist film, and the case where the resist film was formed in a good condition was evaluated as "A". The results are shown in Table 2.

(3)阻劑圖型之形狀及感度 使用電子線描繪裝置(ELS-7500,(股)Elionix公司製),對上述(2)取得之阻劑膜照射設定為50nm間隔之1:1之線寬與線距之電子線。該照射後,以110℃加熱阻劑膜90秒鐘,浸漬於TMAH 2.38質量%鹼顯像液中60秒鐘來進行顯像。其後,以超純水洗淨阻劑膜30秒鐘,使其乾燥而形成阻劑圖型。(3) The shape and sensitivity of the resist pattern Using an electronic beam drawing device (ELS-7500, manufactured by Elionix Co., Ltd.), the resist film obtained in (2) above was irradiated with electronic beams with a line width and a line spacing of 1:1 at an interval of 50 nm. After this irradiation, the resist film was heated at 110° C. for 90 seconds, and immersed in a 2.38% by mass alkali developing solution of TMAH for 60 seconds to develop. Thereafter, the resist film was washed with ultrapure water for 30 seconds and dried to form a resist pattern.

使用(股)日立製作所製電子顯微鏡(S-4800)觀察取得之50nmL/S(1:1)之阻劑圖型形狀。關於顯像後之阻劑圖型形狀,將無圖型倒塌,矩形性比比較例2還良好者評價為「A」,將與比較例2為同等或較差者評價為「C」。將結果展示於表2。Observe the obtained 50nmL/S (1:1) resist pattern shape with an electron microscope (S-4800) manufactured by Hitachi, Ltd. Regarding the shape of the resist pattern after development, the one with no pattern collapsed and the squareness better than that of Comparative Example 2 was evaluated as "A", and the one that was equal or inferior to Comparative Example 2 was evaluated as "C". The results are shown in Table 2.

並且,將能描繪良好圖型形狀之最小電子線能量評價作為感度。即,將電子線能量比起比較例2還要優異10%以上者評價為「A」,將未滿10%但仍為優異者評價為「B」,將與比較例2為同等或較差者評價為「C」。將結果展示於表2。In addition, the evaluation of the minimum electron beam energy that can draw a good pattern shape is used as the sensitivity. That is, if the electron beam energy is 10% or more superior to that of Comparative Example 2, it is evaluated as "A", and the one that is less than 10% but still excellent is evaluated as "B", and it is the same as or inferior to Comparative Example 2. It is evaluated as "C". The results are shown in Table 2.

Figure 02_image085
Figure 02_image085

[實施例8-1~8-8、比較例3] (實施例8-1~8-8) 分別調製出具有表3所示組成之阻劑下層膜形成用組成物。關於酸產生劑、交聯劑及有機溶劑係使用以下者。 酸產生劑:二tert-丁基二苯基錪九氟甲烷磺酸鹽(翠化學股份有限公司製)(表中標示為「DTDPI」)。 交聯劑:「NikalacMX270」(製品名,三和化學股份有限公司製)(表中標示「MX270」)。 有機溶劑:丙二醇單甲基醚乙酸酯(表中標示為「PGMEA」)。[Examples 8-1 to 8-8, Comparative Example 3] (Examples 8-1~8-8) The compositions for forming a resist underlayer film having the compositions shown in Table 3 were prepared. Regarding the acid generator, crosslinking agent, and organic solvent, the following are used. Acid generator: ditert-butyl diphenyl iodononafluoromethane sulfonate (manufactured by Tsui Chemical Co., Ltd.) (indicated as "DTDPI" in the table). Crosslinking agent: "NikalacMX270" (product name, manufactured by Sanwa Chemical Co., Ltd.) (labeled "MX270" in the table). Organic solvent: propylene glycol monomethyl ether acetate (labeled "PGMEA" in the table).

Figure 02_image087
Figure 02_image087

將上述調製之阻劑下層膜形成用組成物分別塗佈於膜厚300nm之SiO2 基板上,藉由以240℃烘烤60秒鐘,再以400℃烘烤120秒鐘,而形成膜厚70nm之阻劑下層膜。藉由在該阻劑下層膜上塗佈ArF用阻劑溶液,以130℃烘烤60秒鐘,而形成膜厚140nm之光阻膜。尚且,ArF阻劑溶液係使用摻合有合成例1之樹脂(AC-1)5質量份、三苯基鋶九氟甲烷磺酸鹽1質量份、三丁基胺2質量份、及PGMEA 92質量份配來調製者。The above-prepared composition for forming a resist underlayer film was coated on a SiO 2 substrate with a film thickness of 300 nm, and baked at 240°C for 60 seconds and then at 400°C for 120 seconds to form a film thickness 70nm resist underlayer film. The resist solution for ArF was coated on the resist underlayer film and baked at 130° C. for 60 seconds to form a photoresist film with a thickness of 140 nm. In addition, the ArF inhibitor solution was blended with 5 parts by mass of the resin (AC-1) of Synthesis Example 1, 1 part by mass of triphenyl sulfonate nonafluoromethanesulfonate, 2 parts by mass of tributylamine, and PGMEA 92 The mass portion is allocated to the modulator.

其次,使用電子線描繪裝置「ELS-7500」(製品名,股份有限公司Elionix公司製,50keV)來曝光光阻膜,以115℃烘烤(PEB)90秒鐘,藉由使用2.38質量%氫氧化四甲基銨(TMAH)水溶液來顯像60秒鐘,而取得正型之阻劑圖型。Next, an electronic wire drawing device "ELS-7500" (product name, manufactured by Elionix Co., Ltd., 50keV) was used to expose the photoresist film, and baked (PEB) at 115°C for 90 seconds. By using 2.38% by mass hydrogen Aqueous solution of tetramethylammonium oxide (TMAH) is used to develop images for 60 seconds to obtain a positive resist pattern.

將觀察取得之55nmL/S(1:1)及80nmL/S(1:1)之阻劑圖型之缺陷之各結果展示於表4。表4中,作為「顯像後之阻劑圖型」之結果所示之「良好」係表示在已形成之阻劑圖型上並未發現圖型倒塌,「不良」係表示在已形成之阻劑圖型上發現圖型倒塌。又,上述觀察之結果,將無圖型倒塌且矩形性為良好之最小線寬當作「解像性」並作為評價指標。並且,將能描繪良好圖型形狀之最小電子線能量當作「感度」並作為評價指標。The results of observing the defects of the 55nmL/S (1:1) and 80nmL/S (1:1) resist patterns are shown in Table 4. In Table 4, the "good" shown as the result of the "resist pattern after development" means that no pattern collapse is found on the formed resist pattern, and "bad" means that the pattern has been formed. The pattern collapsed on the resist pattern. In addition, as a result of the above observation, the minimum line width with no pattern collapse and good rectangularity is regarded as "resolution" and used as an evaluation index. In addition, the minimum electron beam energy that can draw a good pattern shape is regarded as "sensitivity" and used as an evaluation index.

(比較例3) 除了並未進行下層膜之形成以外,其他係與實施例8-1同樣地操作,將光阻膜直接形成於SiO2 基板上,而取得正型之阻劑圖型。對於該阻劑圖型,與實施例8-1同樣地進行評價。將結果展示於表4。(Comparative Example 3) Except that the formation of the underlayer film was not performed, the other operations were the same as in Example 8-1, and the photoresist film was directly formed on the SiO 2 substrate to obtain a positive resist pattern. The resist pattern was evaluated in the same manner as in Example 8-1. The results are shown in Table 4.

Figure 02_image089
Figure 02_image089

[實施例9-1~9-8] 藉由將實施例8-1~8-8之阻劑下層膜形成用組成物塗佈於膜厚300nm之SiO2 基板上,以240℃烘烤60秒鐘,再以400℃烘烤120秒鐘,而形成膜厚80nm之阻劑下層膜。藉由在在該阻劑下層膜上塗佈含矽中間層膜材料,以200℃烘烤60秒鐘,而形成膜厚35nm之含矽中間層膜。更進一步,藉由在該含矽中間層膜上塗佈上述之ArF用阻劑溶液,以130℃烘烤60秒鐘,而形成膜厚150nm之光阻膜。尚且,含矽中間層膜材料係使用日本特開2007-226170號公報之<合成例1>記載之含矽原子之聚合物。其次,藉由使用電子線描繪裝置(Elionix公司製,ELS-7500,50keV)來將光阻膜予以遮罩曝光,以115℃烘烤90秒鐘烘烤(PEB),使用2.38質量%氫氧化四甲基銨(以下,亦稱為「TMAH」)水溶液顯像60秒鐘,而取得55nmL/S(1:1)之正型阻劑圖型。其後,使用平行平板型RIE裝置「RIE-10NR」(商品名,薩姆科國際公司製)將取得之阻劑圖型作為遮罩來進行含矽中間層膜之乾蝕刻加工,接著,依序進行將取得之含矽中間層膜圖型作為遮罩之阻劑下層膜之乾蝕刻加工,及將取得之阻劑下層膜圖型作為遮罩之SiO2 膜之乾蝕刻加工。[Examples 9-1 to 9-8] The resist underlayer film formation composition of Examples 8-1 to 8-8 was coated on a SiO 2 substrate with a film thickness of 300 nm, and baked at 240°C for 60 Second, baking at 400°C for 120 seconds to form a resist underlayer film with a thickness of 80nm. By coating the silicon-containing interlayer film material on the resist underlayer film, and baking it at 200° C. for 60 seconds, a silicon-containing interlayer film with a film thickness of 35 nm is formed. Furthermore, by coating the above-mentioned ArF resist solution on the silicon-containing interlayer film, and baking it at 130° C. for 60 seconds, a photoresist film with a film thickness of 150 nm was formed. In addition, the silicon-containing interlayer film material used the silicon atom-containing polymer described in "Synthesis Example 1" of JP 2007-226170 A. Next, by using an electronic wire drawing device (Elionix Corporation, ELS-7500, 50keV) to mask the photoresist film, baking at 115°C for 90 seconds (PEB), using 2.38% by mass hydrogen hydroxide The tetramethylammonium (hereinafter, also referred to as "TMAH") aqueous solution was developed for 60 seconds, and a positive resist pattern of 55nmL/S (1:1) was obtained. After that, a parallel plate type RIE device "RIE-10NR" (trade name, manufactured by Samco International) was used to perform dry etching of the silicon-containing interlayer film with the obtained resist pattern as a mask. Then, according to The dry etching process of the resist underlayer film using the obtained silicon-containing interlayer film pattern as a mask is sequentially performed, and the dry etching process of the SiO 2 film using the obtained resist underlayer film pattern as a mask is performed.

個別之蝕刻條件係如下述所示。 (阻劑圖型之對含矽中間層膜之蝕刻條件) 輸出:50W 壓力:20Pa 時間:1min 蝕刻氣體 Ar氣體流量:CF4 氣體流量:O2 氣體流量=50:8:2 (sccm) (含矽中間層膜圖型之對阻劑下層膜之蝕刻條件) 輸出:50W 壓力:20Pa 時間:2min 蝕刻氣體 Ar氣體流量:CF4 氣體流量:O2 氣體流量=50:5:5 (sccm) (阻劑下層膜圖型之對SiO2 膜之蝕刻條件) 輸出:50W 壓力:20Pa 時間:2min 蝕刻氣體 Ar氣體流量:C5 F12 氣體流量:C2 F6 氣體流量:O2 氣體流量=50:4:3:1(sccm)The individual etching conditions are as follows. (Etching conditions of resist pattern for silicon-containing interlayer film) Output: 50W Pressure: 20Pa Time: 1min Etching gas Ar gas flow rate: CF 4 Gas flow rate: O 2 gas flow rate=50:8:2 (sccm) ( The etching conditions of the resist underlayer film with silicon-containing interlayer film pattern) Output: 50W Pressure: 20Pa Time: 2min Etching gas Ar gas flow rate: CF 4 gas flow rate: O 2 gas flow rate=50:5:5 (sccm) (Etching conditions for SiO 2 film of resist underlayer film pattern) Output: 50W Pressure: 20Pa Time: 2min Etching gas Ar gas flow rate: C 5 F 12 gas flow rate: C 2 F 6 gas flow rate: O 2 gas flow rate = 50:4:3:1(sccm)

使用電子顯微鏡「S-4800」(製品名,日立製作所股份有限公司製)觀察藉由上述操作而得之圖型剖面(即,蝕刻後之SiO2 膜之形狀)並評價阻劑圖型形成性。將結果展示於表5。表5中,作為「阻劑圖型形成性」所示之「良好」係指在已形成之圖型剖面並未發現較大缺陷,「不良」係指在已形成之圖型剖面發現較大缺陷。The electron microscope "S-4800" (product name, manufactured by Hitachi, Ltd.) was used to observe the pattern cross section obtained by the above operation (ie, the shape of the SiO 2 film after etching) and evaluate the resist pattern formation . The results are shown in Table 5. In Table 5, the "good" shown as the "resist pattern formation property" means that no major defects are found in the formed pattern section, and the "bad" means that larger defects are found in the formed pattern section. defect.

[表5]    阻劑下層膜形成用組成物 阻劑圖型形成性 實施例9-1 實施例8-1之組成物 良好 實施例9-2 實施例8-2之組成物 良好 實施例9-3 實施例8-3之組成物 良好 實施例9-4 實施例8-4之組成物 良好 實施例9-5 實施例8-5之組成物 良好 實施例9-6 實施例8-6之組成物 良好 實施例9-7 實施例8-7之組成物 良好 實施例9-8 實施例8-8之組成物 良好 [table 5] Composition for forming resist underlayer film Resist pattern formation Example 9-1 The composition of Example 8-1 good Example 9-2 The composition of Example 8-2 good Example 9-3 The composition of Example 8-3 good Example 9-4 The composition of Example 8-4 good Example 9-5 Composition of Example 8-5 good Example 9-6 The composition of Example 8-6 good Example 9-7 The composition of Examples 8-7 good Example 9-8 The composition of Examples 8-8 good

[實施例10-1~10-8、比較例4] 實施例10-1~10-8中,分別將實施例8-1~8-8之阻劑下層膜形成用組成物塗佈在存在有寬1000nm、節距2000 nm、深度200nm之段差之SiO2 段差基板上。比較例4係除了在實施例8-1之阻劑下層膜形成用組成物中,取代實施例1-1所得之化合物而改用比較例1取得之樹脂(C-1)以外,其他係同樣地調製阻劑下層膜形成用組成物,並將該組成物與實施例10-1~10-8同樣地塗佈於SiO2 段差基板上。其後,在大氣環境下,以240℃燒成120秒鐘,而形成膜厚180nm之阻劑下層膜。藉由使用掃描型電子顯微鏡(日立高科技公司之「S-4800」)觀察該阻劑下層膜之形狀,並測量段差基板上之阻劑下層膜之膜厚之最大值與最小值之差(ΔFT)而評價阻劑下層膜之平坦性。平坦性之評價基準係如以下所述。將結果展示於表6。 (平坦性) A:10nm≦ΔFT<20nm(平坦性良好) B:20nm≦ΔFT<40nm(平坦性還算良好) C:40nm≦ΔFT(平坦性不良)[Examples 10-1 to 10-8, Comparative Example 4] In Examples 10-1 to 10-8, the resist underlayer film formation composition of Examples 8-1 to 8-8 was coated on the existing On a SiO 2 stepped substrate with a width of 1000 nm, a pitch of 2000 nm, and a depth of 200 nm. Comparative Example 4 is the same except that in the composition for forming a resist underlayer film of Example 8-1, the resin (C-1) obtained in Comparative Example 1 is used instead of the compound obtained in Example 1-1. A composition for forming a resist underlayer film was prepared, and the composition was applied on a SiO 2 stepped substrate in the same manner as in Examples 10-1 to 10-8. After that, it was fired at 240°C for 120 seconds in an atmospheric environment to form a resist underlayer film with a film thickness of 180 nm. Observe the shape of the resist underlayer film by using a scanning electron microscope (Hitachi High-Tech "S-4800"), and measure the difference between the maximum and minimum film thickness of the resist underlayer film on the stepped substrate ( ΔFT) to evaluate the flatness of the resist underlayer film. The evaluation criteria of flatness are as follows. The results are shown in Table 6. (Flatness) A: 10nm≦ΔFT<20nm (good flatness) B: 20nm≦ΔFT<40nm (flatness is fairly good) C: 40nm≦ΔFT (poor flatness)

[表6]    阻劑下層膜形成用組成物 平坦性 實施例10-1 實施例8-1之組成物 A 實施例10-2 實施例8-2之組成物 A 實施例10-3 實施例8-3之組成物 A 實施例10-4 實施例8-4之組成物 A 實施例10-5 實施例8-5之組成物 A 實施例10-6 實施例8-6之組成物 A 實施例10-7 實施例8-7之組成物 A 實施例10-8 實施例8-8之組成物 A 比較例4 包含比較例1之樹脂(C-1)之組成物 C [Table 6] Composition for forming resist underlayer film Flatness Example 10-1 The composition of Example 8-1 A Example 10-2 The composition of Example 8-2 A Example 10-3 The composition of Example 8-3 A Example 10-4 The composition of Example 8-4 A Example 10-5 Composition of Example 8-5 A Example 10-6 The composition of Example 8-6 A Example 10-7 The composition of Examples 8-7 A Example 10-8 The composition of Examples 8-8 A Comparative example 4 Composition containing the resin (C-1) of Comparative Example 1 C

[實施例11-1~11-7、比較例5] 使表7所示之各化合物或樹脂溶解於作為溶劑之PGMEA而調製出固體成分濃度10質量%之溶液。使用旋轉塗佈機LithiusPro(東京電子公司製)將已調製之溶液,塗佈於12吋矽晶圓上,以成為200nm膜厚之方式調整旋轉數並同時進行成膜。其後,在250℃、1分鐘之條件下進行烘烤處理而製作出層合有膜之基板。藉由使用能更高溫處理之加熱板,在350℃、1分鐘之條件係下烘烤經製作之基板,而取得經硬化之樹脂膜。此時,將取得之經硬化之樹脂膜浸漬於PGMEA槽中1分鐘前後之膜厚變化若為3%以下,則判斷為樹脂膜已充分硬化。在判斷為硬化不充分之情況,將硬化溫度以每50℃進行變更來調查進行硬化之溫度,在進行硬化之溫度範圍之中溫度最低之條件下進行烘烤處理。對於經製作之樹脂膜,使用「分光橢圓偏光儀VUV-VASE」(J.A.Woollam公司製)來進行光學特性值(作為光學常數之折射率n與消光係數k)之評價。評價基準係如以下所述。將結果展示於表7。 (折射率n) A:1.4以上 C:未滿1.4 (消光係數k) A:未滿0.5 C:0.5以上[Examples 11-1 to 11-7, Comparative Example 5] Each compound or resin shown in Table 7 was dissolved in PGMEA as a solvent to prepare a solution with a solid content concentration of 10% by mass. The prepared solution was coated on a 12-inch silicon wafer using a spin coater LithiusPro (manufactured by Tokyo Electron Co., Ltd.), and the number of rotations was adjusted so that the film thickness was 200 nm, and the film was formed simultaneously. Thereafter, a baking treatment was performed under the conditions of 250° C. for 1 minute to produce a film-laminated substrate. By using a heating plate that can be processed at a higher temperature, the manufactured substrate is baked at 350°C for 1 minute to obtain a hardened resin film. At this time, if the obtained cured resin film is immersed in the PGMEA tank for 1 minute before and after the change in film thickness is 3% or less, it is judged that the resin film has been sufficiently cured. When it is judged that the hardening is insufficient, the hardening temperature is changed every 50°C to investigate the hardening temperature, and the baking treatment is carried out at the lowest temperature in the hardening temperature range. For the produced resin film, the "spectroscopic ellipsometer VUV-VASE" (manufactured by J.A. Woollam) was used to evaluate the optical characteristic values (refractive index n and extinction coefficient k as optical constants). The evaluation criteria are as follows. The results are shown in Table 7. (Refractive index n) A: 1.4 or more C: less than 1.4 (Extinction coefficient k) A: Less than 0.5 C: 0.5 or more

[表7]    化合物或樹脂 光學特性 n k 實施例11-1 實施例1-1取得之化合物 A A 實施例11-2 實施例2-1取得之化合物 A A 實施例11-3 實施例3取得之化合物 A A 實施例11-4 實施例4取得之化合物 A A 實施例11-5 實施例5-1取得之樹脂 A A 實施例11-6 實施例6-1取得之樹脂 A A 實施例11-7 實施例6-4取得之樹脂 A A 比較例5 比較例1取得之樹脂(C-1) C C [Table 7] Compound or resin Optical properties n k Example 11-1 Compound obtained in Example 1-1 A A Example 11-2 Compound obtained in Example 2-1 A A Example 11-3 Compound obtained in Example 3 A A Example 11-4 Compound obtained in Example 4 A A Example 11-5 The resin obtained in Example 5-1 A A Example 11-6 The resin obtained in Example 6-1 A A Example 11-7 Example 6-4 Resin obtained A A Comparative example 5 Resin obtained in Comparative Example 1 (C-1) C C

從實施例11-1~11-7之結果,可得知藉由包含本實施形態之化合物或樹脂之組成物,可形成在ArF曝光所使用之波長193nm處之n值為高且k值為低之樹脂膜。From the results of Examples 11-1 to 11-7, it can be seen that the composition containing the compound or resin of this embodiment can be formed with a high n value and a k value at the wavelength of 193nm used in ArF exposure Low resin film.

[實施例12-1~12-8、比較例6] 實施例12-1~12-8係將實施例8-1~8-8所調製之阻劑下層膜形成用組成物使用作為光學構件形成用組成物。比較例6係除了在實施例8-1之阻劑下層膜形成用組成物中取代實施例1-1取得之化合物而改用比較例1取得之樹脂(C-1)以外,其他係同樣地調製出阻劑下層膜形成用組成物,並將此使用作為光學構件形成用組成物。藉由將前述光學構件形成用組成物塗佈於膜厚300nm之SiO2 基板上,並以260℃烘烤300秒鐘,而形成膜厚100nm之光學構件用之膜。接著,使用JA Woolam Japan公司製、真空紫外線區域多入射角分光橢圓偏光計「VUV-VASE」,進行在633nm波長處之折射率及透明性試驗,並根據以下基準來評價折射率及透明性。將結果展示於表8。 (折射率) A:折射率1.65以上 C:折射率未滿1.65 (透明性) A:吸光係數未滿0.03 C:吸光係數0.03以上[Examples 12-1 to 12-8, Comparative Example 6] In Examples 12-1 to 12-8, the resist underlayer film forming composition prepared in Examples 8-1 to 8-8 was used as an optical member Forming composition. Comparative Example 6 is the same except that the resin (C-1) obtained in Comparative Example 1 is used instead of the compound obtained in Example 1-1 in the composition for forming a resist underlayer film of Example 8-1. A composition for forming a resist underlayer film was prepared and used as a composition for forming an optical member. The composition for forming an optical member was coated on a SiO 2 substrate with a film thickness of 300 nm and baked at 260° C. for 300 seconds to form a film for an optical member with a film thickness of 100 nm. Next, a vacuum ultraviolet region multi-incidence spectroscopic ellipsometer "VUV-VASE" manufactured by JA Woolam Japan was used to perform a refractive index and transparency test at a wavelength of 633 nm, and the refractive index and transparency were evaluated based on the following criteria. The results are shown in Table 8. (Refractive index) A: Refractive index of 1.65 or higher C: Refractive index of less than 1.65 (Transparency) A: Absorption coefficient of less than 0.03 C: Absorption coefficient of 0.03 or higher

[表8]    光學構件形成用組成物 折射率 透明性 實施例12-1 實施例8-1之組成物 A A 實施例12-2 實施例8-2之組成物 A A 實施例12-3 實施例8-3之組成物 A A 實施例12-4 實施例8-4之組成物 A A 實施例12-5 實施例8-5之組成物 A A 實施例12-6 實施例8-6之組成物 A A 實施例12-7 實施例8-7之組成物 A A 實施例12-8 實施例8-8之組成物       比較例6 包含比較例之樹脂(C-1)之組成物 C C [Table 8] Composition for forming optical member Refractive index Transparency Example 12-1 The composition of Example 8-1 A A Example 12-2 The composition of Example 8-2 A A Example 12-3 The composition of Example 8-3 A A Example 12-4 The composition of Example 8-4 A A Example 12-5 Composition of Example 8-5 A A Example 12-6 The composition of Example 8-6 A A Example 12-7 The composition of Examples 8-7 A A Example 12-8 The composition of Examples 8-8 Comparative example 6 Composition containing the resin (C-1) of the comparative example C C

得知實施例12-1~12-8之光學物品形成用組成物係不僅折射率為高,並且吸光係數低,透明性優異。另一方面,得知比較例6之組成物在作為光學零件之性能上較差。It is found that the composition system for forming an optical article of Examples 12-1 to 12-8 not only has a high refractive index, but also has a low light absorption coefficient and is excellent in transparency. On the other hand, it is known that the composition of Comparative Example 6 is inferior in performance as an optical component.

從以上即可明白得知,本實施形態之組成物在對於有機溶劑之溶解性、感度、平坦性、及阻劑圖型形成性上皆優,而有用作為微影膜形成用組成物。又,在波長193nm或633nm處之透明性亦優,也係有用作為要求透明性之微影膜形成用或光學零件形成用之組成物。From the above, it is clear that the composition of the present embodiment is excellent in solubility in organic solvents, sensitivity, flatness, and resist pattern formation, and is useful as a composition for forming a lithographic film. In addition, the transparency at a wavelength of 193nm or 633nm is also excellent, and it is also useful as a composition for forming lithographic films or forming optical parts that require transparency.

本發明包括以下之實施態樣。The present invention includes the following implementation aspects.

(1)如本實施形態之化合物,其中前述解離性基為具有因酸而進行解離之性質之、取代甲基、1-取代乙基、1-取代-n-丙基、1-分支烷基、矽基、醯基、1-取代烷氧基甲基、環狀醚基、烷氧基羰基、或烷氧基羰基烷基。(1) The compound of this embodiment, wherein the aforementioned dissociable group is a substituted methyl group, a 1-substituted ethyl group, a 1-substituted-n-propyl group, a 1-branched alkyl group having the property of being dissociated by acid , Silyl, acyl, 1-substituted alkoxymethyl, cyclic ether, alkoxycarbonyl, or alkoxycarbonylalkyl.

(2)如本實施形態之化合物,其中前述交聯性基為烯丙基、(甲基)丙烯醯基、乙烯基、環氧基、烷氧基甲基、或氰基。(2) The compound of this embodiment, wherein the aforementioned crosslinkable group is an allyl group, (meth)acryloyl group, vinyl group, epoxy group, alkoxymethyl group, or cyano group.

(3)如本實施形態之化合物,其中前述式(Z-1)~(Z-3)中之R3 為六亞甲基或伸苯基。 (3) The compound of this embodiment, wherein R 3 in the aforementioned formulas (Z-1) to (Z-3) is hexamethylene or phenylene.

(4)如本實施形態之化合物,其中前述式(Z-1)~(Z-3)中之R2 為氫原子。 (4) The compound of this embodiment, wherein R 2 in the aforementioned formulas (Z-1) to (Z-3) is a hydrogen atom.

(5)如本實施形態之化合物,其中前述(Z-1)所示之化合物為下述式(Z-4)所示之化合物。(5) The compound of this embodiment, wherein the compound represented by (Z-1) is a compound represented by the following formula (Z-4).

Figure 02_image091
Figure 02_image091

(6)如本實施形態之樹脂,其中前述聚胺基甲酸酯為藉由下述式(X-2)所示之化合物與下述式(a-1)所示之化合物之加成聚合反應而得之聚胺基甲酸酯。(6) The resin of this embodiment, wherein the aforementioned polyurethane is obtained by addition polymerization of a compound represented by the following formula (X-2) and a compound represented by the following formula (a-1) The polyurethane obtained by the reaction.

Figure 02_image093
Figure 02_image093

(式(X-2)中,R0 係各自獨立為氫原子、解離性基或交聯性基,至少一個R0 為氫原子)。

Figure 02_image095
(式(a-1)中,R4 為取代或無取代之碳數1~20之2價基)。(In formula (X-2), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one R 0 is a hydrogen atom).
Figure 02_image095
(In formula (a-1), R 4 is a substituted or unsubstituted divalent group with 1 to 20 carbon atoms).

(7)如本實施形態之樹脂,其中前述聚胺基甲酸酯包含下述式(A-1)所示之構成單位。(7) The resin of this embodiment, wherein the polyurethane includes a structural unit represented by the following formula (A-1).

Figure 02_image097
Figure 02_image097

(式(A-1)中,R0 係與前述式(X-2)中同義,R4 係與前述式(a-1)中同義)。(In formula (A-1), R 0 is synonymous with the aforementioned formula (X-2), and R 4 is synonymous with the aforementioned formula (a-1)).

(8)如本實施形態之樹脂,其中前述聚酯為藉由下述式(X-2)所示之化合物與下述式(a-2)所示之化合物之任一者之反應而得之聚酯。(8) The resin of this embodiment, wherein the aforementioned polyester is obtained by reacting a compound represented by the following formula (X-2) with any one of the compounds represented by the following formula (a-2) The polyester.

Figure 02_image099
Figure 02_image099

(式(X-2)中,R0 係各自獨立為氫原子、解離性基或交聯性基,至少一個R0 為氫原子)。(In formula (X-2), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one R 0 is a hydrogen atom).

Figure 02_image101
Figure 02_image101

(式(a-2)中,R5 為取代或無取代之碳數1~22之2價基。X為鹵素原子,Me為甲基)。(In formula (a-2), R 5 is a substituted or unsubstituted divalent group with 1 to 22 carbon atoms. X is a halogen atom and Me is a methyl group).

(9)如本實施形態之樹脂,其中前述聚酯為下述式(A-2)所示之構成單位。(9) The resin of this embodiment, wherein the polyester is a structural unit represented by the following formula (A-2).

Figure 02_image103
Figure 02_image103

(式(A-2)中,R0 係與前述式(X-2)中同義,R5 係與前述式(a-2)中同義)。(In formula (A-2), R 0 is synonymous with the aforementioned formula (X-2), and R 5 is synonymous with the aforementioned formula (a-2)).

(10)如本實施形態之樹脂,其中前述聚醚為藉由下述式(X-2)所示之化合物與下述式(a-3)所示之化合物之反應而得之聚醚。(10) The resin of this embodiment, wherein the aforementioned polyether is a polyether obtained by reacting a compound represented by the following formula (X-2) and a compound represented by the following formula (a-3).

Figure 02_image105
Figure 02_image105

(式(X-2)中,R0 係各自獨立為氫原子、解離性基或交聯性基,至少一個R0 為氫原子)。

Figure 02_image107
(式(a-3)中,R6 為取代或無取代之碳數1~22之2價基,且亦可包含酮基或酯鍵。X為鹵素原子)。(In formula (X-2), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one R 0 is a hydrogen atom).
Figure 02_image107
(In formula (a-3), R 6 is a substituted or unsubstituted divalent group with 1 to 22 carbon atoms, and may also include a ketone group or an ester bond. X is a halogen atom).

(11)如本實施形態之樹脂,其中前述聚醚包含下述式(A-3)所示之構成單位。(11) The resin of this embodiment, wherein the polyether includes a structural unit represented by the following formula (A-3).

Figure 02_image109
Figure 02_image109

(式(A-3)中,R0 係與前述式(X-2)中同義,R6 係與前述式(a-3)中同義)。(In formula (A-3), R 0 is synonymous with the aforementioned formula (X-2), and R 6 is synonymous with the aforementioned formula (a-3)).

(12)如本實施形態之樹脂,其中前述聚碳酸酯為藉由下述式(X-2)所示之化合物與下述式(a-4)所示之化合物之反應而得之聚碳酸酯。(12) The resin of this embodiment, wherein the aforementioned polycarbonate is a polycarbonate obtained by reacting a compound represented by the following formula (X-2) with a compound represented by the following formula (a-4) ester.

Figure 02_image111
Figure 02_image111

(式(X-2)中,R0 係各自獨立為氫原子、解離性基或交聯性基,至少一個R0 為氫原子)。(In formula (X-2), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one R 0 is a hydrogen atom).

Figure 02_image113
Figure 02_image113

(式(a-4)中,R7 為取代或無取代之碳數1~20之2價基。X為鹵素原子)。(In formula (a-4), R 7 is a substituted or unsubstituted divalent group with 1 to 20 carbon atoms. X is a halogen atom).

(13)如本實施形態之樹脂,其中前述聚碳酸酯包含下述式(A-4)所示之構成單位。(13) The resin of this embodiment, wherein the polycarbonate contains a structural unit represented by the following formula (A-4).

Figure 02_image115
Figure 02_image115

(式(A-4)中,R0 係與前述式(X-2)中同義,R7 係與前述式(a-4)中同義)。(In formula (A-4), R 0 is synonymous with the aforementioned formula (X-2), and R 7 is synonymous with the aforementioned formula (a-4)).

(14)如本實施形態之樹脂,其中前述解離性基為具有因酸而進行解離之性質之、取代甲基、1-取代乙基、1-取代-n-丙基、1-分支烷基、矽基、醯基、1-取代烷氧基甲基、環狀醚基、烷氧基羰基、或烷氧基羰基烷基。(14) The resin of this embodiment, wherein the aforementioned dissociable group is a substituted methyl group, a 1-substituted ethyl group, a 1-substituted-n-propyl group, a 1-branched alkyl group having the property of being dissociated by acid , Silyl, acyl, 1-substituted alkoxymethyl, cyclic ether, alkoxycarbonyl, or alkoxycarbonylalkyl.

(15)本實施形態之樹脂,其中前述交聯性基為烯丙基、(甲基)丙烯醯基、乙烯基、環氧基、烷氧基甲基、或氰基。(15) The resin of this embodiment, wherein the aforementioned crosslinkable group is an allyl group, a (meth)acryloyl group, a vinyl group, an epoxy group, an alkoxymethyl group, or a cyano group.

(16)如本實施形態之樹脂,其中前述R4 、R5 、R6 或R7 為六亞甲基或伸苯基。(16) The resin of this embodiment, wherein the aforementioned R 4 , R 5 , R 6 or R 7 is a hexamethylene group or a phenylene group.

(17)如本實施形態之樹脂,其中前述R0 為氫原子。(17) The resin of this embodiment, wherein the aforementioned R 0 is a hydrogen atom.

(18)如本實施形態之樹脂,其中前述聚胺基甲酸酯包含下述式(A-5)所示之構成單位。(18) The resin of this embodiment, wherein the polyurethane includes a structural unit represented by the following formula (A-5).

Figure 02_image117
Figure 02_image117

Claims (28)

一種下述式(X-1)所示之化合物;
Figure 03_image001
式(X-1)中,R0 係各自獨立為氫原子、解離性基或交聯性基,至少一個R0 為解離性基或交聯性基。
A compound represented by the following formula (X-1);
Figure 03_image001
In formula (X-1), R 0 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group, and at least one R 0 is a dissociable group or a crosslinkable group.
一種下述式(Y)所示之化合物;
Figure 03_image003
式(Y)中,R1 係各自獨立為氫原子、烷基、解離性基或交聯性基,至少一個R1 為烷基、解離性基或交聯性基。
A compound represented by the following formula (Y);
Figure 03_image003
In the formula (Y), R 1 is each independently a hydrogen atom, an alkyl group, a dissociable group, or a crosslinkable group, and at least one R 1 is an alkyl group, a dissociable group, or a crosslinkable group.
一種下述式(Z-1)、(Z-2)、或(Z-3)所示之化合物;
Figure 03_image005
Figure 03_image007
式(Z-1)~(Z-3)中,R2 係各自獨立為氫原子、解離性基或交聯性基。R3 係取代或無取代之碳數1~24之2價基,亦可包含酮基或酯鍵。
A compound represented by the following formula (Z-1), (Z-2), or (Z-3);
Figure 03_image005
Figure 03_image007
In the formulas (Z-1) to (Z-3), R 2 is each independently a hydrogen atom, a dissociable group, or a crosslinkable group. R 3 is a substituted or unsubstituted divalent group with 1 to 24 carbon atoms, and may also include a ketone group or an ester bond.
一種樹脂,其係包含源自抗壞血酸或其衍生物之構成單位。A resin containing constituent units derived from ascorbic acid or its derivatives. 如請求項4之樹脂,其係使前述式(X-1)之R0 之至少一個為交聯性基之複數之如請求項1之化合物藉由前述交聯性基而互相進行交聯而得者。 The resin of claim 4, wherein at least one of R 0 of the aforementioned formula (X-1) is a plurality of crosslinkable groups, such as the compound of claim 1 through the aforementioned crosslinkable groups to crosslink each other Winner. 如請求項4之樹脂,其係使前述式(Y)之R1 之至少一個為交聯性基之複數之如請求項2之化合物藉由前述交聯性基而互相進行交聯而得者。The resin of claim 4, which is obtained by cross-linking the compound of claim 2 in which at least one of R 1 of the aforementioned formula (Y) is a plurality of cross-linkable groups through the aforementioned cross-linkable group . 如請求項4之樹脂,其係使前述式(Z-1)~(Z-3)之R2 之至少一個為交聯性基之複數之如請求項3之化合物藉由前述交聯性基而互相進行交聯而得者。 Such as the resin of claim 4, wherein at least one of R 2 of the aforementioned formulas (Z-1) to (Z-3) is a plurality of crosslinkable groups, such as the compound of claim 3, through the aforementioned crosslinkable group And those who cross-link each other. 如請求項4之樹脂,其中前述樹脂為包含源自抗壞血酸或其衍生物之構成單位之聚胺基甲酸酯、聚酯、聚醚、或聚碳酸酯。The resin according to claim 4, wherein the aforementioned resin is polyurethane, polyester, polyether, or polycarbonate containing constituent units derived from ascorbic acid or its derivatives. 一種組成物,其係包含如請求項1~3中任一項之化合物,或如請求項4~8中任一項之樹脂。A composition comprising the compound of any one of claims 1 to 3, or the resin of any one of claims 4 to 8. 如請求項9之組成物,其中更含有溶劑。Such as the composition of claim 9, which further contains a solvent. 如請求項9或10之組成物,其中更含有酸產生劑。Such as the composition of claim 9 or 10, which further contains an acid generator. 如請求項9~11中任一項之組成物,其中更含有酸交聯劑。Such as the composition of any one of claims 9 to 11, which further contains an acid crosslinking agent. 如請求項9~12中任一項之組成物,其中更含有重氮萘醌光活性化合物。Such as the composition of any one of claims 9 to 12, which further contains a diazonaphthoquinone photoactive compound. 一種阻劑膜,其係由如請求項9~13中任一項之組成物所形成者。A resist film, which is formed by the composition of any one of claims 9-13. 一種圖型形成方法,其係包含: 使用如請求項9~13中任一項之組成物在基板上形成膜的膜形成步驟; 將前述膜予以曝光的曝光步驟;及, 將前述曝光步驟中經曝光之膜予以顯像而形成圖型的顯像步驟。A pattern forming method, which includes: A film forming step of forming a film on a substrate using the composition according to any one of claims 9 to 13; Exposure step of exposing the aforementioned film; and, A developing step of developing the exposed film in the aforementioned exposure step to form a pattern. 一種微影用下層膜形成用或光學物品形成用組成物,其係包含:抗壞血酸或其衍生物,亦或,包含源自抗壞血酸或其衍生物之構成單位之樹脂。A composition for forming an underlayer film for lithography or forming an optical article, which contains ascorbic acid or its derivatives, or a resin containing constituent units derived from ascorbic acid or its derivatives. 如請求項16之組成物,其中前述抗壞血酸之衍生物為如請求項1至3中任一項之化合物。The composition according to claim 16, wherein the aforementioned derivative of ascorbic acid is a compound according to any one of claims 1 to 3. 如請求項16或17之組成物,其中前述樹脂為如請求項4~8中任一項之樹脂。The composition of claim 16 or 17, wherein the aforementioned resin is the resin of any one of claims 4 to 8. 如請求項16~18中任一項之組成物,其中更含有溶劑。Such as the composition of any one of claims 16 to 18, which further contains a solvent. 如請求項16~19中任一項之組成物,其中更含有酸產生劑。Such as the composition of any one of claims 16 to 19, which further contains an acid generator. 如請求項16~20中任一項之組成物,其中更含有酸交聯劑。Such as the composition of any one of claims 16 to 20, which further contains an acid crosslinking agent. 如請求項16~21中任一項之組成物,其中更含有重氮萘醌光活性化合物。Such as the composition of any one of claims 16 to 21, which further contains a diazonaphthoquinone photoactive compound. 如請求項16~22中任一項之組成物,其中前述微影用下層膜形成用組成物更含有含矽化合物。The composition according to any one of claims 16 to 22, wherein the composition for forming an underlayer film for lithography further contains a silicon-containing compound. 如請求項23之組成物,其中前述含矽化合物為水解性有機矽烷、其水解物或其水解縮合物。The composition of claim 23, wherein the aforementioned silicon-containing compound is a hydrolyzable organosilane, its hydrolyzate, or its hydrolyzed condensate. 一種微影用下層膜,其係由如請求項16~24中任一項之微影用下層膜形成用組成物所形成者。An underlayer film for lithography, which is formed of the composition for forming an underlayer film for lithography as in any one of Claims 16 to 24. 一種圖型形成方法,其係包含: 在基板上使用如請求項16~24中任一項之微影下層膜形成用組成物形成阻劑下層膜的步驟; 在前述阻劑下層膜上形成至少1層光阻層的步驟;及, 對前述光阻層之指定區域照射放射線而進行顯像的步驟。A pattern forming method, which includes: The step of forming a resist underlayer film on a substrate using the composition for forming a lithographic underlayer film as in any one of claims 16 to 24; The step of forming at least one photoresist layer on the aforementioned resist underlayer film; and, The step of irradiating radiation to the designated area of the aforementioned photoresist layer to perform imaging. 一種光學物品,其係由如請求項16~22中任一項之光學物品形成用組成物所形成者。An optical article, which is formed by the composition for forming an optical article as in any one of Claims 16-22. 如請求項27之光學物品,其中前述光學物品之折射率為1.65以上。Such as the optical article of claim 27, wherein the refractive index of the aforementioned optical article is 1.65 or more.
TW109130888A 2019-09-10 2020-09-09 Compound, resin, composition, resist film, pattern forming method, underlayer film, and optical article TW202116745A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2019-164258 2019-09-10
JP2019-164251 2019-09-10
JP2019164258 2019-09-10
JP2019164251 2019-09-10

Publications (1)

Publication Number Publication Date
TW202116745A true TW202116745A (en) 2021-05-01

Family

ID=74865687

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109130888A TW202116745A (en) 2019-09-10 2020-09-09 Compound, resin, composition, resist film, pattern forming method, underlayer film, and optical article

Country Status (3)

Country Link
JP (1) JPWO2021049472A1 (en)
TW (1) TW202116745A (en)
WO (1) WO2021049472A1 (en)

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS433782B1 (en) * 1964-12-26 1968-02-12
JPH05331157A (en) * 1992-05-26 1993-12-14 Mitsubishi Rayon Co Ltd Ascorbic acid ester
JPH09316066A (en) * 1996-05-30 1997-12-09 Toppan Printing Co Ltd Production of l-ascorbic acid derivative
JPH09323987A (en) * 1996-06-01 1997-12-16 Toppan Printing Co Ltd L-ascorbic acid derivative and its production
JPH09323988A (en) * 1996-06-01 1997-12-16 Toppan Printing Co Ltd L-ascorbic acid derivative and its production
JPH09328537A (en) * 1996-06-07 1997-12-22 Toppan Printing Co Ltd Polymer containing l-ascorbic acid segment and production thereof
JPH09328521A (en) * 1996-06-07 1997-12-22 Toppan Printing Co Ltd L-ascorbate-modified polyvinyl alcohol and production thereof
AU2003293082A1 (en) * 2002-11-27 2004-06-23 Tufts University Antioxidant-functionalized polymers
JP4832955B2 (en) * 2005-06-07 2011-12-07 信越化学工業株式会社 Resist underlayer film material and pattern forming method using the same
US7470500B2 (en) * 2005-07-19 2008-12-30 Az Electronic Materials Usa Corp. Organic bottom antireflective polymer compositions
JP2013230984A (en) * 2010-08-19 2013-11-14 Seiwa Kasei Co Ltd Ascorbic acid-derived composition, method for preparing the same, and cosmetic
US9165781B2 (en) * 2011-03-28 2015-10-20 Nissan Chemical Industries, Ltd. Composition for forming pattern reversal film and method for forming reversal pattern
US20130289300A1 (en) * 2012-04-25 2013-10-31 Zer-Ran YU Method and system for separating linalyl acetate from lavender essential oil and preparing its derivatives
KR102233875B1 (en) * 2013-12-30 2021-03-30 롬엔드하스전자재료코리아유한회사 Method for forming pattern using anti-reflective coating composition comprising photoacid generator
EP3394181A1 (en) * 2015-12-15 2018-10-31 Ege Kimya Sanayi ve Ticaret A.S. Polymeric anti-skinning and drier compounds
IN201711017114A (en) * 2017-05-16 2017-06-16
WO2019225614A1 (en) * 2018-05-25 2019-11-28 日産化学株式会社 Resist underlayer film-forming composition using cyclic carbonyl compound

Also Published As

Publication number Publication date
JPWO2021049472A1 (en) 2021-03-18
WO2021049472A1 (en) 2021-03-18

Similar Documents

Publication Publication Date Title
JP6487942B2 (en) Antireflection coating composition and method for producing the same
US7439302B2 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
TWI424033B (en) An antireflective coating composition comprising fused aromatic rings
TWI642698B (en) Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
JP4086830B2 (en) Silicon-containing composition for spin-on ARC / hard mask
TWI541265B (en) Antireflective coating composition and process thereof
JP5822358B2 (en) Anti-reflective coating composition containing fused aromatic rings
US7659051B2 (en) Polymer having antireflective properties, hardmask composition including the same, and process for forming a patterned material layer
JP2012508909A (en) Anti-reflective coating composition containing fused aromatic rings
JP2012508910A (en) Anti-reflective coating composition containing fused aromatic rings
KR101420460B1 (en) Antireflective coating compositions
JP4045430B2 (en) Pattern forming method and pattern forming material
TW202104241A (en) Compound and production method thereof, resin, composition, resist film, pattern forming method, underlayer film for lithography, optical component, and method for purifying compound or resin
TW201938523A (en) Compound, resin, composition, method for forming resist pattern, method for forming circuit pattern, and method for purifying resin
TW202116745A (en) Compound, resin, composition, resist film, pattern forming method, underlayer film, and optical article
TW202200542A (en) Compound, production method therefor, acid generator, composition, resist film, underlayer film, pattern formation method, and optical component
WO2021230185A1 (en) Compound, production method therefor, composition, resist film, and pattern formation method
JP7459789B2 (en) Compound, resin, composition, method for forming resist pattern, method for forming circuit pattern, and method for purifying resin
TW202348694A (en) Tellurium-containing polymer and compound
WO2006096221A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
CN114517043A (en) Bottom anti-reflective coating composition containing organic rigid cage compound, preparation method thereof and formation method of microelectronic structure
TW202016651A (en) Underlayer film-forming composition