TW202046420A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202046420A
TW202046420A TW109115338A TW109115338A TW202046420A TW 202046420 A TW202046420 A TW 202046420A TW 109115338 A TW109115338 A TW 109115338A TW 109115338 A TW109115338 A TW 109115338A TW 202046420 A TW202046420 A TW 202046420A
Authority
TW
Taiwan
Prior art keywords
source
layer
drain region
dielectric layer
shaped
Prior art date
Application number
TW109115338A
Other languages
English (en)
Other versions
TWI728803B (zh
Inventor
黃麟淯
王聖璁
張家豪
林天祿
林佑明
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202046420A publication Critical patent/TW202046420A/zh
Application granted granted Critical
Publication of TWI728803B publication Critical patent/TWI728803B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種關於提供源極/汲極隔離結構的方法及結構,包括提供具有第一源極/汲極區鄰近於第二源極/汲極區的裝置。在第一及第二源極/汲極區之間及第二源極/汲極區的第一露出部上方沉積遮罩層。在沉積遮罩層之後,在沒有實質蝕刻遮罩層的情況下,蝕刻層間介電層設置在遮罩層的兩側上的第一部分,以露出第二源極/汲極區的第二部分,並露出第一源極/汲極區。在蝕刻層間介電層的第一部分之後,蝕刻遮罩層以形成L形遮罩層。在形成L形遮罩層之後,在露出的第一源極/汲極區上方形成第一金屬層,且在第二源極/汲極區的第二露出部上方形成第二金屬層。

Description

半導體裝置及其形成方法
本發明實施例是關於一種半導體裝置及其形成方法,特別是關於一種具有L形隔離結構的半導體裝置及其形成方法。
電子行業已經經歷了對更小及更快的電子裝置的持續需求,上述電子裝置同時能夠支持更大數量的更複雜及精緻的功能。因此,半導體工業存在製造低成本、高性能及低功耗的積體電路(integrated circuits, ICs)的持續趨勢。迄今為止,藉由縮小半導體積體電路尺寸(例如,最小部件尺寸),這些目標在很大程度上已經被實現,也從而增加生產效率並降低相關成本。然而,如此的微縮化也已經增加了半導體製造過程的複雜度。因此,半導體積體電路及裝置持續進步的實現與半導體製造的過程及技術需要類似的發展。
特別地,在電晶體之源極/汲極部件(例如,源極/汲極磊晶層)上,尺寸微縮化已經對金屬接觸件的形成提出挑戰。舉例而言,在分離但鄰近的源極/汲極部件上形成金屬接觸件的期間,可形成介電層,以在鄰近金屬接觸層之間及在金屬接觸層及鄰近源極/汲極部件之間提供隔離區。然而,在至少一些傳統製程中,急速微縮的切割金屬區(aggressively-scaled cut metal region)導致介電層無法提供足夠的隔離,上述切割金屬區被用來至少部分定義介電層的尺寸。在一些示例中,也因為微縮的切割金屬區,在金屬接觸層及鄰近的源極/汲極部件之間可能發生橋接(例如,電性短路)。此外,隔離區的介電層可遭受依時性介電質崩潰(time-dependent dielectric breakdown, TDDB),也因此無法提供所需的隔離。在一些情況下,用於使鄰近金屬接觸層保持分離的遮罩可在製程(例如,在蝕刻以提供圖案化的介電層給隔離區的期間)期間剝離,導致後續形成的鄰近金屬接觸層之間的電性短路。再者,用於介電層的材料可能本身更容易具有不佳的可靠性(例如,因為依時性介電質崩潰)。
因此,現有技術沒有在所有面向中證明其是完全令人滿意的。
本發明實施例提供一種半導體裝置的形成方法,包括:提供一裝置,包括第一源極/汲極區,鄰近於第二源極/汲極區;沉積遮罩層在第一及第二源極/汲極區之間,及第二源極/汲極區的一第一露出部上方;在沉積遮罩層之後,在沒有實質蝕刻遮罩層的情況下,蝕刻層間介電(inter-layer dielectric, ILD)層設置在該遮罩層的兩側上的一第一部分,以露出第二源極/汲極區的第二部分,且露出第一源極/汲極區;在蝕刻層間介電層的該第一部分之後,蝕刻遮罩層以形成L形遮罩層;及在形成L形遮罩層之後,形成第一金屬層在露出的第一源極/汲極區上方,且形成第二金屬層在第二源極/汲極區的第二露出部上方。
本發明實施例提供一種半導體裝置的形成方法,包括:提供一裝置,包括:第一源極/汲極區,鄰近於第二源極/汲極區,及設置在第一及第二源極/汲極區上方的層間介電層;移除層間介電層的第一部分,以在該些源極/汲極區之間露出淺溝槽隔離(shallow trench isolation, STI)區,且沉積介電層在露出的淺溝槽隔離區上方;在沉積該介電層之後,移除層間介電層的第二部分,以分別露出至少部分的第一及第二源極/汲極區;在移除層間介電層的第二部分之後,蝕刻介電層以形成L形介電層,其中L形介電層的底部包括頂表面,其定義了設置在第一及該第二源極/汲極區之頂表面上方的一平面;及在形成L形介電層之後,沉積第一金屬層在第一源極/汲極區的露出部上方,且沉積第二金屬層在第二源極/汲極區的露出部上方。
本發明實施例提供一種半導體裝置,包括:第一源極/汲極區及第二源極/汲極區,其鄰近於第一源極/汲極區; L形隔離結構,其插在第一及第二源極/汲極區之間,其中L形隔離結構接觸至少部分的第二源極/汲極區,且其中L形隔離結構的底部包括頂表面,其定義了設置在第一及第二源極/汲極區之頂表面上方的平面;及第一金屬層及第二金屬層,第一金屬層接觸第一源極/汲極區,第二金屬層接觸第二源極/汲極區,其中L形隔離結構提供第一及第二金屬層之間及第一金屬層及第二源極/汲極區之間的電性絕緣。
以下內容提供了許多不同的實施例或範例,以進行本發明實施例的不同部件。以下描述組件及配置方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。舉例而言,在以下描述中提及於第二部件上方或其上形成第一部件,其可包含第一部件和第二部件以直接接觸的方式形成的實施例,並且可包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可不直接接觸的實施例。此外,本發明實施例可在各種範例中重複參考數值及/或字母。如此重複是為了簡化和清楚之目的,其本身並非用於指定所討論的各種實施例及/或配置之間的關係。
再者,此處可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」等類似的用語,以便描述圖式中一個部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用語除了包含圖示繪示的方位外,也意圖包含使用中或操作中之裝置的不同方位。當裝置被旋轉至不同方位時(旋轉90度或其他方位),此處所使用的空間相對描述也將同樣地依旋轉後的方位來解釋。
還應注意的是,本揭露以多閘極電晶體或鰭片式多閘極電晶體的形式呈現實施例,上述鰭片式多閘極電晶體在此稱作FinFET裝置。這樣的裝置,可包括P型金屬氧化物半導體FinFET裝置或N型金屬氧化物半導體FinFET裝置。FinFET裝置可為雙閘極裝置、三閘極裝置、塊體裝置、絕緣體上覆矽(silicon-on-insulator, SOI)裝置及/或其他配置。本領域具有通常知識者可認識到,半導體裝置的其他實施例可受益於本揭露的多個面向。舉例而言,在此描述的一些實施例也可被應用於環繞式閘極(gate-all-around, GAA)裝置,Ω-閘極(Omega-gate, Ω-gate)裝置,或Π閘極(Pi-gate, Π-gate)裝置。
第1圖中繪示FinFET裝置100。FinFET裝置100,包括一或多個以鰭片為基礎的多閘極場效電晶體(field-effect transistors, FETs)。FinFET裝置100,包括基板102、至少一個從基板102延伸的鰭片元件104、隔離區106、及設置在鰭片元件104上及周圍的閘極結構108。基板102可為半導體基板例如矽基板。基板,可包括各種層,包括形成在半導體基板上的導電絕緣層。基板,可包括各種取決於設計需求的摻雜配置,如本領域中所知。基板,也可包括其他半導體例如鍺、碳化矽(silicon carbide, SiC)、矽鍺(silicon germanium, SiGe)或鑽石。替代地,基板,可包括化合物半導體及/或合金半導體。再者,在一些實施例中,基板,可包括磊晶層(epitaxial layer, epi-layer),可為了增強性能而應變基板,上述基板,可包括絕緣體上覆矽結構且/或基板可具有其他合適的增強部件。
鰭片元件104如同基板102,可包括矽或其他元素半導體,例如下列的半導體:鍺;化合物半導體,包括碳化矽、鎵化砷、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括SiGe、 GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP;或前述之組合。可使用包括光學微影及蝕刻製程之合適的製程來製造鰭片104。光學微影製程,可包括在基板上方(例如,在矽層上)形成光阻層(阻劑)、將阻劑暴露在圖案下、進行曝光後烘烤製程且顯影阻劑,以形成包括阻劑的遮罩元件。在一些實施例中,可使用電子束(electron beam, e-beam)光學微影製程來進行圖案化阻劑,以形成遮罩元件。接著當蝕刻製程在矽層中形成凹部時,遮罩元件可用於保護基板的區域,從而留下延伸的鰭片104。可使用乾式蝕刻(例如,化學氧化物的移除)、濕式蝕刻及/或其他合適的製程來蝕刻凹部。也可使用在基板102上形成鰭片104之各種其他實施例的方法。
複數個鰭片104,也分別包括源極區105及汲極區107,其中在鰭片104中、上面及/或周圍形成源極/汲極區105、107。源極/汲極區105、107可磊晶生長在鰭片104上方。在鰭片104內、閘極結構108下方、沿著平面,配置電晶體的通道區,上述平面實質上平行於第1圖中剖面AA’所定義的平面。在一些示例中,鰭片的通道區,包括高遷移率材料,例如下列材料:鍺以及任一個以上討論的化合物半導體或合金半導體及/或前述之組合。高遷移率的材料,包括那些具有電子遷移速率大於矽的材料。舉例而言,在一些例子中高於矽,矽在室溫下(300K)具有約1350 cm2/V-s之固有的電子遷移率及約480cm2/V-s之電洞遷移率。
隔離區106可為淺溝槽隔離(shallow trench isolation, STI)部件。替代地,可在基板102上及/或中實施場氧化物、區域氧化(LOCOS)部件及/或其他合適的隔離部件。隔離區106可由下列材料所組成:氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass, FSG)、低介電常數介電質、前述之組合及/或在本領域已知的其他合適的材料。在一些實施例中,隔離結構為淺溝槽隔離部件且藉由蝕刻基板102中的溝槽來形成。然後可用隔離材料填充溝槽,接著進行化學機械拋光(chemical mechanical polishing, CMP)製程。然而,其他實施例是可行的。在一些實施例中,隔離區106,可包括多層結構,舉例而言,具有襯層的一或多個。
閘極結構108,包括閘極堆疊,其包括閘極介電層110及形成在閘極介電層上方的金屬層112。在一些實施例中,閘極介電層110,可包括形成在鰭片104之通道區上方的界面層,及形成在界面層上方的高介電常數介電層。閘極介電層110的界面層,可包括介電材料例如二氧化矽(silicon oxide layer, SiO2)層或氮氧化矽(silicon oxynitride, SiON)。閘極介電層110的高介電常數介電層,可包括HfO2、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、前述之組合或其他合適的材料。另一些其他實施例中,閘極介電層110,可包括二氧化矽或其他合適的介電質。可藉由下列方法形成閘極介電層110:化學氧化、熱氧化、原子層沉積(atomic layer deposition, ALD)、物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積(chemical vapor deposition, CVD)及/或其他合適的方法。金屬層112,可包括如下的導電層: W、TiN、TaN、WN、Re、Ir、Ru、Mo、Al、Cu、Co、Ni、前述之組合及/或其他合適的組成。在一些實施例中,金屬層112,可包括用於N型FinFETs之第一族的金屬材料及用於P型FinFETs之第二族的金屬材料。因此,FinFETs裝置100,可包括雙功函數金屬閘極配置。舉例而言,第一金屬材料(例如,用於N型裝置),可包括具有功函數的金屬,上述功函數實質匹配於(align with)基板導帶的功函數,或至少實質匹配於鰭片104通道區之導帶的功函數。相似地,舉例而言,第二金屬材料(例如,用於P型裝置),可包括具有功函數的金屬,上述功函數實質匹配於基板價帶的功函數,或至少實質匹配於鰭片104通道區之價帶的功函數。因此,金屬層112可提供閘極電極給包括N型及P型FinFET裝置100的FinFET裝置100。在一些實施例中,金屬層112,可替代地包括多晶矽層。可使用物理氣相沉積、化學氣相沉積、電子束(electron beam, e-beam)蒸鍍及/或其他合適的製程來形成金屬層112。在一些實施例中,在閘極結構108的側壁上形成側壁間隔物。側壁間隔物,可包括如下的介電材料:氧化矽、氮化矽、碳化矽、氮氧化矽或前述之組合。
如以上所述,IC尺寸的急速微縮已經對金屬接觸件至電晶體之源極/汲極部件(例如,FinFET裝置的源極/汲極區105、107)的形成提出挑戰。舉例而言,在分開但鄰近之源極/汲極部件(例如,沿著平面的分開但鄰近的源極或汲極,該平面實質平行於第1圖中剖面BB’或剖面CC’所定義的平面)形成的期間,可形成介電層,以在鄰近金屬接觸層之間、金屬接觸層及鄰近源極/汲極部件之間提供隔離區。在各種示例中,急速微縮的切割金屬區,導致介電層無法在鄰近金屬層之間(例如,在鄰近但分開之源極/汲極部件的金屬接觸層之間)及/或在金屬接觸層及鄰近源極/汲極區之間提供足夠的隔離,上述急速微縮的切割金屬區被用來至少部分定義介電絕緣區的尺寸。例如,因為微縮的切割金屬區及所導致的狹窄的介電絕緣區,在金屬接觸層及鄰近源極/汲極區之間可能發生橋接(例如,電性短路)。此外,提供絕緣區的介電層可能遭受時間依賴性介電崩潰(time-dependent dielectric breakdown, TDDB)(特別是介電層最窄的地方),也因此無法提供所需的隔離。在一些情況下,在製程期間(例如,在蝕刻以提供圖案化介電層給隔離區的期間),用來使鄰近金屬接觸層(例如,接觸鄰近源極/汲極部件)分開的硬遮罩可能會剝離,導致後續形成之鄰近金屬接觸層之間的電性短路。再者,用於介電層的材料可能本身更容易具有不佳的可靠性(例如,因為時間依賴性介電崩潰)。因此,現有技術沒有在所有面向中證明其是完全令人滿意的。
本揭露的實施例提供優於現有技術的優點, 雖然應該理解其他實施例可能提供不同的優點,但是在本文中不必討論所有的優點,且沒有特定的優點是被所有實施例所需要的。舉例而言,在此討論的實施例,包括針對形成源極/汲極隔離結構的方法及結構。特別地,且在至少一些實施例中,使用在蝕刻期間不會剝離之更堅固的硬遮罩(例如,比至少一些傳統硬遮罩更厚),形成揭露的源極/汲極結構。此外,使用一種或多種材料作為傳統ILD氧化物的替代,或除了傳統ILD氧化物外還使用一種或多種材料,以形成源極/汲極隔離結構。因此,在各種實施例中,源極/汲極隔離結構,可包括單一層或疊層。在一些情況下,用於隔離結構之替代材料的一或多個也可具有比傳統ILD氧化物更高的崩潰場強度。在一些示例中,揭露的隔離結構可形成為具有L形,使得金屬層及鄰近源極/汲極區之間的距離增加,從而消除以上所討論的橋接及可靠性的問題。出於說明的目的,且根據各種實施例,第2圖顯示根據本文所揭露的方法,沿著平面形成示例性裝置200的剖面圖,上述平面實質平行於第1圖中剖面BB’及剖面CC’的平面。裝置200,包括基板202、鰭片204、源極/汲極區205、207、隔離區206(例如,淺溝槽隔離區)、L形介電層210、金屬層216(接觸源極/汲極區205)及金屬層218(接觸源極/汲極區207)。在各種實施例中,L形介電層210確保源極/汲極接觸金屬層216、218彼此之間保持電性絕緣。再者,如以下所討論的,L形介電層210提供增加的間距”s”,且使用更堅固的替代材料來形成L形介電層210。因此,源極/汲極207與源極/汲極接觸金屬層217保持電性絕緣。再者,本揭露的各種實施例提供增加的時間依賴性介電崩潰寬裕度。以下提供本揭露實施例的額外細節,且額外的益處及/或優點對受益於本揭露的本領域技術人員將變得顯而易見。
現在參見第3圖,其根據一些實施例繪示形成源極/汲極隔離結構的方法300。參見第4-18圖,以下更詳細地敘述方法300。特別地,第4-18圖提供根據第3圖中方法300之一或多個步驟製造之示例性裝置400沿著平面的剖面圖,上述平面實質平行於第1圖中剖面BB’及剖面CC’所定義的平面。此外,在一些實施例中,可使用方法300製造以上參見第1圖繪示的裝置100。因此,以上參見裝置100討論的一或多個面向也可應用於根據方法300製造的裝置400。可以理解的是,可在方法300之前、期間或之後,實施額外製程步驟,且根據方法300的各種實施例敘述的一些製程步驟,可被替換或消除。
應該理解的是,部分的方法300及/或參見方法300所討論的任何示例性電晶體裝置,可藉由眾所周知的互補式金屬氧化物半導體(complementary metal-oxide-semiconductor, CMOS)技術流程圖來製造,也因此在此只有簡單描述一些製程。再者,應理解的是,在此描述的示例性電晶體裝置,可包括各種其他裝置及部件,例如:額外的電晶體、雙極性接面電晶體、電阻器、電容器、二極管、熔絲等,但為了更好理解本揭露的發明概念而被簡化。再者,在一些實施例中,在此揭露的(多個)示例性電晶體裝置,可包括複數個可互連的半導體裝置(例如,電晶體)。此外,在一些實施例中,本揭露的各種面向可被應用於閘極後製製程或閘極先製製程。
此外,在一些實施例中,在此說明的示例性電晶體裝置,可包括在製程中間階段裝置的敘述,可在積體電路或其部分的製程期間被製造,上述積體電路,可包括靜態隨機存取存儲器(static random access memory, SRAM)及/或其他邏輯電路、被動元件及主動元件,上述被動元件例如電阻器,電容器和電感器,上述主動元件如下: P通道場效電晶體(P-channel field-effect transistors, PFET)、N通道場效電晶體(P-channel field-effect transistors, NFET)、金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistors, MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide-semiconductor, CMOS)電晶體、雙極性電晶體、高壓電晶體、高頻電晶體、其他存儲單元及/或前述之組合。
現在參見方法300,方法300從提供包括鄰近源極/汲極區之裝置的方框302開始。參見第4圖及方框302的實施例,提供包括鄰近第一及第二源極/汲極區405、407的裝置400。在一些實施例中,裝置400可類似於以上所討論的裝置100,其第一及第二源極/汲極區405、407可類似於沿著第1圖中平面BB’的鄰近源極區105。替代地,在一些示例中,第一及第二源極/汲極區405、407可相似於沿著平面CC’的鄰近汲極區107。裝置400,更包括基板402、鰭片404及隔離區406(例如,淺溝槽隔離區)。在各種示例中,基板402、鰭片404、第一及第二源極/汲極區405、407及隔離區406可類似於以上參見第1圖所討論的基板102、鰭片104、源極/汲極區105、107及隔離區106。
在一些實施例中,裝置400,也可包括在沉積隔離區406之前形成的淺溝槽隔離襯層403(例如,氧化矽、氮化矽或其他合適的層)。裝置400,也可包括形成在隔離區406上方及源極/汲極區405、407上方的蝕刻停止層410。在一些示例中,蝕刻停止層410,可包括SiCO、SiC、氧化物、低介電常數介電質或其他合適的層。在一些示例中,裝置400,更包括形成在裝置400上方的第一層間介電質(inter-layer dielectric, ILD)412及第二ILD 414,上述裝置400上方,包括蝕刻停止層410上方。舉例來說,第一及第二ILD層412、414,可包括氧化層、低介電常數介電層或其他合適的介電層。在一些實施例中,裝置400,也可包括形成在第二ILD層414上方的硬遮罩416,其在後續製程期間將保護部分的裝置400。舉例來說,硬遮罩416,可包括氧化物層、氮化物層、氮氧化物層或前述之組合。
方法300接著進行到為切割金屬區進行光學微影製程的方框304。在方框304的實施例中,參見第4圖及第5圖的示例,在硬遮罩416上方沉積光阻層502(例如,藉由旋轉塗佈)。在一些示例中,在形成光阻層502之後,額外的光學微影步驟,可包括軟烤、遮罩對準、曝光、曝光後烘烤、顯影、清洗、乾燥(例如,旋乾及/或硬烤)、其他合適的光學微影技術及/或前述之組合。作為光學微影製程的結果,在光阻層502內形成包括開口504的圖案,其中此圖案可接著在後續下層的蝕刻期間作為遮罩使用(例如,硬遮罩416、第一及第二ILD層412、414及/或蝕刻停止層410)。
方法300接著進行到為切割金屬區進行蝕刻製程的方框306。在方框306的實施例中,參見第5圖及第6圖的示例,進行蝕刻製程(例如,通過圖案化光阻層502的開口504),其中該蝕刻製程移除部分的硬遮罩416、第一及第二ILD層412、414及部分的蝕刻停止層410,以形成開口602。在一些實施例中,蝕刻製程(也因此開口602)可露出設置在第一及第二源極/汲極區405、407之間隔離區406的一部分以及第一及第二源極/汲極區405、407之一或兩者的部分。在各種實施例中,蝕刻製程,可包括乾式蝕刻製程(例如,活性離子蝕刻或感應耦合式電漿蝕刻)、濕式蝕刻製程或前述之組合。應該注意的是,進行蝕刻製程,可包括選擇性蝕刻製程例如選擇性濕式或選擇性乾式蝕刻製程,在沒有實質移除在蝕刻製程中露出之其他層(例如,隔離區406及/或第一及第二源極/汲極區405、407)的情況下,上述蝕刻製程提供硬遮罩416、第一及第二ILD層412、414及蝕刻停止層410所需部分的移除。在一些實施例中,在蝕刻製程之後,可移除圖案化的光阻層502(例如,使用合適的溶劑)。
方法300接著進行到在切割金屬區中沉積介電層的方框308。在方框308的實施例中,參見第6圖及第7圖中的示例,在裝置400上方,包括在方框306蝕刻製程期間形成的開口內,沉積介電層702。在一些實施例中,介電層702,包括下列材料:SiC、LaO、AlO、AlON、ZrO、HfO、SiN、Si (例如,未摻雜的矽)、ZnO、ZrN、ZrAlO、TiO、TaO、YO、TaCN、ZrSi、SiOCN、SiOC、SiCN、HfSi、LaO、SiO、前述之組合或其他適合的介電材料。可藉由下列方法形成介電層702:原子層沉積、物理氣相沉積、化學氣相沉積、氧化及/或其他合適的方法。在各種實施例中,如以下所述,介電層702可用於在鄰近的第一及第二源極/汲極區405、407之間形成隔離結構。在一些示例中,介電層702可具有比用於第一及第二ILD層412、414的材料更高的崩潰場強度。還應注意的是,在一些情況下,開口602的形成不會完全移除第一ILD層412,使得介電層702接著形成在第一ILD層412的殘餘部分上方,以提供多層隔離結構。
方法300接著進行到進行化學機械拋光(chemical mechanical polishing, CMP)製程的方框310。在方框310的實施例中,參見第7圖及第8圖中的示例,進行CMP製程以移除多餘的材料(例如,介電層702的多餘材料)並平坦化裝置400的頂表面。在一些實施例中,CMP製程可被配置為停止在硬遮罩416上。
方法300接著進行到進行蝕刻製程以露出源極/汲極區的方框312。在方框312的實施例中,參見第8圖及第9圖中的示例,進行蝕刻製程以露出鄰近的第一及第二源極/汲極區405、407。舉例而言,蝕刻製程可移除硬遮罩416以及第一及第二ILD層412、414的實質部分,且可移除在第一及第二源極/汲極區405、407上方的部分蝕刻停止層410,以露出部分的第一及第二源極/汲極區405、407。在一些實施例中,如第9圖所示,第一及第二ILD層412、414的一或兩者的未蝕刻部分可在介電層702的側壁上被保留下來。在一些實施例中,在介電層702側壁上被保留下來之第一及第二ILD層412、414未蝕刻部分的厚度可介於約0奈米(無ILD部分被保留下來)及25奈米之間。在各種實施例中,蝕刻製程,可包括乾式蝕刻製程(例如,活性離子蝕刻或感應耦合式電漿蝕刻蝕刻)、濕式蝕刻製程或前述之組合。還應注意的是,進行的蝕刻製程,可包括選擇性蝕刻製程例如選擇性濕式或選擇性乾式蝕刻製程,在沒有實質移除其他層例如介電層702的情況下,上述蝕刻製程提供硬遮罩416、第一及第二ILD層412、414及蝕刻停止層410所需部分的移除。因此,在本蝕刻製程中,介電層702可有效地作為硬遮罩使用。此外,相較於急速微縮的硬遮罩會在源極/汲極區的蝕刻製程中剝離之至少一些現有的實施方式,介電層702有效地提供更大及更堅固的硬遮罩,其中該硬遮罩將不會在方框312的蝕刻製程中剝離。如先前所討論的,這也幫助預防嚴重的橋接(例如,電性短路)。
方法300接著進行到沉積虛設層且進行CMP的方框314。在方框314的實施例中,參見第9圖及第10圖中的示例,在裝置400上方,包括露出的第一及第二源極/汲極區405、407上方,沉積虛設層1002。在一些實施例中,虛設層1002,包括旋轉塗佈玻璃(spin-on-glass, SOG)層。一般而言,在各種實施例中,與鄰近的材料(例如,介電層702)相比,虛設層被選擇為具有高選擇性,以在後續製程中提供選擇層的移除。可藉由原子層沉積、物理氣相沉積、化學氣相沉積、氧化及/或其他合適的方法形成虛設層1002。在形成虛設層1002之後,且在方框314更近一步的實施例中,進行CMP製程。參見第10圖及第11圖中的示例,進行CMP製程以移除多餘的材料(例如,虛設層1002的多餘材料)並平坦化裝置400的頂表面。在一些實施例中,CMP製程可被設置為停止在介電層702上。
方法300接著進行到為介電層的後續圖案化進行光學微影製程的方框316。在方框316的實施例中,參見第11圖及第12圖中的示例,在裝置400上方沉積光阻層1202(例如,藉由旋轉塗佈)。在一些示例中,在形成光阻層1202後,額外的光學微影步驟,可包括軟烤、遮罩對準、曝光、曝光後烘烤、顯影、清洗、乾燥(例如,旋轉乾燥及/或硬烤)、其他合適的光學微影技術及/或前述之組合。作為光學微影製程的結果,包括在光阻層1202內形成包括開口1204的圖案,在底層(例如,介電層702)後續的蝕刻期間,該圖案可接著被作為遮罩使用。
方法300接著進行到進行蝕刻製程以圖案化介電層的方框318,在方框318的實施例中,參見第12圖及第13圖中的示例,進行蝕刻製程(例如,通過圖案化之光阻層1202的開口1204),其中,蝕刻製程移除部分的介電層702以及至少一些第一及/或第二ILD層412、414的未蝕刻部分,其先前在介電層702至少一側壁上被保留下來,以形成開口1302。在各種實施例中,蝕刻製程,可包括乾式蝕刻製程(例如,活性離子蝕刻或感應耦合式電漿蝕刻蝕刻)、濕式蝕刻製程或前述之組合。還應注意的是,進行的蝕刻製程,可包括選擇性蝕刻製程,例如選擇性溼式或選擇性乾式蝕刻製程,在沒有實質移除暴露在蝕刻製程中之其他層(例如,虛設層1002)的情況下,上述蝕刻製程提供介電層的所需部分及部分的第一及第二ILD層412、414(如果必要的話)的移除。換句話說,虛設層1002可抵抗方框318的蝕刻製程。
在各種示例中,方框318的蝕刻製程更進一步被配置為圖案化介電層702成L形,其中L形介電層702用於形成鄰近第一及第二源極/汲極區405、407之間的隔離結構。在一些實施例中,如第13圖所示,控制蝕刻製程(例如,藉由控制蝕刻時間、溫度、蝕刻氣體等),以提供L形介電層702底部所需的厚度“t”。在各種示例中,厚度“t”可在約0.5奈米至100奈米的範圍內。L形介電層702的底部可具有定義了平面DD'的頂表面1304。在至少一些實施例中,在第一及第二源極/汲極區405、407上方設置頂表面1304的平面DD'。一般而言,可選擇厚度“t”及頂表面1304的平面DD'來避免以上所討論的有害的橋接及/或可靠性的問題(例如,時間依賴性介電崩潰)。在蝕刻製程之後,在一些實施例中,如第14圖所示,圖案化的光阻層1202可被移除(例如,使用適當的溶劑)。
方法300接著進行到移除虛設層的方框320,在方框320的實施例中,參見第14圖及第15圖中的示例,從裝置400移除虛設層1002,以再一次露出鄰近的第一及第二源極/汲極區405、407。在各種實施例中,可藉由以下蝕刻製程移除虛設層1002:乾式蝕刻製程(例如,活性離子蝕刻或感應耦合式電漿蝕刻蝕刻)、溼式蝕刻製程或前述之組合。如先前所述,與鄰近材料相比,虛設層1002被選為具有高選擇性,以提供選擇層的移除。因此,在各種示例中,方框320的蝕刻製程,可包括選擇性蝕刻製程,例如選擇性濕式或選擇性乾式蝕刻製程,在沒有實質移除暴露在蝕刻製程中的其他層(例如,第一及第二ILD層412、414的任一殘餘部分、蝕刻停止層410的殘餘部分、L形介電層702及第一及第二源極/汲極區405、407)的情況下,上述蝕刻製程提供虛設層1002的移除。
方法300接著進行到沉積襯層的方框322,在方框322的實施例中,參見第15圖及第16圖中的示例,在裝置400上方選擇性地沉積襯層1602。在一些實施例中,可在裝置400上方,包括在L形介電層702上方、第一及第二ILD層412、414任一殘餘部分的上方及第一及第二源極/汲極區405、407的上方,保形地沉積襯層1602。如第16圖所示,在沉積襯層1602後,可進行回蝕刻製程,以移除襯層的一些部分(例如,從第一及第二源極/汲極區405、407的上方及L形介電層702的頂表面),而襯層1602的其他部分被保留在L形介電層702的側壁上及/或ILD層412、414的殘餘部分上,上述第一及第二ILD層412、414的殘餘部分設置在L形介電層702的側壁上。在一些實施例中,回蝕刻製程,可包括濕式蝕刻、乾式蝕刻或前述之組合。在一些情況下,襯層1602,可包括下列材料:AlO、AlON、SiC、ZrO、HfO、SiN、Si (例如,未摻雜的矽)、ZrAlO、TiO、ZrSi、SiOCN、SiOC、SiCN、HfSi、SiO、前述之組合或其他合適的材料。可藉由原子層沉積、物理氣相沉積、化學氣相沉積及/或其他合適的方法形成襯層1602。在各種實施例中,襯層1602的厚度可介於約0奈米(例如,沒有襯層)及20奈米之間。應注意的是,在沉積襯層1602及回蝕刻製程之後,部分的鄰近第一及第二源極/汲極區405、407保持露出。在一些情況下,不沉積襯層1602,有效地跳過方框322。
方法300接著進行到形成源極/汲極接觸金屬的方框324,在方框324的實施例中,參見第16圖及第17圖中的示例,可在裝置400上方,包括在第一及第二源極/汲極區405、407的露出部上方、在L形介電層702上方及在L形介電層702側壁上之襯層1602的上方,沉積源極/汲極接觸金屬。特別地,源極/汲極接觸金屬提供至第一及第二源極/汲極區405、407的電性連接。在一些實施例中,可藉由下列方法沉積源極/汲極接觸金屬:物理氣相沉積、電子束蒸鍍、化學氣相沉積、原子層沉積或其他合適的方法。在一些實施例中,源極/汲極接觸金屬,包括下列材料:Ti、W、Co、Cu、Al、Mo、MoW、W、TiN、TaN、WN、矽化物、前述之組合或其他合適的導電材料。在一些實施例中,可進行CMP製程以移除源極/汲極接觸金屬的多餘部分並平坦化裝置400的頂表面。此外,CMP製程可用來回蝕刻L形介電層702的上部,其包括襯層及/或沿著L形介電層702上部的側壁設置的ILD層412、414。還應注意的是,雖然源極/汲極接觸金屬最初以連續層沉積在裝置400上方,源極/汲極接觸金屬多餘部分的移除(例如,藉由CMP製程),導致第一源極/汲極接觸金屬層1702A(接觸第一源極/汲極區405)及第二源極/汲極接觸金屬層1702A(接觸第二源極/汲極區407)。此外,根據包括形成L形介電層702之揭露方法的各種實施例,第一及第二源極/汲極接觸金屬層1702A、1702B彼此之間保持電性絕緣。同樣地,再一次因為L形介電層702(例如,包括提供增加的間距“s”及用更堅固的材料於介電層702),第二源極/汲極區407與第一源極/汲極接觸金屬層1702A保持電性絕緣。因此,有效地消除了橋接及可靠性的問題(例如,時間依賴性介電崩潰)。還應注意的是,第一源極/汲極接觸金屬層1702A,也可包括與L形介電層702相應的L形。在一些實施例中,如圖所示,L形第一源極/汲極接觸金屬層1702A及L形介電層702可相對於彼此做位置上的旋轉,使得它們形成實質上互鎖(interlocking)的L形。
方法300接著進行到在切割金屬區中沉積介電層的方框326,在方框326的實施例中,參見第17圖及第18圖中的示例,在裝置400上方沉積介電層1802。在一些實施例中,介電層1802,包括下列材料:SiC、LaO、AlO、AlON、ZrO、HfO、SiN、Si (例如,未摻雜的矽)、ZnO、ZrN、ZrAlO、TiO、TaO、YO、TaCN、ZrSi、SiOCN、SiOC、SiCN、HfSi、LaO、SiO、前述之組合或其他合適的介電材料。在一些實施例中,介電層1802,包括自對準接觸層,其可後續被圖案化以提供開口,通過該開口提供電性連接至下方金屬層(例如,至第一及第二源極/汲極接觸金屬層1702A、1702B)。可藉由原子層沉積、物理氣相沉積、化學氣相沉積、氧化及/或其他合適的方法形成介電層1802。在一些實施例中,介電層1802具有介於約0奈米(沒有介電層1802)及50奈米之間的厚度。在一些實施例中,在沉積介電層1802後,可進行CMP製程以移除多餘的材料(例如,介電層1802的多餘材料)並平坦化裝置400的頂表面。
裝置400可經歷進一步的製程,以形成本領域中已知的各種部件及區域。舉例而言,後續製程可在基板上形成各種接觸件/導孔/線及多層互連的部件(例如,金屬層及層間介電質),上述基板被配置為連接各種部件,以形成包括FinFET裝置之一或多個的功能電路。在示例的進一步發展中,多層互連,可包括垂直互連及水平互連,上述垂直互連例如導孔或接觸件,上述水平互連例如金屬線,各種互連部件可使用各種導電材料,包括銅、鎢及/或矽化物。在一個示例中,鑲嵌及/或雙鑲嵌製程被用於形成銅相關的多層互連結構。
進一步詳細說明本揭露的各種實施例,第19A圖/第19B圖、第20A圖/第20B圖、第21A圖/第21B圖及第22A圖/第22B圖係根據方法300,繪示製造裝置之裝置結構的各種實施例。可以理解的是,繪示的裝置結構僅為示例性的,且方法300可被用於製造其他裝置結構且保持在本揭露的範圍內。最初如以上所述,開口602的形成(方法300的方框306)可能不會完全移除第一ILD層412,使得介電層702後續形成在第一ILD層412的殘餘部分上方,以提供多層隔離結構。參見第19A圖/第19B圖及第21A圖/第21B圖,其繪示具有這種包括ILD層(例如,ILD層412的一部分)及介電層702之多層隔離結構的裝置1900A、1900B、2100A、2100B。在一些實施例中,保留在介電層702下方之ILD層412的厚度可在約0奈米至100奈米的範圍內,其中大於0奈米的厚度提供了本揭露的多層隔離結構。相反的,參見第20A圖/第20B圖及第22A圖/第22B圖,其繪示裝置2000A、2000B、2200A、2200B,其中開口602的形成(方框306)實質移除了在第一及第二源極/汲極區405、407之間所有的ILD層材料,使得介電層702(隔離結構)直接形成在隔離區406上。如以上所討論的,第19A圖/第19B圖及第20A圖/第20B圖的裝置1900A、1900B、2000A、2000B,也包括襯層例如襯層1602,而第21A圖/第21B圖及第22A圖/第22B圖的裝置2100A、2100B、2200A、2200B並未包括襯層。在示例中,如第21A圖/第21B圖及第22A圖/第22B圖所示,在未沉積襯層的情況下,可在源極/汲極接觸金屬的沉積之前,進行預先清潔製程,使得先前沉積在介電層702側壁上之部分的ILD層412、414 (例如,第15圖所示)被移除。最後,如以上所討論的,第19A圖、第20A圖、第21A圖、第22A圖的裝置1900A、2000A、2100A、2200A,包括介電層1802,而第19B圖、第20B圖、第21B圖、第22B圖的裝置1900B、2000B、2100B、2200B,並未包括介電層1802。
本文所描述的各種實施例提供優於現有技術的數個優點。應理解的是,在本文中不是所有優點都必須被討論,沒有特定的優點是被所有實施例所需要的,且其他實施例可提供不同的優點。作為一個示例,本文討論的實施例,包括針對形成源極/汲極隔離結構的方法及結構。在一些實施例中,使用在蝕刻製程中不會剝離之更堅固的硬遮罩(例如,比至少一些傳統的硬遮罩厚)形成揭露的源極/汲極隔離結構。此外,可使用一種或多種材料作為傳統ILD氧化物的替代,或除了傳統ILD氧化物外還使用一種或多種材料,以形成源極/汲極隔離結構。因此,在各種實施例中,源極/汲極隔離結構,可包括單一層或疊層。在一些情況下,用於隔離結構的一或多個替代材料也可具有比傳統ILD氧化物更高的崩潰場強度。在一些示例中,揭露的隔離結構可形成為具有L形,使得金屬層及鄰近源極/汲極區之間的距離增加,從而消除以上所討論的橋接及可靠性的問題。再者,本文揭露的各種實施例提供了增加的時間依賴性介電崩潰寬裕度。對於本揭露領域的技術人員而言,額外的實施例及優點將是顯而易見的。
因此,本揭露的一個實施例描述了一種方法,包括提供具有第一源極/汲極區鄰近於第二源極/汲極區的裝置。該方法,更包括在第一及第二源極/汲極區之間及第二源極/汲極區的第一露出部上方沉積遮罩層。在一些實施例中,且在沉積遮罩層之後,在沒有實質蝕刻遮罩層的情況下,蝕刻ILD層設置在遮罩層兩側上的第一部分,以露出第二源極/汲極區的第二部分並露出第一源極/汲極區。在一些示例中,且在蝕刻ILD層的第一部分之後,可蝕刻遮罩層以形成L形遮罩層。在各種示例中,且在形成L形遮罩層後,在露出的第一源極/汲極區上方形成第一金屬層,並在第二源極/汲極區的第二露出部上方形成第二金屬層。
在另一個實施例中,討論了一種方法,包括提供具有第一源極/汲極區鄰近第二源極/汲極區及配置在第一及第二源極/汲極區上方的ILD層的裝置。在一些實施例中,該方法,更包括移除ILD層的第一部分,以在源極/汲極區之間露出淺溝槽隔離區,且在露出的淺溝槽隔離區上方沉積介電層。在沉積介電層之後,可移除ILD層的第二部分,以分別露出至少部分的第一及第二源極/汲極區。在一些示例中,且在移除ILD層的第二部分之後,蝕刻介電層以形成L形介電層。在一些實施例中,L形介電層的底部,包括定義了配置在第一及第二源極/汲極區頂表面上方之平面的頂表面。在形成L形介電層之後,在第一源極/汲極區的露出部上方沉積第一金屬層,並在第二源極/汲極區的露出部上方沉積第二金屬層。
在另一個實施例中,討論了一種半導體裝置,包括第一源極/汲極區及鄰近第一源極/汲極區的第二源極/汲極區。在一些實施例中,半導體裝置,更包括插在第一及第二源極/汲極區之間的L形隔離結構,其中L形隔離結構接觸至少部分的第二源極/汲極區,且其中L形隔離結構的底部,包括一頂表面,其定義了配置在第一及第二源極/汲極區之頂表面上方的平面。在一些示例中,半導體裝置,更包括第一金屬層接觸第一源極/汲極區且第二金屬層接觸第二源極/汲極區,其中L形隔離結構提供第一及第二金屬層之間及第一金屬層及第二源極/汲極區之間的電性絕緣。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不悖離本發明之精神和範圍下,做各式各樣的改變、取代和替換。
100,200,400,1900A,1900B,2000A,2000B,2100A,2100B, 2200A,2200B:鰭狀場效電晶體裝置 102:基板 104:鰭片 105:源極 106:隔離區 107:汲極 108:閘極結構 110:閘極介電層 202:基板 204:鰭片 205:源極 206:隔離區 207:汲極 210:L形介電層 216:源極/汲極接觸金屬層 218:源極/汲極接觸金屬層 300:方法 302,304,306,308,310,312,314,316,318,320,322,324,326:方框 402:基板 403:襯層 404:鰭片 405:第一源極/汲極區 406:隔離區 407:第二源極/汲極區 410:蝕刻停止層 412:第一層間介電層 414:第二層間介電層 416:硬遮罩 502:光阻層 504:開口 602:開口 702:介電層 1002:虛設層 1202:光阻層 1204:開口 1302;開口 1304:頂表面 1602:襯層 1702A:第一源極/汲極接觸金屬層 1702B:第二源極/汲極接觸金屬層 1802:介電層 AA’,BB’,CC’,DD’:剖面 s:間距 t:厚度
本揭露的各面向從以下詳細描述中配合附圖可最好地被理解。應注意的是,依據業界的標準做法,各種部件並未按照比例繪製。事實上,為了清楚討論,各種部件的尺寸可任意放大或縮小。 第1圖係根據本揭露一或多個面向,為FinFET裝置之實施例的透視圖; 第2圖係根據一些實施例,沿著類似於第1圖中定義剖面BB'或剖面CC'圖的平面,繪示示例性裝置的剖面圖; 第3圖係根據一些實施例,繪示形成源極/汲極隔離結構之方法的流程圖; 第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、第12圖、第13圖、第14圖、第15圖、第16圖、第17圖及第18圖係根據第3圖中方法的一或多步驟,沿著平面提供示例性裝置的剖面圖,該平面實質上平行於第1圖之剖面BB'或CC'所定義的平面; 第19A/19B圖、第20A/20B圖、第21A/21B圖及第22A/22B圖係繪示裝置結構的各種實施例,其係根據第3圖中方法的一或多個步驟所製造。
400:鰭狀場效電晶體裝置
402:基板
403:襯層
404:鰭片
405:第一源極/汲極區
406:隔離區
407:第二源極/汲極區
410:蝕刻停止層
412:第一層間介電層
414:第二層間介電層
702:介電層
1602:襯層
1702A:第一源極/汲極接觸金屬層
1702B:第二源極/汲極接觸金屬層
s:間距

Claims (20)

  1. 一種半導體裝置的形成方法,包括: 提供一裝置,包括一第一源極/汲極區,鄰近於一第二源極/汲極區; 沉積一遮罩層在該第一及該第二源極/汲極區之間,及該第二源極/汲極區的一第一露出部上方; 在沉積該遮罩層之後,在沒有實質蝕刻該遮罩層的情況下,蝕刻一層間介電(inter-layer dielectric, ILD)層設置在該遮罩層的兩側上的一第一部分,以露出該第二源極/汲極區的一第二部分,且露出該第一源極/汲極區; 在蝕刻該層間介電層的該第一部分之後,蝕刻該遮罩層以形成一L形遮罩層;及 在形成該L形遮罩層之後,形成一第一金屬層在該露出的第一源極/汲極區上方,且形成一第二金屬層在該第二源極/汲極區的該第二露出部上方。
  2. 如請求項1所述之半導體裝置的形成方法,其中該L形遮罩層提供該第一及該第二金屬層之間及該第一金屬層及該第二源極/汲極區之間的電性絕緣。
  3. 如請求項1所述半導體裝置的形成之方法,其中提供該裝置的步驟,更包括提供包括該層間介電層的該裝置,該層間介電層設置在該第一及該第二源極/汲極區上方。
  4. 如請求項3所述之半導體裝置的形成方法,更包括: 在提供包括該層間介電層的該裝置之後,且在沉積該遮罩層之前,蝕刻該層間介電層的一第二部分以形成一開口,其露出一淺溝槽隔離(shallow trench isolation, STI)區並露出該第二源極/汲極區的該第一部分,該淺溝槽隔離區插在該第一及該第二源極/汲極區之間;及 沉積該遮罩層在一開口內,該遮罩層在該露出之淺溝槽隔離區上方,及該第二源極/汲極區的該第一露出部上方。
  5. 如請求項1所述之半導體裝置的形成方法,更包括: 在蝕刻該層間介電層設置在該遮罩層的兩側上的該第一部分之後,且在蝕刻該遮罩層以形成該L形遮罩層之前,形成一虛設層在該第二源極/汲極區的該第二露出部上方,及在該露出的第一源極/汲極區上方。
  6. 如請求項5所述之半導體裝置的形成方法,更包括: 在形成該L形遮罩層之後,且在形成該第一及該第二金屬層之前,移除該虛設層,以露出該第二源極/汲極區的該第二部分且露出該第一源極/汲極區。
  7. 如請求項1所述之半導體裝置的形成方法,更包括: 在形成該L形遮罩層之後,且在形成該第一及該第二金屬層之前,沿著該L形遮罩層的側壁形成一襯層。
  8. 如請求項3所述之半導體裝置的形成方法,更包括: 在提供包括該層間介電層的該裝置之後,且在沉積該遮罩層之前,蝕刻該層間介電層的一第二部分以形成一開口,其露出該第二源極/汲極區的該第一部分,其中至少一些該層間介電層的該第二部分沿著該開口的一底表面保留下來;及 沉積該遮罩層在該開口內,上述遮罩層在至少一些該層間介電層的該第二部分上方,及該第二源極/汲極區的該第一露出部上方,該層間介電層的該第二部分沿著該開口的該底表面保留下來。
  9. 如請求項1所述之半導體裝置的形成方法,其中該L形遮罩層包括一多層隔離結構。
  10. 如請求項1所述之半導體裝置的形成方法,其中在蝕刻該層間介電層設置在該遮罩層的兩側上的該第一部分之後,一些該層間介電層的該第一部分保持設置在該遮罩層的側壁上。
  11. 如請求項1所述之半導體裝置的形成方法,其中該L形遮罩層的一底部包括,定義了一第一平面的一頂表面,且其中該第一平面設置在該第一及該第二源極/汲極區的一頂表面上方。
  12. 如請求項1所述之半導體裝置的形成方法,其中該遮罩層包括一介電層。
  13. 一種半導體裝置的形成方法,包括: 提供一裝置,包括:一第一源極/汲極區,鄰近於一第二源極/汲極區,及設置在該第一及該第二源極/汲極區上方的一層間介電層; 移除該層間介電層的一第一部分,以在該些源極/汲極區之間露出一淺溝槽隔離(shallow trench isolation, STI)區,且沉積一介電層在該露出的淺溝槽隔離區上方; 在沉積該介電層之後,移除該層間介電層的一第二部分,以分別露出至少部分的該第一及該第二源極/汲極區; 在移除該層間介電層的該第二部分之後,蝕刻該介電層以形成一L形介電層,其中該L形介電層的一底部包括一頂表面,其定義了設置在該第一及該第二源極/汲極區之頂表面上方的一平面;及 在形成該L形介電層之後,沉積一第一金屬層在該第一源極/汲極區的該露出部上方,且沉積一第二金屬層在該第二源極/汲極區的該露出部上方。
  14. 如請求項13所述之半導體裝置的形成方法,其中該L形介電層提供該第一及該第二金屬層之間及該第一金屬層及該第二源極/汲極區之間的電性絕緣。
  15. 如請求項13所述之半導體裝置的形成方法,更包括: 在移除該層間介電層的一第二部分之後,且在蝕刻該介電層以形成該L形介電層之前,沉積一虛設層在該第一及該第二源極/汲極區各自的露出部上方。
  16. 如請求項15所述之半導體裝置的形成方法,更包括: 在形成該L形介電層之後,且在形成該第一及該第二金屬層之前,移除該虛設層,以分別露出至少部分的該第一及該第二源極/汲極區。
  17. 如請求項13所述之半導體裝置的形成方法,更包括: 在形成該L形介電層之後,且在形成該第一及該第二金屬層之前,沉積一襯層在該L形介電層的側壁上。
  18. 一種半導體裝置,包括: 一第一源極/汲極區及一第二源極/汲極區,其鄰近於該第一源極/汲極區; 一L形隔離結構,其插在該第一及該第二源極/汲極區之間,其中該L形隔離結構接觸至少部分的該第二源極/汲極區,且其中該L形隔離結構的一底部包括一頂表面,其定義了設置在該第一及該第二源極/汲極區之一頂表面上方的一平面;及 一第一金屬層及一第二金屬層,該第一金屬層接觸該第一源極/汲極區,該第二金屬層接觸該第二源極/汲極區,其中該L形隔離結構提供該第一及該第二金屬層之間及該第一金屬層及該第二源極/汲極區之間的電性絕緣。
  19. 如請求項18所述之半導體裝置,更包括: 一襯層,沿著該L形隔離結構的側壁設置。
  20. 如請求項18所述之半導體裝置,其中該第一金屬層包括,與該L形隔離結構相對應的一L形金屬層。
TW109115338A 2019-05-31 2020-05-08 半導體裝置及其形成方法 TWI728803B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/427,594 2019-05-31
US16/427,594 US10755964B1 (en) 2019-05-31 2019-05-31 Source/drain isolation structure and methods thereof

Publications (2)

Publication Number Publication Date
TW202046420A true TW202046420A (zh) 2020-12-16
TWI728803B TWI728803B (zh) 2021-05-21

Family

ID=72140928

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109115338A TWI728803B (zh) 2019-05-31 2020-05-08 半導體裝置及其形成方法

Country Status (5)

Country Link
US (4) US10755964B1 (zh)
KR (1) KR102195680B1 (zh)
CN (1) CN112018035B (zh)
DE (1) DE102019116063B4 (zh)
TW (1) TWI728803B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100780610B1 (ko) * 2003-11-28 2007-11-29 주식회사 하이닉스반도체 반도체소자 제조 방법
US7719043B2 (en) * 2004-07-12 2010-05-18 Nec Corporation Semiconductor device with fin-type field effect transistor and manufacturing method thereof.
KR100680415B1 (ko) * 2005-05-31 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20080091960A (ko) * 2007-04-10 2008-10-15 삼성전자주식회사 비휘발성 기억 장치 및 그 형성 방법
CN101960584B (zh) * 2008-02-28 2013-11-20 Nxp股份有限公司 半导体器件及其制造方法
KR20110085502A (ko) * 2010-01-20 2011-07-27 삼성전자주식회사 노어형 플래시 메모리 소자의 제조 방법
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) * 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
EP3174106A1 (en) * 2011-09-30 2017-05-31 Intel Corporation Tungsten gates for non-planar transistors
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
CN103311281B (zh) 2012-03-14 2016-03-30 中国科学院微电子研究所 半导体器件及其制造方法
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8796085B2 (en) 2012-10-12 2014-08-05 Viktor Koldiaev Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9184087B2 (en) * 2013-12-27 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
KR20150081081A (ko) 2014-01-03 2015-07-13 삼성전자주식회사 전자 기기, 관리 서버, 인쇄 시스템 및 인쇄 제어 방법
US9147748B1 (en) 2014-05-01 2015-09-29 Globalfoundries Inc. Methods of forming replacement spacer structures on semiconductor devices
US9735256B2 (en) * 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
CN105789306B (zh) * 2015-01-12 2020-12-08 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US9680020B2 (en) 2015-07-09 2017-06-13 Globalfoundries Inc. Increased contact area for FinFETs
US9728646B2 (en) * 2015-08-28 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Flat STI surface for gate oxide uniformity in Fin FET devices
US9691897B2 (en) 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region
US9647115B1 (en) * 2015-10-14 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with enhanced contact and method of manufacture the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10163912B2 (en) * 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US9748389B1 (en) * 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US9548366B1 (en) * 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10461196B2 (en) * 2017-07-28 2019-10-29 Globalfoundries Inc. Control of length in gate region during processing of VFET structures
US10680101B2 (en) 2017-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Power metal-oxide-semiconductor field-effect transistor
US10403714B2 (en) * 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10541319B2 (en) * 2017-08-30 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures having varied fin heights for semiconductor device
US10930564B2 (en) * 2018-08-31 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process

Also Published As

Publication number Publication date
US20220157649A1 (en) 2022-05-19
US20230343633A1 (en) 2023-10-26
KR20200138634A (ko) 2020-12-10
US20200381291A1 (en) 2020-12-03
KR102195680B1 (ko) 2020-12-29
US10755964B1 (en) 2020-08-25
CN112018035B (zh) 2023-09-12
CN112018035A (zh) 2020-12-01
US11694921B2 (en) 2023-07-04
TWI728803B (zh) 2021-05-21
DE102019116063B4 (de) 2021-03-25
DE102019116063A1 (de) 2020-12-03
US11239106B2 (en) 2022-02-01

Similar Documents

Publication Publication Date Title
US11043572B2 (en) Metal gate structure and methods thereof
TWI730247B (zh) 半導體裝置及其製造方法
TWI606584B (zh) 半導體裝置與其形成方法
US11205700B2 (en) Air gap spacer and related methods
KR20160115904A (ko) FinFET 격리를 위한 방법 및 구조
US11915971B2 (en) Contact formation method and related structure
US11171053B2 (en) Transistor device and related methods
US20220130757A1 (en) Interconnect structure and methods thereof
TW202036830A (zh) 半導體裝置
US20230343633A1 (en) Source/drain isolation structure and methods thereof
US20170345936A1 (en) Finfet and method of fabrication thereof
US20220037506A1 (en) Multi-gate device and related methods
US20230065045A1 (en) Contact formation method and related structure
US20230386920A1 (en) Metal gate process and related structure
CN113053853A (zh) 半导体器件和制造半导体器件的方法