TW202038379A - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TW202038379A
TW202038379A TW108140243A TW108140243A TW202038379A TW 202038379 A TW202038379 A TW 202038379A TW 108140243 A TW108140243 A TW 108140243A TW 108140243 A TW108140243 A TW 108140243A TW 202038379 A TW202038379 A TW 202038379A
Authority
TW
Taiwan
Prior art keywords
temperature
dielectric layer
manufacturing
semiconductor
semiconductor device
Prior art date
Application number
TW108140243A
Other languages
English (en)
Other versions
TWI791920B (zh
Inventor
高琬貽
柯忠祁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/529,098 external-priority patent/US11211243B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202038379A publication Critical patent/TW202038379A/zh
Application granted granted Critical
Publication of TWI791920B publication Critical patent/TWI791920B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Recrystallisation Techniques (AREA)
  • Bipolar Transistors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一種方法包含蝕刻半導體基底以形成溝槽,以及使用原子層沉積(ALD)循環來沉積介電層。介電層延伸至溝槽中。原子層沉積循環包含將六氯二矽烷(HCD)脈衝至半導體基底,吹淨六氯二矽烷,將三乙胺脈衝至半導體基底,以及吹淨三乙胺。然後,對介電層進行退火製程。

Description

半導體裝置的製造方法
本發明實施例是關於半導體製造技術,特別是有關於半導體裝置及其製造方法。
隨著積體電路的尺寸越來越小以及對積體電路速度的要求越來越高,電晶體需要較高的驅動電流伴隨具有越來越小的尺寸。因此,開發了鰭式場效電晶體(Fin Field-Effect Transistors,FinFET)。鰭式場效電晶體包含在基底之上的垂直半導體鰭片。半導體鰭片用於形成源極和汲極區,以及用於在源極和汲極區之間形成通道區。形成淺溝槽隔離(Shallow Trench Isolation,STI)區以界定半導體鰭片。鰭式場效電晶體還包含閘極堆疊,其形成於半導體鰭片的側壁和頂表面上。
在淺溝槽隔離區的形成和鰭式場效電晶體的形成中,先形成淺溝槽隔離區,例如使用可流動的氧化物,接著使用紫外光(Ultra-Violet,UV)固化或在含氧環境中的熱氧化進行後處理。然後,將相應的晶圓退火。
根據本發明實施例中的一些實施例,提供半導體裝置的製造方法。此方法包含:蝕刻半導體基底以形成溝槽;使用原子層沉積循環來沉積介電層,其中介電層延伸至溝槽中,且其中原子層沉積循環包含將六氯二矽烷脈衝到半導體基底;吹淨六氯二矽烷;將三乙胺脈衝到半導體基底;以及吹淨三乙胺;以及對介電層進行退火製程。
根據本發明實施例中的另一些實施例,提供半導體裝置的製造方法。此方法包含在半導體條上沉積介電層,其中介電層的沉積包含一循環,且此循環包含:將矽和氯原子附接到半導體條上的氧原子上;用氮原子和烷基取代氯原子;以及用氧原子取代氮原子和烷基的第一部分;用OH鍵移除氮原子和烷基的第二部分;以及將介電層退火以形成Si-O-Si鍵。
根據本發明實施例中的又另一些實施例,提供半導體裝置的製造方法。此方法包含形成第一半導體條;沉積包含氧化矽的介電層,碳摻雜於所述氧化矽中,其中介電層包含:水平部分;以及垂直部分連接到水平部分的一端,其中垂直部分接觸第一半導體條的下部的側壁,其中第一半導體條的頂部突出高於垂直部分的頂表面以形成半導體鰭片;以及形成閘極堆疊在半導體鰭片的側壁和頂表面上延伸。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。另外,本發明實施例在不同範例中可重複使用參考數字及/或字母。此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。
此外,本文可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則在此所使用的空間相對形容詞也將依轉向後的方位來解釋。
提供淺溝槽隔離區、鰭式場效電晶體及其形成方法。根據一些實施例繪示淺溝槽隔離區和鰭式場效電晶體的形成過程的中間階段。討論一些實施例的一些變化。整個各種示意圖和說明性實施例中,相似的參考標號用於指示相似的元件。根據本發明實施例中的一些實施例,淺溝槽隔離區的形成藉由形成SiNOCH膜,然後進行退火製程以將SiNOCH膜中的Si-N-C鍵轉換成Si-OH鍵,接著轉換成Si-O-Si鍵。經由這些製程,所得到的淺溝槽隔離區沒有或大致上沒有空隙和接縫。
將針對特定背景描述實施例,即藉由形成順應性(conformal)淺溝槽隔離層的淺溝槽隔離形成製程。所討論的實施例的概念還可以應用於其他結構的結構和處理,包含但不限於要填充氧化矽的任何其他間隙填充製程。在此討論的實施例將提供範例,以使得能夠進行或使用本發明實施例的標的,並且發明所屬技術領域中具有通常知識者將容易理解可以進行的修改,同時保持在不同實施​​例的預期範圍內。下圖中相似的參考數字和符號表示相似的組件。雖然可以討論在特定順序下進行的方法實施例,但可以採用任何邏輯順序進行其他方法實施例。
第1、2A、2B和3至9圖根據本發明實施例中的一些實施例繪示形成淺溝槽隔離區和鰭式場效電晶體的一部分的中間階段的剖面示意圖。相應的製程也示意性地顯示在第22圖所示之製程流程200中。
在第1圖中,提供基底20。基底20可以是半導體基底,例如塊體(bulk)半導體基底、絕緣體上覆半導體(Semiconductor-On-Insulator,SOI)基底或類似的基底,其可以被摻雜(例如用p型或n型摻質)或不摻雜。半導體基底(又稱為基底)20可以是晶圓10的一部分,例如矽晶圓。總體而言,絕緣體上覆半導體基底是在絕緣層上形成的半導體材料層。舉例來說,絕緣層可以是埋藏氧化物(Buried Oxide,BOX)層、氧化矽層或類似的膜層。絕緣層設置在通常是矽或玻璃基底的基底上。也可以使用其他基底,例如多層或漸變基底。在一些實施例中,半導體基底20的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述之組合。
進一步參照第1圖,在基底20中形成井區22。相應的製程在第22圖所示之製程流程200中被繪示為製程202。根據本發明實施例中的一些實施例,井區22是p型井區,其藉由將p型雜質佈植至基底20中而形成,p型雜質可以是硼、銦或類似的雜質。根據本發明實施例中的其他實施例,井區22是n型井區,其藉由將n型雜質佈植至基底20中而形成,n型雜質可以是磷、砷、銻或類似的雜質。所形成的井區22可以延伸至基底20的頂表面。n型或p型雜質濃度可以等於或小於1018 cm-3 ,例如在約1017 cm-3 至約1018 cm-3 的範圍。
參照第2A圖,在半導體基底20上形成襯墊氧化物(pad oxide)層28和硬遮罩層30。襯墊氧化物層28可以是由氧化矽形成的薄膜。根據本發明實施例中的一些實施例,在熱氧化製程中形成襯墊氧化物層28,其中半導體基底20的頂表面層被氧化。襯墊氧化物層28作為半導體基底20與硬遮罩層30之間的黏著層。襯墊氧化物層28還可以作為用於蝕刻硬遮罩層30的蝕刻停止層。根據本發明實施例中的一些實施例,硬遮罩層30由氮化矽形成,例如使用低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition,LPCVD)。根據本發明實施例中的其他實施例,硬遮罩層30由矽的熱氮化或電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition,PECVD)形成。在硬遮罩層30上方形成圖案化的光阻(未繪示)。然後,使用圖案化的光阻作為蝕刻遮罩,將硬遮罩層30和襯墊氧化物層28圖案化,以形成如第2A圖所示之圖案化的硬遮罩(又稱為硬遮罩層)30。
然後,圖案化的硬遮罩層30作為蝕刻遮罩以蝕刻襯墊氧化物層28和基底20,藉此在基底20中形成溝槽32,如第2A圖所示。相應的製程在第22圖所示之製程流程200中被繪示為製程204。根據本發明實施例中的一些實施例,溝槽32形成為溝槽條,其長度方向彼此平行。溝槽32之間的半導體基底20的部分在下文中被稱為半導體條26。
第2B圖繪示第2A圖中的參照剖面2B-2B的剖面示意圖。為了簡化討論,繪示兩個半導體條26,其間的溝槽稱為窄溝槽32A,而可能存在一組緊密設置的半導體條26,其以窄溝槽32A彼此隔開。根據一些實施例,窄溝槽32A具有小的寬度W1,其可以小於約250 Å,或者在約100 Å至約250 Å的範圍。舉例來說,在緊密設置的半導體條26的群組的相反的外側上也可以存在寬溝槽。寬溝槽32B的寬度W2大於寬度W1,例如比例W2/W1大於約2.0。寬度W2也可以大於約150 Å。溝槽(又稱為窄溝槽)32A和(又稱為寬溝槽)32B統稱為溝槽32。根據本發明實施例中的一些實施例,窄溝槽32A的深度D1小於寬溝槽32B的深度D2。
第3和4圖繪示介電層34的成長/沉積的中間階段。相應的製程在第22圖所示之製程流程200中被繪示為製程206。在沉積製程的開始,將晶圓10放置在原子層沉積(Atomic Layer Deposition,ALD)腔室(未繪示)中,在其中進行原子層沉積循環以順應性地成長介電層34。第3圖繪示介電層34的初始成長,其是順應性地,並且介電層34的水平部分的厚度T1等於介電層34的垂直部分的厚度T2。
第10圖示意性地繪示在介電層34成長期間的中間化學結構。使用參考數字112、114、116和118標示第10圖所示之中間結構,以區分由不同階段生成的結構。晶圓10包含基材層(base layer)110,其可以表示在第3圖中露出的部件,包含基底20、半導體條26、襯墊層(又稱為襯墊氧化物層)28和硬遮罩30,只要這些部件在沉積製程開始時被暴露出即可。第10圖中的初始結構被稱為結構112。在繪示的範例中,基材層110被繪示為包含矽,矽可以是晶體矽、非晶矽、多晶矽或化合物中的矽的形式。根據本發明實施例中的一些實施例,由於原生氧化物的形成和暴露於濕氣,在含矽層(又稱為基材層)110的表面形成Si-OH鍵。基材層110可以包含其他類型的含矽材料,例如氧化矽、氮化矽、碳氧化矽、氮氧化矽或類似的材料。如第3圖所示,介電層34也可以沉積於其他非含矽層上,例如襯墊層28和硬遮罩30上。
再次參照第10圖,在製程130中,將六氯二矽烷(Hexachlorodisilane,HCD)導入/脈衝至在其中放置晶圓10(第3圖)的原子層沉積腔室中。相應的製程在第22圖所示之製程流程200中被繪示為製程208。HCD具有化學式(SiCl3 )2 。第11A圖根據一些實施例繪示HCD分子的化學式。化學式顯示HCD分子包含與兩個矽原子鍵結的氯原子。當HCD被脈衝到原子層沉積腔室中時,將晶圓10加熱到例如約550°C至約670°C的溫度。如結構112所示之OH鍵被打斷,並且矽原子與鍵結至它們的氯原子一起鍵結至氧原子以形成O-Si-Cl鍵。所得到的結構稱為結構114。根據本發明實施例中的一些實施例,當導入HCD時,不打開電漿。HCD氣體可以在原子層沉積腔室中保持約20秒至約25秒的時間。根據一些實施例,原子層沉積腔室的壓力可以在約100帕(Pa)至約150 Pa的範圍。
接著,從原子層沉積腔室吹淨(purged)HCD。相應的製程在第22圖中所示之製程流程200中也被繪示為製程208。在製程132中,可以將包含與烷基鍵結的氮原子的製程氣體脈衝至原子層沉積腔室中。舉例來說,可以脈衝三乙胺。相應的製程在第22圖所示之製程流程200中被繪示為製程210。三乙胺可以具有化學式N(CH2 CH3 )3 ,其包含鍵結至三個乙基(CH2 CH3 )的氮原子。第11B圖根據一些實施例繪示三乙胺的化學式。化學式顯示三乙胺包含一個氮原子鍵結至三個乙基,每個與N原子相連的「>」符號表示一個乙基(CH2 CH3 或與CH3 分子鍵結的CH2 分子)。隨著三乙胺的導入/脈衝,晶圓10的溫度也保持升高,例如在約550°C至約670°C的範圍。溫度也可以與導入HCD的製程的溫度保持相同。根據本發明實施例中的一些實施例,當導入三乙胺時,不打開電漿。在三乙胺的脈衝期間,原子層沉積腔室的壓力可以在約800 Pa至約1,000 Pa的範圍。
結構114與三乙胺反應。所得到的結構稱為結構116。結構114中的Si-Cl鍵被打斷,使得(例如在三乙胺中的)氮原子可以鍵結至矽原子。矽原子可以鍵結至三個氮原子,每個氮原子還鍵結至兩個乙基。在製程132中,可以將三乙胺保持在原子層沉積腔室中約5秒至約15秒的時間,然後將三乙胺從原子層沉積腔室中吹淨。
接著,如第10圖的製程134所示,將氧氣(O2 )脈衝至原子層沉積腔室中。相應的製程在第22圖所示之製程流程200中被繪示為製程212。在製程212中,結構116與氧氣反應而產生結構118。烷基(例如結構116中的乙基)有助於將Si-N轉換成Si-O鍵,舉例來說,藉由製程134打斷結構116中的Si-N鍵並將矽原子鍵結至氧原子。一些氮原子及其鍵結的乙基也可以保持鍵結至矽原子。一些氧原子可以鍵結至兩個矽原子,以在一些矽原子之間產生交聯。根據本發明實施例中的一些實施例,當導入氧氣時,不打開電漿。在氧氣的脈衝期間,原子層沉積腔室的壓力可以在約800 Pa至約1,000 Pa的範圍。氧氣可以在原子層沉積腔室中保持約5秒至約15秒的時間,然後從原子層沉積腔室中吹淨。
在以上討論的製程中,製程130和132的組合可以被稱為原子層沉積循環136,原子層沉積循環136使得包含矽原子的原子層的成長並且相應的鍵結氮原子和乙基。此外,製程130、132和134的組合也可以被稱為原子層沉積循環138,原子層沉積循環138使得包含矽原子以及相應的鍵結氮原子和乙基的原子層的成長,並且鍵結氧原子。根據一些實施例,由原子層沉積循環138產生的原子層具有約1 Å的厚度。
在完成製程134之後,重複包含製程130、132和134的原子層沉積循環138,以便沉積多個原子層以形成介電層34,如第4圖所示。在後續的原子層沉積循環中,可以打斷在先前的原子層沉積循環中形成的Si-O鍵和Si-N鍵,並且由於HCD的脈衝而可以形成Si-Cl鍵。然後可以用Si-N鍵和相應的乙基取代Si-Cl鍵。然後可以使用O2 形成Si-O鍵,Si-O鍵取代一些Si-N鍵。第12圖繪示額外的原子層作為範例。應理解的是,取決於介電層34的所需厚度,可以存在許多原子層。沉積的介電層34是SiONCH層。
重複原子層沉積循環138,直到所得到的介電層34具有所需的厚度為止。舉例來說,如第4圖所示,從相鄰的半導體條26成長的介電層34的部分朝向彼此成長,並且最終彼此接觸以產生界面36。應理解的是,可能會產生接縫處也稱為界面36。在界面36處也可能產生一些空隙38,這些空隙38可能是由於半導體條26的側壁上的小凹槽。應理解的是,雖然從相鄰的半導體條26成長的介電層34的部分彼此接觸,但這些部分僅彼此接觸,而沒有在它們之間形成交聯。舉例來說,第13圖示意性地繪示在介電層34的左側部分和介電層34的右側部分之間形成的接縫/界面36,在左側部分和右側部分的邊界原子之間沒有形成交聯。
根據本發明實施例中的一些實施例,在原子層沉積循環138之後,所得到的介電層34的碳百分比在約1%至約15%的範圍,並且氮百分比在約5%至約20%的範圍。介電層34中的其餘元素主要是矽和氧,其矽與氧的原子比可以為約1.5:2至約1:2.5。比例可以是例如約1:2。
介電層34的沉積(成長)之後,進行退火製程。相應的製程在第22圖所示之製程流程200中被繪示為製程214。根據本發明實施例中的一些實施例,退火製程包含低溫濕式退火製程(製程216)、高溫濕式退火製程(製程218)和乾式退火處理(製程220)。可以使用蒸汽(H2 O)作為製程氣體來進行低溫製程和高溫濕式退火製程。乾式退火製程的進行可以使用氮氣(N2 )、氬氣或類似的氣體作為載氣。以下參照第14至20圖討論退火製程。
根據本發明實施例中的一些實施例,先進行低溫濕式退火製程。相應的製程在第22圖所示之製程流程200中被繪示為製程216。低溫濕式退火製程在約300°C至約450°C的相對低的溫度下進行。低溫濕式退火製程可以持續約3小時至約5小時的時間。低溫退火期間的壓力可以為約1大氣壓。低溫濕式退火製程具有兩個功能。第一個功能是使水/蒸汽(H2 O)分子滲透到介電層34中,如第15圖所示意性地繪示,其中實心點表示H2 O分子。第二功能是將介電層34中的Si-N-C鍵、Si-CH3 鍵和Si-N-Si鍵部分地轉換成Si-OH鍵。將溫度控制到夠高以引起至少部分轉換的溫度。
第21圖繪示一些實驗結果,其中X軸代表退火條件,包含退火溫度和退火時間。每個X軸值的字母「C」表示以攝氏度為單位的退火溫度,字母「M」表示以分鐘為單位的退火時間,而字母「H」表示以小時為單位的退火時間。舉例來說,「W200C30M」表示當晶圓在200°C下退火30分鐘時獲得的相應值。有三個Y軸,分別代表氮([N])原子百分比、碳([C])原子百分比和退火後的介電層的膨脹率。第21圖的結果指出在退火製程之前(對應於X軸值「NA」),碳百分比和氮百分比高。隨著退火製程的持續及/或採用更高的溫度,碳百分比和氮百分比降低到某些程度,例如小於1%。這意味著原始的碳原子和氮原子(如第12圖所示)開始轉換成OH,如第14圖所示。此外,如第21圖所示,當溫度高於450°C時,介電膜的膨脹率可能增加。因為介電層34的表面部分的膨脹早於內部,介電層34的表面部分的膨脹會不利地阻止H2 O分子滲透並到達介電層34的內部。因此,為了避免介電層34的表面部分過早膨脹,在介電層34不膨脹的溫度(例如低於約450°C)下進行低溫濕式退火處理。另一方面,為了提高轉換效率和蒸汽滲透效率,在不太低的溫度下進行低溫濕式退火製程,並且溫度可以在約300°C至約450°C的範圍。
第19和20圖繪示從樣品測量的結果,並顯示在300°C和450°C的低溫濕式退火製程有相似的結果。第19圖繪示(介電層34的)蝕刻速率作為進入介電層34的深度的函數。蝕刻速率表示介電層34的組成,例如多少個C和N原子被OH基團取代。數值310和312是在300°C下退火4小時的結果。數值314和316是在450°C下退火4小時的結果。樣品也在相同的較高退火溫度條件(600°C持續2小時)和相同的乾式退火溫度條件(600°C持續1小時)下退火。第19圖顯示,雖然低溫濕式退火製程是在不同溫度下進行的,但它們在樣品不同深度處的蝕刻速率相似,這表示300°C和450°C的低溫濕式退火溫度不會對H2 O分子的滲透造成差異。
第20圖繪示碳濃度作為進入介電層34的深度的函數。線318同樣是在300°C下進行低溫濕式退火4小時的結果。線320是在450°C下進行4小時的低溫濕式退火的結果。對應於線318和320的樣品也在相同的較高退火溫度條件(600°C持續2小時)和相同的乾式退火溫度條件(600°C持續1小時)下退火。第20圖顯示,雖然低溫濕式退火製程是在不同溫度下進行的,但碳百分比是相似的,碳百分比是樣品在不同深度處的轉換率(從C-N至OH)的指標。這些結果表示採用300°C或450°C作為低溫退火製程的溫度不會造成H2 O分子滲透的差異。
在低溫濕式退火製程之後,進行高溫濕式退火製程。相應的製程在第22圖所示之製程流程200中被繪示為製程218。高溫濕式退火製程在約450°C至約650°C的相對較高的溫度下進行。高溫濕式退火製程可以持續約1.5小時至約2.5小時的時間。高溫退火製程的壓力可以為約1大氣壓。如第16圖所示,溫度足夠高,以有效地將介電層34中的Si-C-N鍵轉換成Si-OH鍵。另一方面,溫度不能太高而造成半導體材料的過度氧化。舉例來說,當半導體條26包含SiGe時,高溫退火製程的溫度應低於約650°C。否則,SiGe可能會被氧化。矽也可以在高於約650°C的溫度下被氧化,雖然速率較低。因此,高溫濕式退火製程的溫度可以在約500°C至約650°C的範圍或在約500°C至約600°C的範圍。
高溫濕式退火製程造成Si-N鍵和Si-O鍵斷裂。附接(attached)到N原子的烷基也與氮原子一起斷開。OH基團附接到斷裂的鍵上。所得到的化學結構可以在第14圖中示意性地繪示。第16圖繪示界面36處的結構(也參照第4圖)。在界面36的兩側上之在介電層34的部分中形成的Si-OH鍵緊密設置,並且在界面36的兩側上之介電層34的部分可以彼此接觸。但不形成交聯。在高溫濕式退火製程期間,介電層34膨脹,並且體積中的膨脹率可高達約10%。作為膨脹的結果,在界面36的兩側上之介電層34的部分彼此緊密接觸,並且可以消除接縫36(第4和15圖)和空隙38(第4圖)。這使得後續的交聯製程變得可能。
在高溫濕式退火製程之後,進行乾式退火製程以交聯。相應的製程在第22圖所示之製程流程200中被繪示為製程220。無氧的製程氣體(例如氮氣(N2 )、氬氣或類似的氣體)可以作為製程氣體。乾式退火溫度不能太高或太低。如果溫度太低,則OH鍵可能不會斷裂,並且可能無法達到交聯。如果溫度太高,則半導體(例如SiGe)可能會與周圍的材料混合在一起。根據本發明實施例中的一些實施例,在約550°C至約650°C的溫度下進行乾式退火製程。乾式退火製程可以持續約0.5小時至約1.5小時的時間。壓力可以是約1大氣壓。載氣可用於帶走產生的H2 O蒸汽。載氣可以是氮氣、氬氣或類似的氣體。
在乾式退火製程中,OH鍵和Si-O鍵(第14和16圖)斷裂,斷裂的H和OH結合形成H2 O分子,如第18圖所示。由於失去H原子,金屬鍵會懸空,可能與Si鍵結形成氧化矽(SiO2 )。在完成乾式退火製程之後,在氧化矽(介電層34)中可能殘留少量碳和氮原子,其中碳和氮的原子百分比小於約1%,並且可能是約0.5%至約1.0%。這與使用傳統方法形成的淺溝槽隔離區不同,在傳統淺溝槽隔離方法中,可能不存在碳。
如第18圖所示,先前存在的界面/接縫36的兩側上的矽原子被氧原子交聯。因此在界面36的兩側上之介電層34的部分之間形成交聯。由實心點表示的H2 O分子被帶走。第5圖繪示所得到的結構,其中已消除了在沉積製程中形成的接縫/界面,並且可能不再存在可區分的界面。
根據一些實施例,在前面的製程中,完全填充窄溝槽32A。由於介電層34的沉積使用順應性沉積方法的原子層沉積來進行,當完成沉積製程時,可能沒有完全填充寬溝槽32B。因此,如第5圖所示,留下寬溝槽32B的一些部分未被填充。在寬溝槽32B中的介電層34的部分是順應性的。
參照第6圖,以介電層40填充剩餘的寬溝槽32B。相應的製程在第22圖所示之製程流程200中被繪示為製程222。介電層40也可以是沉積的氮化矽層、含碳介電質或類似的材料,介電層40的形成使用例如原子層沉積、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition,HDPCVD)或化學氣相沉積(Chemical Vapor Deposition,CVD)。介電層40也可以由SiOCN形成,使用可流動式化學氣相沉積(Flowable Chemical Vapor Deposition,FCVD)、旋轉塗佈(spin-on coating)或類似的製程。介電層40被沉積到高於介電層34的頂表面的高度。
參照第7圖,然後進行例如化學機械研磨(Chemical Mechanical Polish,CMP)製程或機械磨削(grinding)製程的平坦化製程以移除介電材料的多餘部分。介電材料的剩餘部分是淺溝槽隔離區42。相應的製程也在第22圖所示之製程流程200中被繪示為製程222。可以使用硬遮罩30作為化學機械研磨停止層來進行平坦化製程。緊密設置的半導體條26之間的淺溝槽隔離區42可以由均質材料形成,此均質材料一直延伸到相對的半導體條26。在寬溝槽中形成的淺溝槽隔離區可以包含順應性的介電層34和介電層40。雖然繪示一個垂直部分,但介電層34在介電區(又稱為淺溝槽隔離區)42的兩側上會具有垂直部分並接觸介電區42的兩側壁。
然後,蝕刻硬遮罩30和襯墊氧化物層28。如第8圖所示,凹蝕介電層34,使得半導體條26的頂部突出高於淺溝槽隔離區42之剩餘部分的頂表面34A,以形成突出的鰭片44。相應的製程在第22圖所示之製程流程200中被繪示為製程224。可以使用乾式蝕刻製程來進行蝕刻,例如使用HF3 和NH3 作為蝕刻氣體。根據本發明實施例中的替代實施例,介電層34的凹蝕使用濕式蝕刻製程來進行。蝕刻化學物質可以包含例如HF溶液。
在凹蝕製程中,不蝕刻介電層40,使得虛設(介電)鰭片46突出高於淺溝槽隔離區42之剩餘部分的頂表面34A。虛設介電鰭片46之所以如此命名是由於部件(又稱為虛設鰭片)46突出高於相鄰的介電層34,因此形成鰭片,而這些鰭片不同於可用於形成鰭式場效電晶體的典型半導體鰭片,這些鰭片不能用於形成鰭式場效電晶體。由於介電層34的順應性沉積,當以介電層34填充窄溝槽32A時,寬溝槽32B(第2B圖)沒有被完全填充。這使介電層40的填充變得可能,並使虛設鰭片46的形成變得可能。當鰭式場效電晶體的尺寸非常小時,虛設鰭片的產生有助於改善鰭式場效電晶體的裝置效能。
在後續的形成製程中,基於突出的半導體鰭片44形成鰭式場效電晶體54(第9圖)。第9圖繪示突出的鰭片44和閘極堆疊52的剖面示意圖,閘極堆疊52延伸於突出的半導體鰭片(又稱為鰭片)44和虛設鰭片46的側壁和頂表面上。在後續的段落中簡要討論範例形成製程。
根據本發明實施例中的一些實施例,形成虛設閘極堆疊(未繪示),虛設閘極堆疊在突出的半導體鰭片44和虛設鰭片46的側壁和頂表面上延伸。然後,在虛設閘極堆疊的側壁上形成閘極間隔物(未繪示)。然後,在虛設閘極堆疊和閘極間隔物的兩側上形成源極/汲極區(未繪示),例如藉由蝕刻未被虛設閘極堆疊覆蓋的突出的半導體鰭片44的部分,並且磊晶成長源極/汲極區。然後,形成接觸蝕刻停止層(Contact Etch Stop Layer,CESL)56和層間介電質(Inter-Layer Dielectric,ILD)58以覆蓋源極/汲極區和虛設閘極堆疊。然後,蝕刻虛設閘極堆疊以重新暴露出突出的半導體鰭片44。然後,在移除的虛設閘極堆疊所留下的凹槽中形成包含閘極介電質48和閘極電極50的閘極堆疊52。
本發明實施例中的實施例具有一些有利特徵。傳統的淺溝槽隔離形成使用可流動式化學氣相沉積,可流動式化學氣相沉積不能形成順應性的介電層,因此不能形成虛設介電鰭片。根據本發明實施例中的一些實施例,使用原子層沉積製程來形成碳和氮摻雜的膜,然後將膜退火以形成氧化矽膜。經由一系列的低溫濕式退火製程、高溫濕式退火製程和乾式退火製程,可以消除在原子層沉積製程期間產生的接縫和空隙。
根據本發明實施例中的一些實施例,一種方法包含蝕刻半導體基底以形成溝槽;使用原子層沉積循環來沉積介電層,其中介電層延伸至溝槽中,且其中原子層沉積循環包含將六氯二矽烷脈衝到半導體基底;吹淨六氯二矽烷;將三乙胺脈衝到半導體基底;以及吹淨三乙胺;以及對介電層進行退火製程。在一實施例中,原子層沉積循環還包含在吹淨三乙胺之後,將氧氣(O2 )脈衝到半導體基底;以及吹淨氧氣。在一實施例中,此方法更包含重複包含脈衝氧氣的原子層沉積循環。在一實施例中,此方法更包含重複原子層沉積循環。在一個實施例中,退火製程包含在第一溫度下進行的低溫退火製程;在高於第一溫度的第二溫度下進行的高溫退火製程;以及在高於第一溫度的第三溫度下進行的乾式退火製程。在一實施例中,在第一溫度下進行低溫退火製程,第一溫度在約300°C至約450°C的範圍。在一實施例中,在第二溫度下進行高溫退火製程,第二溫度在約500°C至約650°C的範圍。在一實施例中,在第三溫度下進行乾式退火製程,第三溫度在約500°C至約650°C的範圍。
根據本發明實施例中的一些實施例,一種方法包含:在半導體條上沉積介電層,其中介電層的沉積包含一循環,且此循環包含:將矽和氯原子附接到半導體條上的氧原子上;用氮原子和烷基取代氯原子;以及用氧原子取代氮原子和烷基的第一部分;用OH鍵移除氮原子和烷基的第二部分;以及將介電層退火以形成Si-O-Si鍵。在一實施例中,此循環包含原子層沉積(ALD)循環,且矽和氯原子的附接包含脈衝六氯二矽烷;以及吹淨六氯二矽烷。在一實施例中,此循環包含原子層沉積循環,且氯原子的取代包含脈衝三乙胺;以及吹淨三乙胺。在一實施例中,此循環包含原子層沉積循環,且氮原子和烷基的第一部分的取代包含脈衝氧氣(O2 );以及吹淨氧氣。在一實施例中,介電層的退火包含在第一溫度下將H2 O分子驅使至介電層中;在高於第一溫度的第二溫度下,用氧原子和OH分子取代氮原子和烷基;以及經由乾式退火製程形成Si-O-Si鍵,其中乾式退火製程係在高於第一溫度的第三溫度下進行。在一實施例中,介電層形成於溝槽中,半導體條位於溝槽的一側,且此方法更包含:形成額外的介電區,其中半導體條和額外的介電區接觸介電層的一部分的兩側壁;回蝕刻介電層的所述部分,其中半導體條的頂部形成半導體鰭片,且額外的介電區的頂部形成虛設介電鰭片;以及形成閘極堆疊在半導體鰭片和額外的介電區上延伸。
根據本發明實施例中的一些實施例,積體電路結構包含第一半導體條;包含氧化矽的介電層,碳摻雜於所述氧化矽中,其中介電層包含:水平部分;以及垂直部分連接到水平部分的一端,其中垂直部分接觸第一半導體條的下部的側壁,其中第一半導體條的頂部高於垂直部分的頂表面以形成半導體鰭片;以及閘極堆疊在半導體鰭片的側壁和頂表面上延伸。在一實施例中,積體電路結構還包含與水平部分重疊的介電區,其中介電區的頂部突出高於垂直部分的頂部表面以形成虛設介電鰭片,其中閘極堆疊進一步在虛設介電鰭片的側壁和頂表面上延伸。在一實施例中,介電區和介電層由不同的介電材料形成。在一實施例中,積體電路結構更包含與虛設介電鰭片重疊的層間介電質。在一實施例中,垂直部分和水平部分具有相同的厚度。在一實施例中,積體電路結構更包含第二半導體條;以及額外的介電層,其中額外的介電層由與介電層的介電材料相同的均質介電材料形成,且其中額外的介電層中沒有接縫。
根據本發明實施例中的一些實施例,一種方法包含形成第一半導體條;沉積包含氧化矽的介電層,碳摻雜於所述氧化矽中,其中介電層包含:水平部分;以及垂直部分連接到水平部分的一端,其中垂直部分接觸第一半導體條的下部的側壁,其中第一半導體條的頂部突出高於垂直部分的頂表面以形成半導體鰭片;以及形成閘極堆疊在半導體鰭片的側壁和頂表面上延伸。在一實施例中,此方法更包含形成與水平部分重疊的介電區,其中介電區的頂部突出高於垂直部分的頂表面以形成虛設介電鰭片,其中閘極堆疊進一步在虛設介電鰭片的側壁和頂表面上延伸。在一實施例中,介電區和介電層係由不同的介電材料形成。在一實施例中,此方法更包含沉積與虛設介電鰭片重疊的層間介電質。在一實施例中,介電層的沉積使用順應性沉積製程。在一實施例中,此方法更包含:在沉積介電層之後且在形成閘極堆疊之前:在第一溫度下進行低溫濕式退火製程;在低溫濕式退火製程之後,在高於第一溫度的第二溫度下進行高溫濕式退火製程;以及在高溫濕式退火製程之後,在高於第一溫度的第三溫度下進行乾式退火製程。
以上概述數個實施例之部件,使得發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的面向。發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優點。發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。
10:晶圓 20:基底 22:井區 26:半導體條 28:襯墊氧化物層 30:硬遮罩層 32:溝槽 32A:窄溝槽 32B:寬溝槽 34,40:介電層 34A:頂表面 36:界面 38:空隙 42:淺溝槽隔離區 44:鰭片 46:虛設鰭片 48:閘極介電質 50:閘極電極 52:閘極堆疊 54:鰭式場效電晶體 56:接觸蝕刻停止層 58:層間介電質 110:基材層 112,114,116,118:結構 130,132,134,202,204,206,208,210,212,214,216,218,220,222,224:製程 136,138:原子層沉積循環 200:製程流程 310,312,314,316:數值 318,320:線 D1,D2:深度 T1,T2:厚度 W1,W2:寬度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1、2A、2B和3至9圖係根據一些實施例之形成淺溝槽隔離(Shallow Trench Isolation,STI)區和鰭式場效電晶體的中間階段的剖面示意圖。 第10圖根據一些實施例繪示形成SiNOCH膜的原子層沉積(Atomic Layer Deposition,ALD)循環。 第11A和11B圖根據一些實施例分別繪示六氯二矽烷(hexachlorodisilane)和三乙胺(triethylamine)的化學結構和符號。 第12圖根據一些實施例繪示SiNOCH膜的示意性化學結構。 第13圖根據一些實施例示意性地繪示隔開SiNOCH膜的兩部分的接縫。 第14圖根據一些實施例繪示對SiNOCH膜進行濕式退火製程之後的示意性化學結構。 第15和16圖根據一些實施例分別示意性地繪示在低溫濕式退火製程和高溫濕式退火製程之後的接縫處的鍵。 第17圖根據一些實施例繪示在乾式退火製程之後的氧化矽的示意性化學結構。 第18圖根據一些實施例示意性地繪示接縫處的交聯。 第19圖根據一些實施例繪示經由低溫濕式退火製程將Si-C-N鍵轉換成Si-OH鍵的效果。 第20圖根據一些實施例繪示將不同的低溫用於濕式退火製程時之碳濃度隨深度的變化。 第21圖根據一些實施例繪示濕式退火條件對沉積的介電膜中氮濃度、碳濃度和膨脹率的影響。 第22圖根據一些實施例繪示用於形成淺溝槽隔離區和鰭式場效電晶體的製程流程。
200:製程流程
202,204,206,208,210,212,214,216,218,220,222,224:製程

Claims (20)

  1. 一種半導體裝置的製造方法,包括: 蝕刻一半導體基底以形成一溝槽; 使用一原子層沉積循環來沉積一介電層,其中該介電層延伸至該溝槽中,且其中該原子層沉積循環包括: 將六氯二矽烷脈衝到該半導體基底; 吹淨該六氯二矽烷; 將三乙胺脈衝到該半導體基底;以及 吹淨該三乙胺;以及 對該介電層進行一退火製程。
  2. 如請求項1之半導體裝置的製造方法,其中該原子層沉積循環更包括: 在吹淨該三乙胺之後,將氧氣脈衝到該半導體基底;以及 吹淨該氧氣。
  3. 如請求項2之半導體裝置的製造方法,更包括重複包括脈衝氧氣的該原子層沉積循環。
  4. 如請求項1之半導體裝置的製造方法,更包括重複該原子層沉積循環。
  5. 如請求項1之半導體裝置的製造方法,其中該退火製程包括: 在一第一溫度下進行的一低溫退火製程; 在高於該第一溫度的一第二溫度下進行的一高溫退火製程;以及 在高於該第一溫度的一第三溫度下進行的一乾式退火製程。
  6. 如請求項5之半導體裝置的製造方法,其中在該第一溫度下進行該低溫退火製程,該第一溫度在約300°C至約450°C的範圍。
  7. 如請求項5之半導體裝置的製造方法,其中在該第二溫度下進行該高溫退火製程,該第二溫度在約500°C至約650°C的範圍。
  8. 如請求項5之半導體裝置的製造方法,其中在該第三溫度下進行該乾式退火製程,該第三溫度在約500°C至約650°C的範圍。
  9. 一種半導體裝置的製造方法,包括: 在一半導體條上沉積一介電層,其中該介電層的沉積包括一循環,且該循環包括: 將矽和氯原子附接到該半導體條上的氧原子上; 用氮原子和烷基取代該氯原子;以及 用氧原子取代該氮原子和烷基的複數個第一部分; 用OH鍵移除該氮原子和烷基的複數個第二部分;以及 將該介電層退火以形成Si-O-Si鍵。
  10. 如請求項9之半導體裝置的製造方法,其中該循環包括一原子層沉積循環,且該矽和氯原子的附接包括: 脈衝六氯二矽烷;以及 吹淨該六氯二矽烷。
  11. 如請求項9之半導體裝置的製造方法,其中該循環包括一原子層沉積循環,且該氯原子的取代包括: 脈衝三乙胺;以及 吹淨該三乙胺。
  12. 如請求項9之半導體裝置的製造方法,其中該循環包括一原子層沉積循環,且該氮原子和烷基的該些第一部分的取代包括: 脈衝氧氣;以及 吹淨該氧氣。
  13. 如請求項9之半導體裝置的製造方法,其中該介電層的退火包括: 在一第一溫度下將H2 O分子驅使至該介電層中; 在高於該第一溫度的一第二溫度下,用氧原子和OH分子取代該氮原子和烷基;以及 經由一乾式退火製程形成該Si-O-Si鍵,其中該乾式退火製程係在高於該第一溫度的一第三溫度下進行。
  14. 如請求項9之半導體裝置的製造方法,其中該介電層形成於一溝槽中,該半導體條位於該溝槽的一側,且該方法更包括: 形成一額外的介電區,其中該半導體條和該額外的介電區接觸該介電層的一部分的兩側壁; 回蝕刻該介電層的該部分,其中該半導體條的頂部形成一半導體鰭片,且該額外的介電區的頂部形成一虛設介電鰭片;以及 形成一閘極堆疊在該半導體鰭片和該額外的介電區上延伸。
  15. 一種半導體裝置的製造方法,包括: 形成一第一半導體條; 沉積包括氧化矽的一介電層,碳摻雜於該氧化矽中,其中該介電層包括: 一水平部分;以及 一垂直部分連接到該水平部分的一端,其中該垂直部分接觸該第一半導體條的下部的側壁,其中該第一半導體條的頂部突出高於該垂直部分的頂表面以形成一半導體鰭片;以及 形成一閘極堆疊在該半導體鰭片的側壁和頂表面上延伸。
  16. 如請求項15之半導體裝置的製造方法,更包括: 形成與該水平部分重疊的一介電區,其中該介電區的頂部突出高於該垂直部分的該頂表面以形成一虛設介電鰭片,其中該閘極堆疊進一步在該虛設介電鰭片的側壁和頂表面上延伸。
  17. 如請求項16之半導體裝置的製造方法,其中該介電區和該介電層係由不同的介電材料形成。
  18. 如請求項16之半導體裝置的製造方法,更包括沉積與該虛設介電鰭片重疊的一層間介電質。
  19. 如請求項15之半導體裝置的製造方法,其中該介電層的沉積使用順應性沉積製程。
  20. 如請求項15之半導體裝置的製造方法,更包括在沉積該介電層之後且在形成該閘極堆疊之前: 在一第一溫度下進行一低溫濕式退火製程; 在該低溫濕式退火製程之後,在高於該第一溫度的一第二溫度下進行一高溫濕式退火製程;以及 在該高溫濕式退火製程之後,在高於該第一溫度的一第三溫度下進行一乾式退火製程。
TW108140243A 2018-11-21 2019-11-06 半導體裝置的製造方法 TWI791920B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862770424P 2018-11-21 2018-11-21
US62/770,424 2018-11-21
US16/529,098 US11211243B2 (en) 2018-11-21 2019-08-01 Method of filling gaps with carbon and nitrogen doped film
US16/529,098 2019-08-01

Publications (2)

Publication Number Publication Date
TW202038379A true TW202038379A (zh) 2020-10-16
TWI791920B TWI791920B (zh) 2023-02-11

Family

ID=70727105

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108140243A TWI791920B (zh) 2018-11-21 2019-11-06 半導體裝置的製造方法

Country Status (3)

Country Link
KR (1) KR102288343B1 (zh)
CN (1) CN111211088B (zh)
TW (1) TWI791920B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112956012B (zh) * 2021-01-27 2024-02-23 长江存储科技有限责任公司 用于在半导体结构中形成阻挡层的方法
US20220238697A1 (en) * 2021-01-28 2022-07-28 Taiwan Semiconductor Manfacturing Co., Ltd. Reducing K Values of Dielectric Films Through Anneal

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
KR100648859B1 (ko) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
KR100732759B1 (ko) * 2005-06-22 2007-06-27 주식회사 하이닉스반도체 반도체 소자의 비트라인 및 그 형성 방법
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
KR100866143B1 (ko) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR101542267B1 (ko) * 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8865542B2 (en) * 2012-12-31 2014-10-21 Texas Instruments Incorporated Embedded polysilicon resistor in integrated circuits formed by a replacement gate process
US10312075B2 (en) * 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9777373B2 (en) * 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
KR102288343B1 (ko) 2021-08-11
CN111211088B (zh) 2023-04-25
CN111211088A (zh) 2020-05-29
KR20200060679A (ko) 2020-06-01
TWI791920B (zh) 2023-02-11

Similar Documents

Publication Publication Date Title
US9209243B2 (en) Method of forming a shallow trench isolation structure
US8471307B2 (en) In-situ carbon doped e-SiGeCB stack for MOS transistor
US9536772B2 (en) Fin structure of semiconductor device
US11393711B2 (en) Silicon oxide layer for oxidation resistance and method forming same
US7713834B2 (en) Method of forming isolation regions for integrated circuits
TW201434108A (zh) 半導體鰭變形調變
US11742201B2 (en) Method of filling gaps with carbon and nitrogen doped film
JP2007123875A (ja) 多孔質層を用いてゲルマニウム・オン・インシュレータ半導体構造を形成するための方法及びこれらの方法によって形成される半導体構造
US7238588B2 (en) Silicon buffered shallow trench isolation
KR20080075411A (ko) 반도체 소자 및 그 제조방법
CN106653751A (zh) 半导体器件及其制造方法
TWI791920B (zh) 半導體裝置的製造方法
US6673696B1 (en) Post trench fill oxidation process for strained silicon processes
CN106571298A (zh) 半导体结构的形成方法
JP2007518273A (ja) シャロウトレンチ分離プロセスおよび構造
CN106847697A (zh) 鳍式场效应晶体管的形成方法
KR20020088552A (ko) 실리콘 게르마늄의 선택적 에피택시얼 성장 기술을 사용한트렌치 소자분리 방법
JP2006516362A (ja) 歪みシリコンプロセス用にシャロートレンチ絶縁体を形成するプロセス
CN105826234A (zh) 半导体结构的形成方法
JP2005057147A (ja) 半導体装置の製造方法
CN105097694B (zh) 一种半导体器件的制备方法
CN106847751A (zh) 鳍式场效应晶体管的形成方法