JP2007518273A - シャロウトレンチ分離プロセスおよび構造 - Google Patents

シャロウトレンチ分離プロセスおよび構造 Download PDF

Info

Publication number
JP2007518273A
JP2007518273A JP2006549313A JP2006549313A JP2007518273A JP 2007518273 A JP2007518273 A JP 2007518273A JP 2006549313 A JP2006549313 A JP 2006549313A JP 2006549313 A JP2006549313 A JP 2006549313A JP 2007518273 A JP2007518273 A JP 2007518273A
Authority
JP
Japan
Prior art keywords
layer
trench
semiconductor layer
silicon
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006549313A
Other languages
English (en)
Inventor
シャン キ
エヌ. パン ジェイムズ
グー ジャン−スク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2007518273A publication Critical patent/JP2007518273A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

集積回路(IC)を製造する方法は、シャロウトレンチ分離(STI)技術を利用する。シャロウトレンチ分離技術は歪みシリコン(SMOS)プロセス中において使用される。トレンチ(34)を形成した後、歪み材料(36)を形成する。このプロセスは、埋込酸化膜(BOX)層(14)上の化合物半導体層16上で利用される。

Description

本発明は、概して集積回路(IC)デバイスおよびICデバイスを製造するプロセスに関する。より詳しくは、本発明は、歪みシリコンのような歪み層を含んだ基板または層上のトレンチ分離構造を形成する方法に関する。
集積回路(IC)は、半導体基板上に形成された多数のトランジスタを含んでいる。トランジスタを形成する様々な方法が知られている。トランジスタは一般的に、絶縁または分離(絶縁体)構造によって互いに分離されている。
シリコン基板上にトランジスタを形成する方法の1つは、周知のシリコン局所酸化(LOCOS)プロセスを含んでいる。従来のLOCOSプロセスは一般に、単純化した以下のステップを含む。
まず、シリコン基板上にシリコン窒化物層を熱成長させる。従来のLOCOSプロセスは一般に、層間剥離およびその他の処理に関する問題を回避すべく、高品質の熱成長したシリコン窒化物層を必要とする。
次に、リソグラフィとエッチングプロセスを使用して窒化物層を選択的に除去し、トランジスタのソース/ドレイン領域が配置されるべきパターンを生成する。ソース/ドレイン領域をパターン化した後、酸化物領域を成長させる。窒化物層がまだ残っているところでの酸化物の成長は抑制されるので、ソース/ドレインのパターニングステップの間、酸化物は露出したシリコン基板上にのみ成長する。
最後に、酸化物の成長が終了した後、窒化物層の残りの部分を除去し、露出したシリコン基板上に酸化したソース/ドレイン領域のみを残す。
絶縁構造を形成し、ソースおよびドレイン領域を画定する別の方法は、シャロウトレンチ分離(STI)プロセスである。従来のSTIプロセスは一般的に、単純化した以下のステップを含む。まず、シリコン基板上にシリコン窒化物層を熱成長またはたい積する。次に、リソグラフィとエッチングプロセスを使用して窒化物層を選択的に除去し、トランジスタのソース/ドレイン領域が配置されるべきパターンを生成する。
ソース/ドレイン領域をパターン化した後、基板をエッチングしてトレンチを形成する。トレンチを形成した後、このトレンチの露出した表面上にライナー(liner)を熱成長させる。一般的にこのライナーを、塩化水素(HCl)酸環境中で、非常に高い温度で形成する。
トレンチ内の窒化物層およびライナー酸化物上の全面に、二酸化ケイ素(SiO2)のような絶縁材料をたい積する。この絶縁材料を研磨し、表面をプレーナ化する。続いて窒化物層を除去し、トレンチ内の酸化物構造を除去する。
シャロウトレンチ分離(STI)構造は、歪みシリコン(SMOS)プロセスにおいて利用される。SMOSプロセスは、シリコンのキャリア移動度を増加させ、これによって、抵抗と電力消費を低減し、駆動電流、周波数レスポンスおよび処理速度を向上させることにより、トランジスタ(MOSFET)性能を向上するのに利用される。歪みシリコンは一般的に、シリコンゲルマニウム基板または層上にシリコン層を成長させることによって形成される。
一般的に、シリコンゲルマニウム基板に関連するシリコンゲルマニウム格子は、純粋なシリコン格子よりも広く間隔をあけて配置される。この間隔は、ゲルマニウムのパーセンテージが高くなるにつれてより広くなる。シリコン格子はより大きなシリコンゲルマニウム格子と整合する(aligns with)ので、引張歪みがシリコン層に生成される。実質的には、シリコン原子は互いに引き離される。
緩和シリコン(relaxed silicon)または歪みのないシリコン(non-strained silicon)は、6つの等しい価電子帯を含む導電帯を有する。シリコンに引張歪みを与えることは、4つの価電子帯のエネルギーを増加させると共に、2つの価電子帯のエネルギーを減少させる。
量子効果の結果、より低いエネルギーバンドを電子が通り抜ける際、その重さが事実上30パーセント以下に減少する(weigh 30 percent less)。
このように、より低いエネルギーバンドは電子の流れに与える抵抗がより少ない。
さらに、シリコン原子の核から電子が受ける振動エネルギーはより少ない。このことは、500回から1000回の割合で(この割合は緩和シリコン中におけるよりも少ない。)、電子を分散させる。
その結果、歪みシリコン中のキャリア移動度は、緩和シリコンと比較して劇的に上昇し、電子について80%以上、正孔(hole)について20%以上の移動度を潜在的に上昇させる。1.5メガボルト/センチメートルの電界まで、移動度の上昇が続くことが分かっている。
これらの要因は、デバイスサイズをさらに縮小することなく、デバイス速度を35%増加させることができ、または性能を低下させることなく、電力消費を25%減少させることができると考えられる。
従来のSMOSプロセスでは、シリコンゲルマニウム層上に歪みシリコン層が提供され、STI構造のトレンチを形成するようにエッチングされる。このトレンチは、歪みシリコン層を貫通し、少なくとも部分的にシリコンゲルマニウム層中まで広がる。トレンチ・ライナーを成長させるべく、従来のSTIライナー酸化プロセスが利用される。この従来のSTIライナー酸化プロセスは、非常に高い温度およびHCl環境を利用することができる。このプロセスの間、ゲルマニウムのガス放出が多くなる。このゲルマニウムのガス放出は、薄膜の形成に悪影響を及ぼす可能性があり、IC構造、層および装置を汚染する可能性がある。また、ライナーの界面におけるゲルマニウムの蓄積またはたい積(パイルアップ)(pile-up)を引き起こす場合があり、これによりSTI構造についての信頼性の問題を引き起こしてしまう。
シリコンゲルマニウム層は歪みシリコン層よりもエッチングされ易いので、従来のSMOSプロセスにおいては、STI構造はその端部においてシリコン・オーバーハングが生じやすい。さらに、トレンチライナープロセスおよび充てんプロセスの間、歪みシリコン層はシリコンゲルマニウム層よりも消費され易い。
さらに、従来のSMOSのSTIトレンチは、STI側壁においてリーク電流が生じる可能性がある。
したがって、歪み材料または層に損傷を与えることなく形成することができるSTI構造が必要とされる。
さらにまた、歪み材料に関連する問題による悪い影響を受けない、高い適合性(コンパティビリティ)を備えた高品質の酸化物を形成するプロセスが必要とされる。
さらにまた、シリコン・オーバーハングによる悪い影響を受けない、改善されたSMOSトレンチ形成プロセスが必要とされる。
また、ゲルマニウムのガス放出による悪い影響を受けにくい、ライナー形成プロセスが必要とされる。
さらに、歪みシリコン消費(strained silicon consummation)、STI側壁のリーク電流、およびシリコン・オーバーハングのうちの少なくとも1つによる悪い影響を受けにくい、STIプロセスが必要とされる。
発明の要約
例示的な一実施形態は、第1層を含んだ基板中にトレンチ分離領域を有している集積回路を製造する方法に関する。
この方法は、トレンチ分離領域の位置に関連するアパーチャを形成すべく、第1層を選択的にエッチングするステップと、第1層上に歪み半導体材料を形成するステップとを含む。この方法はさらに、トレンチ分離領域を形成すべく、アパーチャ中に絶縁材料を形成するステップを含む。
さらに他の例示的な実施形態は、埋込酸化膜(BOX)層上の化合物半導体層中にシャロートレンチ分離構造を形成する方法に関する。この方法は、化合物半導体層上にハードマスク層を提供するステップと、所定の位置においてハードマスク層を除去するステップと、前記所定の位置の下の化合物半導体層中にトレンチを形成するステップと、を含んでいる。
この方法は、ハードマスク層をストリッピングするステップと、化合物半導体層上に歪み半導体層を形成するステップと、シャロートレンチ分離構造を形成すべく、トレンチ中に絶縁材料を形成するステップと、をさらに含んでいる。
さらなる他の例示的な一実施形態は、集積回路に関する。この集積回路は、化合物半導体層、化合物半導体層の下のBOX層、歪み半導体層および分離トレンチを含んでいる。歪み半導体層は、化合物半導体層上にある。分離トレンチは、化合物半導体層中に配置される。分離トレンチは、絶縁材料を含んでいる。また、分離トレンチの側壁は、少なくともその一部が歪み半導体層によって被覆される。
例示的な実施形態は、添付の図面を参照して、以下の詳細な説明によりさらに理解することができるであろう。図面の類似の参照数字は類似の部分を示している。
図1ないし図15は、本発明の例示的な実施形態による集積回路(IC)を製造する方法を示している。プロセス100(図10)およびプロセス200(図15)では、基層中にトレンチが形成される後まで歪みシリコン材料を提供しないので、好ましい。
このように、STIの端部におけるシリコン・オーバーハングに関連する問題、STI構造の形成における歪みシリコンの消費、およびSTI構造の側壁におけるリーク電流が減少する。
基層中にトレンチを形成した後、歪み材料は様々なプロセスによって提供することができる。
図1ないし図9に示される方法(プロセス100)の実施形態は、シリコンゲルマニウム層に関連するゲルマニウムのガス放出および外方拡散の問題を減少させる。プロセス100は、歪みシリコン層をSTIトレンチにおける側壁上に利用する。
プロセス100および200は、シャロウトレンチ分離(STI)プロセス、または、トレンチ分離領域を必要とし、ゲルマニウムまたは高温においてガス放出し易い他の物質(substance)を使用するプロセスにおいて、使用することができる。
ライナー酸化物層は比較的低温で形成可能であるという利点を有し、さらに、適合性の高い高品質の酸化物を提供でき、好ましい。
低温プロセスは、約750℃未満の温度(例えば700℃以下)で実行されるプロセスを指す。
図1ないし図10には、集積回路(IC)一部分12の断面図が記載されている。プロセス100(図10)を実行することにより、部分12にはシャロウトレンチ分離(STI)構造が形成される。
部分12は、基板15上に形成される酸化層18を含む。基板15は、基層13および埋込酸化膜(BOX)層14上に提供される化合物半導体層16(例えばシリコンゲルマニウム)を含んでいる。基板15は、層16を含んだSOI(semiconductor-on-insulator)であることが好ましい。
基層13は任意に形成することができ、必須の構成要素ではない。また、部分12においてBOX層14を基底層(bottom-most)として形成することができる。
基板15の基層13は、同一の材料または層16と異なる材料であり得る。ある実施形態においては、基層13は、その上に層14を成長または二酸化シリコン層としてたい積されたシリコン基板のような、半導体基板である。
層16は、層14上に物理的に成長させられるか、たい積される。層16は、必ずしも層14上に直接たい積されない。他の例として、基板15は、ウェーハ・サプライヤから購入することができる。
部分12は、相補型金属酸化膜半導体(CMOS)プロセス、バイポーラプロセス、または他の半導体プロセスのような、様々な半導体プロセスのうちのいずれかから製造することができる任意の種類の半導体デバイスまたはこれらの一部であり得る。部分12は、IC全体またはICの一部であり得、多くの電子部品の一部を含み得る。
層16には、シリコンゲルマニウムまたはゲルマニウムを含む他の半導体材料が適しており、P型ドーパントまたはN型ドーパントでドープすることができる。層16は、層14のような絶縁ベースまたは半導体上に形成されるエピタキシャル層であり得る。さらに、層16は、シリコンおよびゲルマニウムの化合物(xが約0.2であり、より一般的には0.1から0.3の範囲にあるSi1-xGex)であることが好ましい。
層14は、基層13上のイオン注入によって形成、成長またはたい積することができる。層14は、約200Åから2000Åの間の厚みであることが好ましい。他の実施形態によれば、層14は、約500Åから2000Åの間の厚みを有し得る(例えば層14がシリコン中に酸素を注入したSIMOX層である場合)。
ある実施形態の一例においては、供給ガスとしてSi2H6(ジシラン)(disilane)およびGeH4(ゲルマン)(germane)を使用する化学蒸着法(CVD)(650℃の基板温度、ジシラン分圧は30mPa、ゲルマン分圧は60mPaを使用する。)によって、層16を基層13上に成長させる。
シリコンゲルマニウム材料の成長は、これらの定量を使用して開始してもよいし、代わりに、ゲルマニウムの分圧を低い圧力または圧力0から徐々に増加するようにしてシリコンゲルマニウム材料を成長させ、傾斜的な組成を形成してもよい。
他の例では、層16を形成すべく、ゲルマニウムでイオン注入することによってシリコン層をドープしてもよいし、他のプロセスを利用してもよい。
層16は、約2ミクロンよりも薄い(また、好ましくは約0.5ミクロンから2ミクロンの間の)厚みまで、エピタキシャル成長によって成長させることが好ましい。
パッド酸化膜または酸化層18を、層16上に形成する。層18は必須の構成要素ではない。層18は、層16上に約100Åから300Åの範囲の厚みに熱成長させることが好ましい。
層18は、バッファ層としての役割を果たし、酸素を含む雰囲気中で約1000℃まで熱することにより、従来の高温プロセスで熱成長させることができる。
バリア層またはハードマスク層22を、酸化層18上に形成する。マスク層22は、たい積、CVD、または熱成長プロセスにより、約300Åから1000Åの間の厚みで形成される窒化ケイ素(Si3N4)であることが好ましい。低圧の、プラズマ化学気相成長法(PECVD)プロセスを利用することができる。
(例えば600℃以上の)高温における二塩化シラン(SiH2CI2)、アンモニア(NH3)および窒素(N2)の混合物を使用する従来の熱窒化プロセスを使用することができる。
窒化物をたい積するPECVDプロセスは、400℃で電力約550から650ワットの範囲で、シラン(SiH4)、窒素(N2)およびアンモニア(NH3)を使用する。
従来のCVDまたは成長プロセスに関連づけられたN2/NH3/SiCl2H2とは対照的に、マスク層22を形成するのにアンモニア(NH3)シラン(SiH4/N2)混合プラズマを使用することができる。
フォトレジスト層24はマスク層22上に提供される(例えばスピン・コーティングによって)。このフォトレジスト層24は、SPR955(iライン)、UV5(深いUV)(マサチューセッツ州、シップリー社)のような市販のiラインまたは深いUVフォトレジストであることが好ましい。
図1、図2は、プロセス100のステップ102(図10)に従って、マスクまたはレチクル28を使用するフォトリソグラフィ・プロセスによってフォトレジスト層24を選択的に除去し、アパーチャ34が残される様子を示す。
図3は、プロセス100(図10)のステップ104に従ってアパーチャ34が酸化層18に達するように、マスク層22をドライエッチングプロセスによってエッチングされる様子を示す。マスク層22は、ハードマスクであることが好ましい。このドライエッチングプロセスは、酸化層18に関するシリコン窒化物に選択性を有する。層22をエッチングした後、層24を除去することができる。
図4は、二酸化シリコン材料および層18をエッチングするようにエッチングプロセスが変更され、プロセス100(図10)のステップ104に従ってアパーチャ34が層16に達するように、層18をエッチングする様子を示す。
層18はドライエッチングプロセスでエッチングすることができる。他の実施形態においては、層18の選択された部分を除去するために他のエッチング技術を利用することができる。酸化層18をエッチングする前または後に、フォトレジスト層24(図1)を除去することができる。層22もまた、層18をエッチングした後に、除去することができる。
図5では、層16の化合物半導体材料をエッチングするようにエッチングプロセスが変更される。アパーチャ34が層14の上面に達するように、ドライエッチングプロセスに従って層16を除去することができる。
アパーチャ34は、STI構造に適した任意の幅を有することができる。ある実施形態においては、アパーチャ34は、技術により、約150nmから300nmの幅であることが好ましい。
プロセス100(図10)のステップ104に従ってシャロウトレンチ分離構造のトレンチを形成するように、層16は、アパーチャ34を通じてエッチングされる。
トレンチは、アパーチャ34に対応する幅を有していることが好ましい。このトレンチは、約500Åおよび300Åの間の深さ(層16の厚みによる)と、150nmから300nmの幅を有することが好ましい。
トレンチは、底面部分がより狭くなっている台形の断面形状を有することができる。他の実施形態は、より矩形の断面形状を有するトレンチを含んでいる。
ドライエッチングプロセスでエッチングするように記載したが、トレンチの形成は、層16中にアパーチャを形成するのに適したプロセスであれば、どのようなプロセスを用いてもよい。
ある実施形態の一例においては、トレンチのアパーチャは、層14内まで形成されるようになっている。他の実施形態においては、層16の厚みによって、アパーチャ34に関連するトレンチの底部が層14まで達していなくてもよい。
図6は、層18および層22を除去(例えばストリッピング)した状態を示している。層18および層22は、どのような従来のプロセスを使用して除去してもよい。
図7は、プロセス100(図10)のステップ106に従って、層16上に歪み半導体材料36が提供される状態を示している。
層36の厚さは、100Åから200Åであることが好ましく、この層は引張り歪みシリコン層である。
層36は、500℃から650℃の温度で、シラン、ジシランまたは二塩化シランを使用する化学蒸着法CVDによって、あるいは分子線エピタキシー(MBE)(molecular beam epitaxy)によって形成することができる。
好ましい実施形態の一例においては、アパーチャ34に関連するトレンチの側壁は、層36で被覆されている。層36が化合物半導体層16を被覆するので、層36は、STIトレンチ・プロセスに関連するゲルマニウム拡散を防止することができるという利点がある。層36は、CVDとMBEを含む多くのプロセスによってたい積することができ、様々な寸法を有し得る。
ライナー(図示しない)は、層36の形成後、アパーチャ34に関連するトレンチ中に形成することができる。
ライナーは、低温プロセスで形成された酸化物(例えば、酸化シリコンまたは二酸化シリコン)材料であることが好ましい。
ある実施形態の一例においては、ライナーは約50Åから200Åの間の厚みを有しており、トレンチの底面および側壁上に提供される。
他の実施形態の一例においては、ライナーは、上述したCVDプロセスに類似するHDP(high density plasma oxide deposition)プロセスまたはプラズマエンハンストLPCVD(plasma enhanced low pressure chemical vapor deposition )プロセスで形成することができる。
たい積プロセスではNH3を使用せず、その代わりに700℃未満の温度におけるシランを使用することが好ましい。
図8は、プロセス100のステップ108に従って、材料36上およびアパーチャ34に関連するトレンチ内の全面に、絶縁材料の層42がたい積される様子を示している。
絶縁材料42には、CVDプロセスでたい積した二酸化シリコンであることが好ましい。絶縁材料42は、テトラエトキシシラン(TEOS)(tetraethylorthosilicate)プロセスでたい積することが好ましい。
他の実施形態においては、ホウ素燐ケイ酸ガラス(BPSG)(boron phosphate silicon glass)プロセスを利用することができる。
絶縁材料42は、約2000Åから8000Åの範囲の厚みであることが好ましい。
材料36の上面に到達するまで、研磨/エッチングによって絶縁材料42を除去する。絶縁材料42の除去により、アパーチャ34に関連するトレンチ内の絶縁材料が残る。絶縁材料42は、多くのストリッピングまたはエッチングプロセスによって除去することができる。ドライエッチングによって絶縁材料42を材料36上から除去することが好ましい。
アパーチャ34に関連するトレンチ中に絶縁材料42を形成した後、ゲート構造48を形成することができる。
ゲート構造48は、酸化ゲート構造上の金属または酸化ゲート構造上のポリシリコンのような従来のMOSFETゲート構造であり得る。
シリサイド化は、ゲート構造48に関連するトランジスタのソースおよびドレインの位置にシリサイド層46を形成するのに利用される。
層46は、ニッケルを使用して、従来のゲルマノシリサイド化(germano-silicidation)プロセスによって形成することができる。
図1ないし図5と、図11ないし図15に関して、プロセス200はプロセス100と類似する。
プロセス200は、図1ないし図5について記載したプロセス100に関連するステップと基本的に同じステップによる。
プロセス200では、ステップ202において化合物半導体層16上にフォトレジスト層24をパターン化する。また、ステップ204においてトレンチを形成すべく化合物半導体層をエッチングする(図1ないし図5を参照)。
図11において、層16中のアパーチャ134は、図2ないし図9において記載したアパーチャ34よりもわずかに小さくすることができる。
アパーチャ134は約150から300nmの間の幅を有しており、上述したアパーチャ34の深さと同じ深さを有している。
マスク層118(例えば、上述した層18および層22について記載したような酸化物または窒化物のマスク層)を、層16上に提供する。このマスク層118は、続いて形成される歪み材料136(図13)の厚みと実質的に同様の厚みを有する。
図12に示すように、プロセス200のステップ207において、アパーチャ134に関連するトレンチを、絶縁材料142で充てんする。
絶縁材料142は、図8において記載された、絶縁材料42に類似するものとすることができる。
アパーチャ134に関連するトレンチは、アパーチャ34に関連するトレンチを充てんすることについて記載した上述のプロセスを含む様々なプロセスで、充てんすることができる。絶縁材料は、マスク層118の上面までトレンチを充てんする。
例示的な実施形態の一例によれば、この絶縁材料はまた、マスク層118の表面上に形成され、マスク層118の表面まで研磨またはエッチバックされる。
図13に示すように、プロセス200のステップ209において、マスク層118を除去する。また、選択的なシリコン・エピタキシーによって、層16上に歪み材料136を成長させる。
層118および層136の厚みが実質的に同様であるので、絶縁材料142は、歪み材料136の上面まで広がる。
図1ないし図10について上述したプロセス100の実施形態と異なり、材料136はトレンチに関連する側壁上に提供されない。
図14に示すように、ゲート構造48を形成する。また、シリサイド層46を、ゲート構造48に関連するトランジスタのソースおよびドレインに関連する位置に形成する。ゲート構造48は、ステップ212において形成され、シリサイド層46は、ステップ213において形成される。
詳細な図面、特定の例および与えられた特定値は、本発明の好ましい例示的な実施形態を提供するが、これは単なる例示であることが理解される。トレンチの形状および寸法は制限的な方式で開示されていない。本発明の方法および装置は、開示したとおりのディテールおよび条件に制限されない。様々な変更は、添付の請求項によって定義される本発明の趣旨を逸脱することなく様々な変形を行うことができる。
図10および図15に記載のシャロウトレンチ分離(STI)プロセスの例示的な実施形態において使用される、酸化層、ハードマスク層およびフォトレジスト層を含んだシリコン・オン・インシュレータ(SOI)基板の一部の概略的な断面図。 リソグラフィ・パターニング・ステップを示す、図1に記載した部分の断面図。 ハードマスク層の選択的エッチングステップを示す、図2に記載した部分の断面図。 酸化層の選択的エッチングステップを示す、図3に記載した部分の断面図。 SOI基板に関連する化合物半導体層の選択的エッチングステップを示す、図4に記載した部分の断面図。 ハードマスク層および酸化層の除去ステップを示す、図5に記載した部分の断面図。 歪み半導体形成ステップを示す、図6に記載した部分の断面図。 トレンチ充てんステップを示す、図7に記載した部分の断面図。 ゲート形成およびシリサイド化ステップを示す、図7に記載した部分の断面図。 図1ないし図9に示す部分のシャロウトレンチ分離プロセスを示す概略的なブロック図。 酸化物およびハードマスク除去ステップを示す、図15に記載のシャロウトレンチ分離(STI)プロセスの例示的な実施形態において使用されるシリコン・オン・インシュレータ(SOI)基板の一部の概略的な断面図。 トレンチ充てんステップを示す、図11に記載した部分の断面図。 歪み半導体形成ステップを示す、図12に記載した部分の断面図。 ゲート形成およびシリサイド化ステップを示す、図13に記載した部分の断面図。 図1ないし図5および図11ないし図14に示す部分のシャロウトレンチ分離プロセスを示す概略的なブロック図。

Claims (10)

  1. 第1層(16)を含んだ基板(15)中にトレンチ分離領域を有している集積回路を製造する方法であって、
    トレンチ分離領域の位置に関連するアパーチャ(34)を形成すべく、前記第1層(16)を選択的にエッチングするステップと、
    前記第1層(16)上に歪み半導体材料(36)を形成するステップと、
    トレンチ分離領域を形成すべく、前記アパーチャ(34)中に絶縁材料(42)を形成するステップと、
    を含む、方法。
  2. 前記歪み半導体材料(36)は、前記アパーチャ(34)の側壁上に形成される、請求項1記載の方法。
  3. 前記歪み半導体材料(36)はシリコンであり、前記第1層(16)はシリコンゲルマニウムである、請求項1記載の方法。
  4. 前記第1層(16)はBOX層(14)上にある、請求項1記載の方法。
  5. 埋込酸化膜(BOX)層(14)上の化合物半導体層(15)中にシャロートレンチ分離構造を形成する方法であって、
    前記化合物半導体層(15)上にハードマスク層(22)を形成するステップと、
    所定の位置において前記ハードマスク層(22)を除去するステップと、
    前記所定の位置の下の前記化合物半導体層(15)中にトレンチ(34)を形成するステップと、
    前記ハードマスク層(22)をストリッピングするステップと、
    前記化合物半導体層(15)上に歪み半導体層(36)を形成するステップと、
    シャロートレンチ分離構造を形成すべく、前記トレンチ(34)中に絶縁材料(42)を形成するステップと、
    を含む、方法。
  6. 前記歪み半導体層(36)上にシリサイド層(46)を形成するステップをさらに含む、請求項5記載の方法。
  7. 750℃未満の低温で、前記トレンチ(34)中にライナーを形成するステップをさらに含む、請求項5記載の方法。
  8. 化合物半導体層(15)と、
    前記化合物半導体層(15)の下の埋込酸化膜(BOX)層(14)と、
    前記化合物半導体層(15)上の歪み半導体層(36)と、
    前記化合物半導体層(15)中に配置される、分離トレンチ(34)と、を含んでおり、
    前記分離トレンチ(34)は、絶縁材料(42)および側壁を含んでおり、
    前記分離トレンチ(34)の側壁は、少なくともその一部が前記歪み半導体層(36)によって被覆されている、
    集積回路。
  9. 前記分離トレンチ(34)間のゲート構造(48)をさらに含む、請求項8記載の集積回路。
  10. 前記歪み半導体材料(36)はシリコンを含んでおり、化合物半導体層はシリコンゲルマニウムを含んでおり、前記トレンチ(34)は、前記歪み半導体層(15)の上面から埋込酸化膜層(14)の底面まで広がる、請求項8記載の集積回路。
JP2006549313A 2004-01-12 2004-12-21 シャロウトレンチ分離プロセスおよび構造 Pending JP2007518273A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/755,602 US7462549B2 (en) 2004-01-12 2004-01-12 Shallow trench isolation process and structure with minimized strained silicon consumption
PCT/US2004/043107 WO2005071738A2 (en) 2004-01-12 2004-12-21 Shallow trench isolation process and structure

Publications (1)

Publication Number Publication Date
JP2007518273A true JP2007518273A (ja) 2007-07-05

Family

ID=34739605

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006549313A Pending JP2007518273A (ja) 2004-01-12 2004-12-21 シャロウトレンチ分離プロセスおよび構造

Country Status (8)

Country Link
US (2) US7462549B2 (ja)
JP (1) JP2007518273A (ja)
KR (1) KR101183271B1 (ja)
CN (1) CN100477153C (ja)
DE (1) DE112004002634B4 (ja)
GB (1) GB2425889B (ja)
TW (1) TWI361459B (ja)
WO (1) WO2005071738A2 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption
US20060094171A1 (en) * 2004-11-04 2006-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation trench thermal annealing method for non-bulk silicon semiconductor substrate
FR2888665B1 (fr) * 2005-07-18 2007-10-19 St Microelectronics Crolles 2 Procede de realisation d'un transistor mos et circuit integre correspondant
US7803690B2 (en) 2006-06-23 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy silicon on insulator (ESOI)
US20100019322A1 (en) * 2008-07-23 2010-01-28 International Business Machines Corporation Semiconductor device and method of manufacturing
US8916950B2 (en) 2011-10-18 2014-12-23 International Business Machines Corporation Shallow trench isolation structure having a nitride plug
US9601385B1 (en) 2016-01-27 2017-03-21 International Business Machines Corporation Method of making a dual strained channel semiconductor device
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
TWI700778B (zh) * 2019-06-19 2020-08-01 台灣茂矽電子股份有限公司 半導體基板邊緣處理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05275526A (ja) * 1992-01-24 1993-10-22 Internatl Business Mach Corp <Ibm> 半導体デバイスおよびその作製方法
JP2004039831A (ja) * 2002-07-03 2004-02-05 Renesas Technology Corp 半導体装置の製造方法
JP2004079874A (ja) * 2002-08-21 2004-03-11 Fujitsu Ltd 半導体装置及びその製造方法
JP2004088015A (ja) * 2002-08-29 2004-03-18 Nec Corp 半導体装置およびその製造方法。

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4666556A (en) 1986-05-12 1987-05-19 International Business Machines Corporation Trench sidewall isolation by polysilicon oxidation
KR920020676A (ko) 1991-04-09 1992-11-21 김광호 반도체 장치의 소자분리 방법
US5254873A (en) * 1991-12-09 1993-10-19 Motorola, Inc. Trench structure having a germanium silicate region
US5648261A (en) * 1991-12-17 1997-07-15 Gist-Brocades, N.V. Strains of Phaffia rhodozyma containing high levels of astaxanthin and low levels of 3-hydroxy-3',4'-didehydro-β, Ψ-caroten-4-one (HDCO)
DE59409300D1 (de) * 1993-06-23 2000-05-31 Siemens Ag Verfahren zur Herstellung von einem Isolationsgraben in einem Substrat für Smart-Power-Technologien
US5406111A (en) * 1994-03-04 1995-04-11 Motorola Inc. Protection device for an intergrated circuit and method of formation
JP3271453B2 (ja) * 1994-12-28 2002-04-02 三菱電機株式会社 半導体装置における素子分離領域の形成方法
US5455194A (en) * 1995-03-06 1995-10-03 Motorola Inc. Encapsulation method for localized oxidation of silicon with trench isolation
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5793090A (en) * 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Integrated circuit having multiple LDD and/or source/drain implant steps to enhance circuit performance
US5837612A (en) * 1997-08-01 1998-11-17 Motorola, Inc. Silicon chemical mechanical polish etch (CMP) stop for reduced trench fill erosion and method for formation
US6136664A (en) * 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US6306722B1 (en) * 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US6013937A (en) * 1997-09-26 2000-01-11 Siemens Aktiengesellshaft Buffer layer for improving control of layer thickness
US5882983A (en) * 1997-12-19 1999-03-16 Advanced Micro Devices, Inc. Trench isolation structure partially bound between a pair of low K dielectric structures
KR100248888B1 (ko) * 1998-01-07 2000-03-15 윤종용 트랜치 격리의 형성 방법
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6080618A (en) * 1998-03-31 2000-06-27 Siemens Aktiengesellschaft Controllability of a buried device layer
US6214696B1 (en) * 1998-04-22 2001-04-10 Texas Instruments - Acer Incorporated Method of fabricating deep-shallow trench isolation
US6168961B1 (en) * 1998-05-21 2001-01-02 Memc Electronic Materials, Inc. Process for the preparation of epitaxial wafers for resistivity measurements
US6146970A (en) * 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6265282B1 (en) * 1998-08-17 2001-07-24 Micron Technology, Inc. Process for making an isolation structure
US6074931A (en) * 1998-11-05 2000-06-13 Vanguard International Semiconductor Corporation Process for recess-free planarization of shallow trench isolation
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6548261B1 (en) 1998-12-30 2003-04-15 Case Western Reserve University Alzheimer model for drug screening
US6037238A (en) * 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
US6271143B1 (en) * 1999-05-06 2001-08-07 Motorola, Inc. Method for preventing trench fill erosion
TW413887B (en) * 1999-06-09 2000-12-01 Mosel Vitelic Inc Method for forming trench-type power metal oxide semiconductor field effect transistor
US6207531B1 (en) * 1999-07-02 2001-03-27 Promos Technologies, Inc. Shallow trench isolation using UV/O3 passivation prior to trench fill
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6150212A (en) * 1999-07-22 2000-11-21 International Business Machines Corporation Shallow trench isolation method utilizing combination of spacer and fill
US6426278B1 (en) * 1999-10-07 2002-07-30 International Business Machines Corporation Projection gas immersion laser dopant process (PGILD) fabrication of diffusion halos
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6365446B1 (en) * 2000-07-03 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Formation of silicided ultra-shallow junctions using implant through metal technology and laser annealing process
US6468853B1 (en) * 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6943078B1 (en) * 2000-08-31 2005-09-13 Micron Technology, Inc. Method and structure for reducing leakage current in capacitors
US6391731B1 (en) * 2001-02-15 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Activating source and drain junctions and extensions using a single laser anneal
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6456370B1 (en) * 2001-03-29 2002-09-24 Fitel Usa Corp. Method of measuring bending loss with an optical time domain reflectometer
US6498383B2 (en) * 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
EP1397832A2 (en) 2001-06-08 2004-03-17 Amberwave Systems Corporation Method for isolating semiconductor devices
US6548399B1 (en) * 2001-11-20 2003-04-15 Intel Corporation Method of forming a semiconductor device using a carbon doped oxide layer to control the chemical mechanical polishing of a dielectric layer
US6656749B1 (en) * 2001-12-13 2003-12-02 Advanced Micro Devices, Inc. In-situ monitoring during laser thermal annealing
US6566228B1 (en) * 2002-02-26 2003-05-20 International Business Machines Corporation Trench isolation processes using polysilicon-assisted fill
US6613646B1 (en) * 2002-03-25 2003-09-02 Advanced Micro Devices, Inc. Methods for reduced trench isolation step height
GB0209737D0 (en) 2002-04-29 2002-06-05 Univ Newcastle Method of isolating adjacent components of a semiconductor device
US6548361B1 (en) * 2002-05-15 2003-04-15 Advanced Micro Devices, Inc. SOI MOSFET and method of fabrication
US6759702B2 (en) * 2002-09-30 2004-07-06 International Business Machines Corporation Memory cell with vertical transistor and trench capacitor with reduced burried strap
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6888214B2 (en) * 2002-11-12 2005-05-03 Micron Technology, Inc. Isolation techniques for reducing dark current in CMOS image sensors
US6730576B1 (en) 2002-12-31 2004-05-04 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
US6878611B2 (en) * 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
US7648886B2 (en) * 2003-01-14 2010-01-19 Globalfoundries Inc. Shallow trench isolation process
US6673696B1 (en) * 2003-01-14 2004-01-06 Advanced Micro Devices, Inc. Post trench fill oxidation process for strained silicon processes
US6962857B1 (en) * 2003-02-05 2005-11-08 Advanced Micro Devices, Inc. Shallow trench isolation process using oxide deposition and anneal
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6903384B2 (en) * 2003-01-15 2005-06-07 Sharp Laboratories Of America, Inc. System and method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications
US6825086B2 (en) * 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05275526A (ja) * 1992-01-24 1993-10-22 Internatl Business Mach Corp <Ibm> 半導体デバイスおよびその作製方法
JP2004039831A (ja) * 2002-07-03 2004-02-05 Renesas Technology Corp 半導体装置の製造方法
JP2004079874A (ja) * 2002-08-21 2004-03-11 Fujitsu Ltd 半導体装置及びその製造方法
JP2004088015A (ja) * 2002-08-29 2004-03-18 Nec Corp 半導体装置およびその製造方法。

Also Published As

Publication number Publication date
US20080213952A1 (en) 2008-09-04
GB0615267D0 (en) 2006-09-06
CN100477153C (zh) 2009-04-08
WO2005071738A2 (en) 2005-08-04
GB2425889A (en) 2006-11-08
TW200529318A (en) 2005-09-01
KR20070011262A (ko) 2007-01-24
WO2005071738A3 (en) 2005-12-08
TWI361459B (en) 2012-04-01
US7732336B2 (en) 2010-06-08
US20050151222A1 (en) 2005-07-14
US7462549B2 (en) 2008-12-09
CN1902748A (zh) 2007-01-24
DE112004002634B4 (de) 2008-08-14
KR101183271B1 (ko) 2012-09-14
DE112004002634T5 (de) 2006-12-28
GB2425889B (en) 2007-12-12

Similar Documents

Publication Publication Date Title
US9209243B2 (en) Method of forming a shallow trench isolation structure
JP5039557B2 (ja) シリコン−オン−インシュレータの半導体デバイスを形成する方法
US7713834B2 (en) Method of forming isolation regions for integrated circuits
US7732336B2 (en) Shallow trench isolation process and structure with minimized strained silicon consumption
US20070040235A1 (en) Dual trench isolation for CMOS with hybrid orientations
US7238588B2 (en) Silicon buffered shallow trench isolation
CN104934472A (zh) Finfet结构及其制造方法
CN101828260A (zh) 在体半导体晶片中制造局域化绝缘体上半导体(soi)结构的方法
US7834425B2 (en) Hybrid orientation SOI substrates, and method for forming the same
US7033869B1 (en) Strained silicon semiconductor on insulator MOSFET
US8017472B2 (en) CMOS devices having stress-altering material lining the isolation trenches and methods of manufacturing thereof
US20230326787A1 (en) Multilayer isolation structure for high voltage silicon-on-insulator device
US6673696B1 (en) Post trench fill oxidation process for strained silicon processes
US20110306170A1 (en) Novel Method to Improve Performance by Enhancing Poly Gate Doping Concentration in an Embedded SiGe PMOS Process
US20070004212A1 (en) Method for manufacturing a semiconductor substrate and method for manufacturing a semiconductor device
US20070066023A1 (en) Method to form a device on a soi substrate
US7648886B2 (en) Shallow trench isolation process
US6962857B1 (en) Shallow trench isolation process using oxide deposition and anneal

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071205

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111005

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120314