TW202037999A - 減少帶電粒子束寫入時間的方法及系統 - Google Patents

減少帶電粒子束寫入時間的方法及系統 Download PDF

Info

Publication number
TW202037999A
TW202037999A TW108146715A TW108146715A TW202037999A TW 202037999 A TW202037999 A TW 202037999A TW 108146715 A TW108146715 A TW 108146715A TW 108146715 A TW108146715 A TW 108146715A TW 202037999 A TW202037999 A TW 202037999A
Authority
TW
Taiwan
Prior art keywords
dose
exposure information
pattern
backscatter
exposure
Prior art date
Application number
TW108146715A
Other languages
English (en)
Other versions
TWI848032B (zh
Inventor
藤村明
哈洛德 R 札伯
納蓋許 席拉里
威廉 E 古斯里
萊恩 派曼
Original Assignee
美商D2S公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商D2S公司 filed Critical 美商D2S公司
Publication of TW202037999A publication Critical patent/TW202037999A/zh
Application granted granted Critical
Publication of TWI848032B publication Critical patent/TWI848032B/zh

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4097Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by using design data to control NC machines, e.g. CAD/CAM
    • G05B19/4099Surface or curve machining, making 3D objects, e.g. desktop manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45028Lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Toxicology (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

揭示了一種用於使用一帶電粒子束微影來使一表面上的一區域中的一圖案曝光的方法,該方法包括輸入該區域的一原始曝光資訊集。基於該曝光資訊為該圖案的該區域計算一反向散射。判定該區域的一人為背景劑量。該人為背景劑量包含附加的曝光資訊,並與該原始曝光資訊集組合以建置一修改的曝光資訊集。亦揭示了一種用於使用一帶電粒子束微影來使一表面上的一區域中的一圖案曝光的系統。

Description

減少帶電粒子束寫入時間的方法及系統
相關申請案
本申請案主張2018年12月22日申請之標題為「減少帶電粒子束寫入時間的方法及系統(Method and System of Reducing Charged Particle Beam Write Time)」的美國非臨時專利申請案第16/231,447號的權益,出於所有目的,該美國非臨時專利申請案以引用的方式併入。
本發明係有關於減少帶電粒子束寫入時間的方法及系統。
本發明係關於微影,更詳言之,係關於使用帶電粒子束微影來設計及製造一表面,該表面可為倍縮掩模、晶圓或任何其他表面。
帶電粒子束微影的三種常見類型係非成形(高斯)束微影、成形的帶電粒子束微影及多束微影。在所有類型的帶電粒子束微影中,帶電粒子束皆會向抗蝕劑塗佈之表面發射能量以曝光抗蝕劑。
成形的帶電粒子束微影可為可變形狀的束(VSB)或特徵投影(character projection;CP),其中精確的電子束的射束被成形及操縱以曝光抗蝕劑塗佈之表面,例如晶圓表面或倍縮掩模表面。在VSB中,此等形狀係簡單的形狀,通常限於以下各者:矩形,該等矩形具有某些最小及最大尺寸,並且具有平行於笛卡兒座標平面(即「曼哈頓(manhattan)」方向)的軸的側面;以及具有某些最小及最大尺寸的45度直角三角形(即,三個內角為45度、45度及90度的三角形)。在預定位置,此等簡單形狀的電子劑量被射入抗蝕劑。此類型的系統的總寫入時間隨著射束數量的增加而增加。在CP中,系統中有一個模板,其中帶有各種可能是複雜形狀的孔隙或特徵,例如直線、任意角度的線性、圓形、近圓形、環形、近環形、卵形、近卵形、部分圓形、部分近圓形、部分環形、部分近環形、部分近卵形或任意曲線形狀,並且它們可為經連接的複雜形狀的集合,或可為具有經連接的複雜形狀的集合的多個分開集合的群組。可以發射電子束以透過模板上的特徵,以有效地在倍縮掩模上產生更複雜的圖案。從理論上講,此類系統可以比VSB系統更快,因為它可以藉由每個耗時的射束來發射出更複雜的形狀。因此,使用VSB系統發射的E形圖案需要發射四次,但是使用CP系統可以藉由一個射束來發射相同E形圖案。
成形帶電粒子束微影可以使用單個成形束,或者可以使用同時曝光表面的多個成形束,與單個成形束相比,該多個成形束產生更高的寫入速度。當多個帶電粒子束同時曝光表面時,帶電粒子束微影通常被稱為多束微影。多束微影可為成形或未成形的帶電粒子束微影的多個束。
在微影中,微影遮罩或倍縮掩模包含與要整合到基板上的電路組件相對應的幾何圖案。可以利用電腦輔助設計(CAD)軟體或程式來生成用於製造倍縮掩模的圖案。在設計圖案時,CAD程式可以遵循一組預定的設計規則以建置倍縮掩模。此等規則由處理、設計及最終用途限制來設定。最終用途限制的一個示例係以無法在所需電源電壓下充分運行的方式定義電晶體的幾何形狀。具體而言,設計規則可以定義電路器件或互連線之間的空間公差。設計規則例如用於確保電路器件或線路不會以不希望的方式彼此相互作用。例如,使用設計規則,以使線不會以可能引起短路的方式彼此靠近。設計規則限制尤其反映了可以可靠製造的最小尺寸。當提到此等小尺寸時,通常會引入臨界尺寸的概念。例如,此等尺寸被定義為特徵的重要寬度或面積,或兩個特徵之間的重要空間或重要空間區域,此等尺寸需要精確控制。
在諸如積體電路的半導體器件的生產或製造中,光學微影可以用於製造半導體器件。光學微影係一種印刷製程,其中使用微影遮罩或光罩或倍縮掩模將圖案轉印到諸如半導體或矽晶圓的基板上以形成積體電路(I.C.)。其他基板可以包括平板顯示器、全息遮罩或甚至其他倍縮掩模。習知光學微影通常使用193 nm或更長波長的輻射。亦將極紫外(EUV)或X射線微影視為光學微影的類型,但是所使用的波長比習知光學微影的193 nm短得多。倍縮掩模或多個倍縮掩模可以包含與積體電路的單個層相對應的電路圖案,並且可以將該圖案成像到基板上已塗佈有輻射敏感材料(稱為光致抗蝕劑或抗蝕劑)層的特定區域上。習知的光學微影寫入機通常在光學微影製程中將光罩圖案減小四倍。因此,在倍縮掩模或遮罩上形成的圖案必須比在基板或晶圓上的所需圖案的尺寸大四倍。
在諸如積體電路的半導體器件的生產或製造中,可以使用非光學方法來將微影遮罩上的圖案轉印至諸如矽晶圓的基板。奈米壓印微影(NIL)係非光學微影製程的一個示例。在NIL中,藉由微影遮罩與基板的接觸將微影遮罩圖案轉印至基板。NIL的微影遮罩通常使用帶電粒子束微影來製造表面。
在諸如積體電路的半導體器件的生產或製造中,無遮罩直接寫入亦可以用於製造半導體器件。無遮罩直接寫入係一種印刷製程,其中使用帶電粒子束微影將圖案轉印到基板(例如半導體或矽晶圓)以建置積體電路。其他基板可以包括平板顯示器、用於奈米壓印的壓印遮罩,甚至是倍縮掩模。一層所需的圖案直接寫在表面上,在此情況下,該表面亦為基板。一旦圖案層被轉印,該層就可以經歷各種其他製程,例如蝕刻、離子注入(摻雜)、金屬化、氧化及拋光。此等製程用於完成基板中的單個層。若需要幾層,那麼將為每個新層重複整個製程或其變化形式。某些層可以使用光學微影來寫入,而其他層可以使用無遮罩直接寫入來寫入以製造相同的基板。同樣,給定層的一些圖案可以使用光學微影來寫入,而其他圖案可以使用無遮罩直接寫入來寫入。最終,多個器件或積體電路的組合將出現在基板上。然後藉由切割或鋸切將此等積體電路彼此分離,然後將其安裝到單獨的封裝中。在更一般的情況下,表面上的圖案可用於定義人造物,例如顯示像素、全息圖或磁記錄頭。
藉由光學微影的積體電路製造的一個目標係藉由使用倍縮掩模在基板上複製原始電路設計,其中倍縮掩模(有時被稱為遮罩/光罩)係可以使用帶電粒子束微影來曝光的表面。積體電路製造商一直在試圖盡可能有效地利用半導體晶圓基板面。工程師不斷縮小電路的尺寸,以使積體電路包含更多的電路元件並使用更少的功率。隨著積體電路臨界尺寸的減小及其電路密度的增加,電路圖案或實體設計的臨界尺寸接近習知光學微影中使用的光學曝光工具的解析度極限。隨著電路圖案的臨界尺寸變小並接近曝光工具的解析度值,將實體設計準確地複製到在抗蝕劑層上顯影的實際電路圖案變得困難。為了進一步使用光學微影來轉印具有小於光學微影製程中使用的光波長的特徵的圖案,已經開發了一種稱為光學鄰近校正(OPC)的製程。OPC會更改實體設計,以補償由諸如光學繞射以及特徵與鄰近特徵之間的光學相互作用等效應引起的變形。用倍縮掩模執行的解析度增強技術包括OPC及反向微影技術(ILT)。
OPC可以將次解析度微影特徵添加到遮罩圖案中,以減少原始實體設計圖案(即設計)與基板上最終轉印的電路圖案之間的差異。次解析度微影特徵與實體設計中的原始圖案相互影響,並相互影響,以補償鄰近效果,從而改善最終的轉印電路圖案。被添加以改善圖案轉印的一項特徵稱為「襯線(serifs)」。襯線係小的特徵,其可以提高精確度或彈性,以適應特定特徵的印刷變化。襯線的一個示例係位於圖案的隅角上的小的特徵,其使最終轉印的影像中的隅角銳化。意欲在基板上印刷的圖案被稱為主要特徵。襯線係主要特徵的一部分。通常會根據以下各者來來論述要寫在倍縮掩模上的OPC裝飾圖案:主要特徵,亦即反映OPC裝飾之前的設計的特徵;以及OPC特徵,其中OPC特徵可能包括襯線、點動、次解析度輔助特徵(SRAF)及負性特徵。OPC特徵受制於各種設計規則,例如基於可以使用光學微影轉印到晶圓上的最小特徵尺寸規則。其他設計規則可能來自遮罩製造製程,或者,若使用特徵投影帶電粒子束寫入系統在倍縮掩模上形成圖案,則可能來自模板製造製程。
SRAF特徵用於改善圖案的轉印。通常情況下,SRAF的表面製造製程所需的精度或準確度低於主要特徵。OPC引入了類似的裝飾作為負性特徵。在較大特徵內部,可能會引入一些狹窄的間隙以增強微影效能。狹窄的間隙比同樣狹窄的線更難可靠地寫入,因此此等負性特徵通常最終成為最難可靠地在表面上寫入的部分。
使用帶電粒子束微影來使表面上的區域中的圖案曝光的方法及系統包括輸入該區域的原始曝光資訊集。基於曝光資訊,針對圖案的區域來計算反向散射。判定該區域的人為背景劑量。人為背景劑量包含其他曝光資訊,並與原始曝光資訊集組合以建置修改的曝光資訊集。
本發明描述了用於藉由在該區域中投射人為背景劑量來減少在相對低密度的曝光區域中曝光射束或形狀所需的劑量來減少帶電粒子束寫入時間的方法。
帶電粒子束微影的成本直接與在諸如倍縮掩模或晶圓的表面上曝光圖案所需的時間直接相關。按照慣例,曝光時間與寫入圖案所需的曝光量有關。對於最複雜的積體電路設計,在一組倍縮掩模上或在基板上形成一組層圖案係昂貴且費時的製程。因此,能夠減少諸如在倍縮掩模及其他表面上形成此等圖案所需的曝光,例如藉由減少寫入其所需的時間,將為有利的。
現在參考附圖,其中相同的附圖標記係指相同的項目。圖1示出了微影系統的實施例,例如帶電粒子束寫入器系統,在此情況下係電子束寫入器系統100,其採用可變形狀束(VSB)來製造表面112。電子束寫入器系統100具有電子束源114,其向孔隙板118投射電子束116。板118上形成有孔隙120,孔隙120允許電子束116通過。一旦電子束116通過孔隙120,它就被透鏡系統(未示出)作為電子束122引導或偏轉到另一個矩形孔隙板或模板遮罩124。模板124中形成有許多開口或孔隙126,其定義各種簡單形狀(例如矩形及三角形)。形成在模板124中的每個孔隙126可用於在諸如矽晶圓、倍縮掩模或其他基板的基板134的表面112中形成圖案。電子束130從孔隙126之一射出,並穿過電磁或靜電減小透鏡138,其減小了從孔隙126中射出的圖案的尺寸。在通常使用的帶電粒子束寫入器系統中,減小係數在10與60之間。減小電子束140從減小透鏡138射出,並藉由一系列偏轉器142引導到表面112上,作為圖案128。表面112塗佈有與電子束140反應的抗蝕劑(未示出)。電子束122可以被引導成與孔隙126的可變部分交疊,從而影響圖案128的尺寸及形狀。遮蔽板(未示出)可以用於使束116或成形的束122偏轉,以使得當引導光束122的透鏡及偏轉器142被重新調節以用於隨後的發射時,在每次發射之後的一段時間內,防止電子束到達表面112。模板124本身可以藉由使光束116偏轉以撞擊模板124的未開口部分而充當偏轉器。通常,定位遮蔽板以使電子束116偏轉以防止其照射孔隙120。遮蔽期可為固定的時間長度,亦可以根據例如必須針對後續射束之位置來對偏轉器142進行重新調整的程度而變化。請注意,VSB系統可以被認為是特徵投影的一種特殊(簡單)情況,其中特徵僅為簡單的特徵,通常為矩形或45度直角三角形。亦可以部分曝光特徵。例如,這可以藉由阻擋部分粒子束來完成。在本發明中,部分投影用於表示特徵投影及VSB投影。
在電子束寫入器系統100中,將基板134安裝在可移動平台或載物台132上。載物台132允許重新定位基板134,以使大於帶電粒子束140的最大偏轉能力或場尺寸的圖案可以在一系列子場中被寫入表面112,其中每個子場都在偏轉器142使束140偏轉的能力之內。在一個實施例中,基板134可為倍縮掩模。在該實施例中,倍縮掩模在經曝光而具有圖案之後經歷各種製造步驟,藉由該等步驟,倍縮掩模成為微影遮罩或光罩。然後可以在光學微影機中使用該遮罩,以將通常尺寸減小的倍縮掩模圖案128的影像投影到矽晶圓上,以產生積體電路。更一般地,該遮罩用於另一器件或機器中以將圖案128轉印到基板(未示出)上。
帶電粒子束寫入器(例如電子束寫入器系統,無論是VSB、CP還是多束機器)的發射劑量隨著此VSB示例中之束源114之強度,以及每個射束之曝光時間而變化。通常,光束強度保持固定,並且改變曝光時間以獲得可變的發射劑量。曝光時間可以變化以補償中程效應校正(MEC)、各種長程效應,例如負載效應校正(LEC)及霧化效應校正(FEC)以及在稱為鄰近效應校正(PEC)的製程中的反向散射。在本發明中,術語PEC用於描述MEC、LEC、FEC及反向散射校正。電子束寫入器系統通常允許設置一個總劑量,稱為基本劑量,該劑量會影響曝光遍次中的所有射束。一些電子束寫入器系統在電子束寫入器系統本身內執行劑量補償計算,並且不允許作為輸入發射列表的一部分將每個發射的劑量單獨分配,因此,輸入發射具有未分配的發射劑量。在此等電子束寫入器系統中,所有發射都在PEC之前具有基本劑量。其他電子束寫入器系統允許逐次分配劑量。在允許逐次分配劑量的電子束寫入器系統中,可用劑量水準的數量可為64至4096或更多,或者可以存在相對較少的可用劑量水準,例如3至8個水準。本發明的一些實施例旨在與允許分配劑量水準的帶電粒子束寫入系統一起使用。
帶電粒子束系統可以用多個可單獨控制的射束或子束來將表面曝光。圖2示出了其中具有三個帶電粒子子束210的電光示意圖。與每個子束210相關聯的是束控制器220。每個束控制器220可以例如允許其關聯的子束210撞擊表面230,並且束控制器亦可以防止子子束210撞擊表面230。在一些實施例中,束控制器220亦可以控制子束210的光束模糊、放大率、大小及/或形狀。在本發明中,具有多個單獨可控子束的帶電粒子束系統稱為多束系統。在一些實施例中,可以細分來自單個源的帶電粒子以形成多個子束210。在其他實施例中,可以使用多個源來建置多個子束210。在一些實施例中,可以藉由一或多個孔隙來形成子束210,而在其他實施例中,可能沒有用於使子束成形的孔隙。每個束控制器220可以允許其相關聯的子束的曝光時段被單獨地控制。通常,在撞擊表面230之前,子束的尺寸將被一或多個透鏡(未示出)減小,該表面通常將被塗佈抗蝕劑。在一些實施例中,每個子束可以具有單獨的電光透鏡,而在其他實施例中,多個子束,包括可能的所有子束,將共用一個電光透鏡。
圖3A-3D示出了各種類型的射束。圖3A示出了矩形射束310的示例。VSB帶電粒子束系統可以例如形成各種x及y尺寸的矩形射束。圖3B示出了CP射束320的示例,其在該示例中係圓形的。任何形狀都可以表示為CP射束,例如八邊形或更複雜的形狀,例如字母E。出於本發明的目的,射束係一段時間內某表面區域的曝光。該區域可以包含多個不連續的較小區域。一個射束可以包括多個其他射束,此等射束可以重疊亦可以不重疊,並且可以同時曝光或可以不同時曝光。射束可以包含指定的劑量,或者該劑量可為未指定的。射束可以使用成形束、非成形束,或成形束與非成形束的組合。
圖3C示出了作為圓形圖案352的陣列的射束350的示例。可以以多種方式形成射束350,包括單個圓形CP特徵的多個射束,作為圓形孔隙陣列的CP特徵的一或多個射束,以及使用圓形孔隙發射的一束或多束射束。圖3D示出了射束360的示例,射束360是矩形圖案362及364的稀疏陣列。射束360可以以各種方式形成,包括多個VSB射束、CP射束以及使用矩形孔隙的一束或多束射束。在多束的一些實施例中,射束360可以包含多個其他多束射束的交錯組。例如,可以同時發射圖案362,然後可以在不同於圖案362的時間同時發射圖案364。
圖4示出了帶電粒子束曝光系統400的實施例。帶電粒子束系統400是多束系統,其中多個可單獨控制的成形束可以同時曝光表面。多束系統400具有電子束源402,該電子束源402產生電子束404。電子束404藉由聚光器406導向孔隙板408,聚光器可能包括靜電及/或磁性元件。孔隙板408具有多個孔隙410,此等孔隙由電子束404照射,並且電子束404穿過該等孔隙以形成多個成形的子束436。因此,多束帶電粒子束系統400包含帶電粒子束源402及孔隙板408,其中孔隙板408包含多個孔隙410,帶電粒子束402源照射該多個孔隙。在一些實施例中,多束帶電粒子束系統包含單個孔隙板408。在一些實施例中,孔隙板408可具有數百個或數千個孔隙410。圖4示出了具有單個電子束源402的實施例,在其他實施例中,孔隙410可以被來自多個電子束源的電子照射。如圖4所示,孔隙410可為圓形的,如圖4所示,或者可以具有不同的形狀,例如如圖1所示的矩形孔隙120。一組子束436然後照射一個遮蔽控制器板432。該遮蔽控制器板432具有多個遮蔽控制器434,每個遮蔽控制器都與一個子束436對齊。每個遮蔽控制器434可以單獨控制其關聯的子束436,從而可以使子束436撞擊表面424,亦可以防止子束436撞擊表面424。該束撞擊表面的時間量控制著該子束施加的總能量或「劑量」。通常,多束寫入器會掃描要曝光的整個區域。因此,不管形狀計數或形狀複雜度如何,寫入時間都是恆定的。
將基板426放置在可移動平台或載物台428上,可以使用致動器430對其進行重新定位。藉由移動載物台428,光束440可以使用多次曝光或射束對大於由子束群440形成的最大尺寸圖案的尺寸的區域進行曝光。在一些實施例中,載物台428在曝光期間保持靜止,然後被重新定位以用於隨後的曝光。在其他實施例中,載物台428以可變速度連續移動。在其他實施例中,載物台428以恆定的速度連續移動,這可以增加載物台定位的精度。對於載物台428連續移動的彼等實施例,可以使用一組偏轉器(未顯示)來移動光束以匹配載物台428的方向及速度,從而允許子束群440在曝光期間相對於表面424保持靜止。在多束系統的其他實施例中,子束群中的各個子束可以獨立於子束群中的其他子束在整個表面424上偏轉。
其他類型的多束系統可以建置多個未成形的子束436,例如藉由使用多個帶電粒子束源來建置高斯子束的陣列。儘管根據帶電粒子束微影描述了本發明,但是所描述的方法亦可以應用於雷射微影,特別是多束雷射微影。
在所有高精度eBeam寫入器中,劑量是藉由控制曝光時間來控制的,而不是藉由動態改變光束的電流密度來控制的。藉由實踐,可以理解,與電流密度相比,可以更精確地控制曝光時間。因此,與低劑量曝光相比,藉由增加光束開啟時間可以實現高劑量曝光。可以想像eBeam寫入器具有可變的電流密度。若藉由控制曝光時間至少部分地實現劑量控制,則本發明的本實施例係適用的。可以使用遮蔽控制器434分別控制子束群中每個子束436的曝光時間。因此,多束寫入時間由需要施加的最大劑量判定。在載物台針對每個子束群(例如,一條條紋)停頓的寫入器中,最高劑量的子束會影響整個條紋的曝光時間。在具有恆定速度載物台的寫入器中,整個設計的速度可能是恆定的,而整個設計可能為倍縮掩模的子集、整個倍縮掩模或晶圓或任何其他表面,或者在一段時間內保持部分恆定。因此,條紋中最高劑量的子束可以判定載物台速度,從而判定整個設計或整個表面的寫入時間。即使在變速載物台中,因為載物台很重,所以為了提供穩定性,速度亦不會太快,而加速或減速會消耗大量能量,並且是振動及模糊的來源。
在高級處理節點中,與為了具有商業價值而足夠快地印刷遮罩的典型抗蝕劑的正向散射及抗蝕劑模糊的典型組合模糊半徑相比,需要精確地寫在遮罩上的特徵的尺寸小3倍。在典型的前沿遮罩中,寬度小於60 nm的次解析度輔助特徵(SRAF)會寫在遮罩上,組合的模糊範圍在20 nm以上。在用於EUV微影的遮罩上,通常期望在遮罩上普遍需要約30nm寬度的SRAF。在產生遮罩形狀的光學鄰近校正(OPC)或反向微影技術(ILT)步驟中,若可以精確地印刷較小的形狀(例如SRAF),則可以進一步提高晶圓效能。但是,至關重要的是,由OPC/ILT生成的遮罩形狀實際上可以在遮罩製程變化的情況下在遮罩上可靠地生成。建立代表折衷的遮罩設計規則,例如遮罩上的最小允許的特徵寬度及最小允許的特徵間距。OPC/ILT需要確保輸出符合遮罩設計規則。遮罩製造商需要生產在相對於特定的約定規範的製造變化的情況下均嚴格遵守遮罩設計規則的遮罩。較窄的形狀更難寫在遮罩上。小正方形形狀是最難寫的。但是,由於小正方形形狀對晶圓效能的影響較小,因此,在前沿遮罩處理中,準確寫入窄SRAF的需求非常重要。
在相同遮罩上存在較大其他形狀的情況下,在遮罩上寫入如此小的形狀通常是藉由劑量調製來完成的。由於總的遮罩寫入時間係遮罩成本的主要驅動因素之一,因此選擇其靈敏度足以實現較大「主要」特徵之所需精度的抗蝕劑,以表示精度-速度/成本之間的權衡。但是,亦需要準確完成SRAF及其他較小形狀的寫入,並且一種常見的技術係增強(即增加)或部分增強SRAF的劑量,以使其更好地印刷。
在工業中,增加正常劑量的量通常可以從正常劑量的1.2倍到正常劑量的3倍變化,儘管劑量可為正常劑量的任何倍數。更多的增強會導致更長的寫入時間,但會導致更精確地印刷較小的形狀。圖5A-5B示出了足夠大的形狀502及其劑量概況(即,劑量曲線)512與較小的SRAF形狀506及其劑量概況522之間的差異,兩者均以分別沿著線504及508透過形狀502及506之發射輪廓來測量的正常劑量來發射,並且具有由線514指示的相同抗蝕劑臨限值。形狀502及506被分解以表示具有比其寬度長得多之長度的矩形形狀,其中使用正常劑量來將每個形狀發射在抗蝕劑上,該抗蝕劑具有正常劑量一半的臨限值。低於抗蝕劑臨限值的劑量將不會印刷。
在VSB機器中,射束輪廓係被引導至寫入器的eBeam投影的形狀。在多束機器中,射束概況係被引導至寫入器的所需形狀的形狀,從而導致對像素進行光柵化並根據用於繪製形狀的像素使用各種劑量的eBeam投影。為了簡化理解,在本發明中,對劑量概況進行繪製及解釋,如同機器為VSB一般,但是實施例亦可以應用於多束。在多束機器中,對像素的光柵化進一步使劑量概況複雜化,並且取決於形狀相對於像素網格的位置。對於本發明的概念的理解,多束寫入的此等額外的複雜性係不相關的。
對於足夠大的形狀,劑量概況512在正常劑量下達到平穩。即使形狀502的寬度較大,該平台亦處於相同劑量。對於形狀506所示的較小形狀,沒有足夠的能量來使劑量概況的峰值達到正常劑量。劑量概況522的形狀不具有平台。另外,劑量概況522在與形狀506的寬度相同的位置處沒有越過抗蝕劑臨限值514。因為沒有足夠的能量,所以抗蝕劑上的曝光形狀將比所需寬度窄。
形狀502及506的左邊緣的劑量裕度分別由邊緣斜率516及528指示。斜率528比斜率516淺。形狀506越窄,劑量概況越低,斜率528將變得越淺。一旦形狀502足夠寬以使劑量概況512撞擊平台,則較寬的形狀將不會改變斜率516。較淺的斜率具有較差的劑量裕度,這意味著作為形狀寬度的臨界尺寸(CD)容易在一定劑量變化的情況下會有更多變化。術語劑量裕度在此描述了由一組帶電粒子束髮射定義的圖案對所有類型的製造偏差(包括劑量相關偏差)的耐受度。更好的劑量裕度表明更高的耐受性。對於熟習此項技術者而言,通常應理解,對劑量變化的適應性是許多製造變化來源的良好替代。為了提高如劑量裕度所指示的對製造變化的適應性,一種習知方法是對於較小的形狀使用高於正常劑量的基本劑量,例如圖5C的劑量概況532所示。在該示例中使用大於1.0的基本劑量,在該示例中選擇劑量以使由與抗蝕劑臨限值514交叉的劑量概況532的點之間的距離表示的CD為目標形狀506的所需寬度。工業上使用劑量調整及形狀調整的許多組合。圖5C係僅部署劑量調整的一個示例。在該示例中,一旦針對形狀506計算了劑量,就可以藉由計算劑量概況532與臨限值514交叉的斜率538來測量劑量裕度。注意,邊緣斜率538比邊緣斜率528好(即,具有更陡的斜率),但仍可能比邊緣斜率516差。
由於對比度,劑量裕度對於小特徵的可印刷性亦很重要。對比度為在曝光區域的內部緊鄰區域中與在曝光區域的外部緊鄰區域中施加到抗蝕劑的能量數量之差。半導體遮罩處理中的緊鄰區域可能是幾奈米到幾十奈米。因為劑量概況係所關注的長度尺度上的連續函數,所以劑量裕度及對比度彼此高度相關。對比度不足會使形狀無法分辨。減小可以用給定的抗蝕劑可靠分辨的形狀的最小尺寸對於遮罩製造的經濟性很重要。
亦有另一個因素影響需要由eBeam寫入器直接投射以在表面上印刷形狀的劑量。其為所謂的鄰近效應校正(PEC),用於校正藉由電子束「發射」在關注區域周圍投射的電子的反向散射。圖6A-6B示出了對應於PEC之後圖5A-5B的發射的所得劑量。在圖6A中,由形狀502及其周圍的發射所投射的電子的反向散射,通常在距發射約10 μm的距離之內,由表示反向散射618的虛線指示。若周圍區域密集地填充有曝光,則反向散射618會更高。若周圍區域稀疏地填充,則反向散射618將較低,可能接近零。如通常所實踐的,PEC迭代地優化對於足夠大的形狀502的施加劑量,使得施加到射出形狀502的劑量使得形狀502的寬度被準確地印刷,儘管由反向散射貢獻了額外的劑量亦如此。曝光的校正劑量概況612(不包括反向散射)具有比劑量概況512少的劑量。邊緣斜率616比邊緣斜率516淺。從相鄰區域接收的反向散射量較大,會使劑量裕度變差。圖6B示出了應用於較小形狀的相同PEC,例如用於SRAF。由於通常以相同的方式將PEC應用於所有尺寸的所有形狀,因此與劑量概況522相比,劑量概況622的幅值甚至更小(不包括來自反向散射的基本劑量,如反向散射618的水平虛線所示)。因此,邊緣斜率628甚至比邊緣斜率528淺,並且基本上比邊緣斜率616淺,使得劑量裕度變差,並且此等形狀更容易受到製造變化的影響。圖6C示出了圖5C中描述的發射的PEC後劑量概況,其中增加劑量以滿足所需的臨界尺寸(CD)。邊緣斜率638比PEC之前的邊緣斜率538更淺(更差),但是比正常劑量的PEC之後的邊緣斜率628更好。
在一些實施例中,提供足夠的劑量裕度包括增加劑量以使PEC後劑量在預設極限內(例如正常劑量的0.7至1.3倍),並進行線性校正以確保形狀的大小為正確的。
反向散射係由諸如電子之類的帶電粒子引起的,該帶電粒子在與抗蝕劑及抗蝕劑下面的材料碰撞之後「反彈」回去。反向散射的有效半徑可能為10微米,比射束的尺寸大得多。因此,在該射束有效半徑內,附近射束的所有反向散射都會在射束位置增加劑量。若不進行校正,反向散射可能與射束的預期劑量相比,會給射束增加更多的劑量,從而導致圖案更寬地配準-在高曝光密度的區域中更是如此。
圖7A-7G示出了由PEC施加的迭代序列,以校正用於發射每種形狀以校正如此項技術中已知之反向散射效應的劑量。圖7A示出了矩形射束702的輪廓的示例。中斷顯示,射束702在垂直方向上可以任意長。出於說明目的,在本例中,假設習知的遮罩生產製程,假定射束702的寬度,亦即「g」與「h」之間的距離在100 nm至300nm之間,大大小於假定的約10 μm的反向散射範圍。多束機器的發射尺寸通常為5-20 nm。在多束機器的情況下,將射束組合在一起可以發射出諸如射束702之類的形狀。反向散射以與射束集相同的方式應用於單個射束,並且以相同的方式應用PEC校正。圖7B所示為劑量圖710的示例,該圖說明瞭在使用正常發射劑量的情況下沿線704的發射概況702的劑量,幾乎沒有反向散射。亦假定其他長程影響對圖7B的背景曝光沒有貢獻,導致接近零的背景曝光水準。遞送到抗蝕劑的總劑量在y軸上示出,並且是正常劑量的1.0倍。由於背景曝光接近於零,因此總劑量及發射劑量幾乎相同。劑量圖710亦顯示了正常劑量一半的抗蝕劑臨限值714。劑量圖710在x方向上表示的形狀的CD變化與劑量曲線(即劑量概況)712在x座標「g」及「h」處與抗蝕劑臨限值714相交的斜率成反比。在臨限值714處劑量曲線712的邊緣斜率716圖示為直角三角形的斜邊。
圖7B背景曝光接近零的條件不能反映實際設計。實際設計通常會在射束702的反向散射距離內具有許多其他射束。圖7C示出了具有50%的曝光密度導致的反向散射728的正常劑量的射束的劑量圖720的示例。在劑量圖720中,劑量曲線722除了背景曝光(反向散射728)以外,亦說明了發射702的橫截面劑量。劑量曲線722在臨限值714處的邊緣斜率726顯示為直角三角形的斜邊。曲線722的CD變化比曲線712的CD變化更大(更差),這是由與邊緣斜率716相比,較淺的邊緣斜率726所表明的,其中曲線722與抗蝕劑臨限值714相交於點「g」及「h」之外。較淺的邊緣斜率726是由於抗蝕劑臨限值與劑量曲線的下部相交,其中劑量裕度由於反向散射728引起的背景曝光而變得更差。劑量曲線722在抗蝕劑臨限值714處的交點比點「g」及「h」更寬指示印刷的CD將大於所需的尺寸。反向散射728「預曝光」抗蝕劑,因此在存在反向散射728的情況下以正常劑量來發射劑量曲線722會導致印刷的CD大於所需的大小。
圖7D示出了PEC之後的新劑量曲線732。臨時劑量圖725仍顯示了50%的反向散射728,但具有減小的劑量曲線732,計算得出的結果是,在當前反向散射下,劑量曲線732與抗蝕劑臨限值714的交點恰好在「g」及「h」處測量。執行PEC第一次迭代以導致校正由於反向散射而產生的過劑量。在該迭代中,假定反向散射量與反向散射728相同,因為在對所有周圍的射束執行PEC之後無法知道新的反向散射量。PEC計算減小了施加到發射702的劑量,使得抗蝕劑臨限值714在「g」及「h」處與劑量概況732相交。劑量曲線732在臨限值714處的邊緣斜率736顯示為直角三角形的斜邊。注意,斜率736比斜率716淺,因為PEC使劑量裕度變差。
圖7E的劑量圖730說明了為什麼PEC需要多次迭代。當且僅當反向散射738與反向散射728相同時,如圖7D所示執行的PEC計算才精確擊中「g」及「h」。但是由於對所有射束都執行了PEC,因此反向散射738將減少,因為圍繞該射束的所有射束都將應用PEC導致每次發射的劑量減少(因為該發射的劑量從曲線722減少到曲線732)。新的反向散射在圖7E中指示為反向散射738。反向散射738比反向散射728低。可以看出,反向散射的減小導致配準圖案尺寸小於原始射束702的期望尺寸。
PEC的第二迭代藉由用這個新的(減少的)反向散射量(反向散射738)重新計算PEC來對此進行校正。現在在較低的反向散射的情況下,需要藉由增加劑量來增強劑量概況732,以擊中目標「g」及「h」,以印刷具有正確CD的形狀(例如射束702)。
如圖7F的劑量圖740所示,在設計的所有形狀上增加PEC的劑量導致產生高於劑量曲線732的劑量概況742。隨後,在調整附近的所有其他形狀之後,將產生比反向散射738更高的反向散射748。PEC的第三次迭代藉由使用此新的(增加的)反向散射量再次重新計算PEC來對此進行校正。此等迭代交替地繼續,直到如圖7G的劑量圖750中的劑量水準758所示的反向散射量與劑量概況752在預定公差內會聚為止,從而正確地在臨限值714處產生期望的原始發射702的大小。然後,會聚的劑量被用於在遮罩上投射各個形狀,從而作為PEC結果導致所有形狀的反向散射校正劑量組。
圖8A-8B示出了PEC如何影響隔離形狀的示例。在圖8A中,形狀810及815係在沒有其他曝光佔據的區域中的隔離圖案。兩種形狀的初始劑量可以計算為正常劑量的1.0倍。圖8B示出了在PEC之後計算出的劑量仍然大約是正常劑量的1.0,由於除了形狀810及815造成的反向散射之外,沒有周圍的反向散射,因此實際上不受影響。
圖8C-8D示出了PEC如何影響50%曝光密度區域中的形狀的示例;即,其中50%的區域被圖案覆蓋。在圖8C中,以重複的線及間隔圖案示出了形狀820、822、824、826及828,其中劑量形狀及其之間的間隔在寬度上相等,並且該線及間隔圖案在所有方向上以10 μm之有效半徑重複。每種形狀的初始劑量可以計算為正常劑量的1.0倍。作為示例,圖8D示出了由於來自相鄰形狀的周圍反向散射,在PEC期間,對於重複圖案中的所有形狀,劑量減小到正常劑量的0.67。
圖8E-8F示出了PEC如何影響高密度區域中的形狀的示例。在圖8E中,示出了形狀830、832及834,其中形狀832是所關注的形狀。形狀830及834是較大的曝光區域,其寬度在寬度上大於10 μm之有效半徑,並且圖案在上方及下方延伸超過10 μm之有效半徑。每種形狀的初始劑量可以計算為正常劑量的1.0倍。圖8F示出了由於在PEC期間來自相鄰形狀之周圍反向散射,對於形狀832,劑量減小到例如正常劑量的0.5。PEC在數學上減少了每次曝光的劑量恰好合適的量以使CD等於在沒有足夠大形狀之反向散射的情況下的CD。因此,PEC有效地消除了反向散射的影響。反向散射始終為正值。因此,PEC始終會減少劑量以進行補償。
因為PEC藉由「拉平」高斯來運作,所以在高反向散射區域中曝光形狀所需的劑量明顯更少。具有高反向散射的區域(形狀被高劑量密度包圍)與不具有反向散射的區域(形狀被低劑量密度包圍)之間所需劑量之差可以達到2倍或更多。下式給出了用於PEC計算的第一次迭代的具有正常劑量的像素或射束的修改劑量Dpec
Figure 02_image001
在正常劑量的0.5的抗蝕劑臨限值處,Temp 是在抗蝕劑臨限值處的正向散射分數,而eta
Figure 02_image003
是歸一化常數。在Temp 為0.5,eta
Figure 02_image003
為0.5及曝光密度為100%的情況下,Dpec 計算為0.5。若將SRAF指定為以正常劑量的兩倍(2.0倍)來發射,但是處於具有較高反向散射的高密度區域中,則在PEC計算的第一次迭代後,像素或射束最終將為正常劑量的1.0倍,即0.5*(2.0)=1.0。
這是因為該區域大部分曝光於來自反向散射的能量。因此,僅需要從該像素或發射中獲取能量的一小部分即可投射足夠的能量,以達到曝光抗蝕劑的臨限值。
反向散射幾乎為零的區域每次發射的電子束劑量最大。因此,在恆定的寫入時間多束寫入器中,整個機器的寫入時間是藉由寫入那些具有最高增強劑量的隔離圖案來調整的,通常適用於諸如SRAF的狹窄形狀。典型的測試遮罩具有與低密度區域中之圖案混合的高密度區域中之圖案。典型的生產遮罩的變化要小得多。一些遮罩具有全部密集的圖案(例如平均70%的曝光密度)。其他遮罩的圖案都很稀疏(例如,平均25%的曝光密度)。但是,由於許多生產遮罩在其中結合了一些測試圖案,因此無法保證低變化。無論如何,特別是對於恆定的寫入時間寫入器而言,多束寫入速度是由在反向散射投射較少的環境中結合了高增強劑量的像素決定的。在較小的程度上但仍然很重要的是,可變寫入時間寫入器(例如VSB寫入器以及可能的多束寫入器)的寫入速度取決於在反向散射較少的環境中結合了高增強劑量的射束。人為背景劑量
在一些實施例中,將人為背景劑量引入原本就較低的反向散射的區域中,以減少像素或發射劑量,從而減少總體寫入時間。結果,像素或射束在PEC之後將具有較低的劑量,從而減少了遮罩或遮罩的一部分的像素或射束的最大值,增加了載物台移動速度,從而顯著減少了總體寫入時間。
圖9A至圖9B示出了根據一些實施例的引入人為背景劑量如何可以模擬類似於圖8C及8D的50%密度。圖9A示出了與圖8A類似的隔離形狀910及915,但是增加了以913形狀之形式的人為背景劑量。對於910及915形狀而言,初始劑量被設置為與以前一樣的正常劑量的1.0倍,並且對於該示例中之913形狀而言,人為背景劑量為正常劑量的0.30。重要的是要注意,應用於該新形狀913的劑量低於印刷臨限值。圖9B示出了與圖8D中類似的在PEC之後正常劑量的0.67的劑量減少,減少了印刷先前隔離的形狀910及915所需的總劑量。在一些實施例中,形狀913亦減小到正常劑量的0.20。在此簡化示例中,假定形狀913遠離形狀910及915延伸超過了反向散射半徑。在大多數情況下,形狀913的大小將大大減小,從而僅在緊鄰形狀910及915的區域中添加人為背景劑量。圍繞形狀910、913及915的其他形狀的其他此類人為背景劑量將對此等形狀產生實際的反向散射。在本實施例中,當計算形狀910及915的實際PEC後劑量時,使用PEC計算所有此等能量貢獻。在一些實施例中,形狀913的劑量沒有被PEC的所有迭代修改。在一些實施例中,藉由PEC的所有迭代來修改形狀913的劑量。
根據實踐中設定的一些參數,可以將人為背景劑量添加到反向散射足夠低的區域,在此等區域中,PEC後劑量裕度比「足夠好」更佳。圖10A至圖10F示出了人為背景劑量的一些示例,其中所增加的人為背景劑量是以將與期望的圖案組合發射的附加圖案(具有散列填充線的圖案)的形式。人為背景劑量為次臨限值曝光;即,劑量低於印刷所需的抗蝕劑臨限值。圖10A示出了如何能夠將人為背景劑量形狀1001投射在包含要印刷在表面上的期望圖案形狀1000的區域上,以完全覆蓋該區域中的圖案。圖10B示出了除了已經存在期望的圖案形狀1000之外的區域中的人為背景劑量形狀1002,以便不覆蓋該圖案。圖10C示出了在以一定裕度(例如距圖案的邊緣最多3 sigma)圍繞期望的圖案形狀1000的區域中的人為背景劑量形狀1003。對於足夠大的圖案,如在圖10D中的圖案形狀1010,僅在距要印刷在表面上的圖案形狀1010的邊緣超過預定距離的情況下施加人為背景劑量形狀1011及1012。在某些情況下,藉由在特徵(例如邊緣、線端或SRAF)周圍建置裕度,以此方式應用的人為背景劑量可能比僅在各處增加背景曝光更好。替代地,可以將人為背景劑量添加到該區域的任何地方,例如,如圖10E及10F所示,人為背景劑量形狀1004及1005分別部分地覆蓋了期望的圖案形狀1000。
在一些實施例中,在各處限定並施加例如在20%至30%範圍內的預定的人為背景劑量。限定最小目標反向散射量或預定臨限值,例如30%。在一些實施例中,在沒有自然地足夠的反向散射以供PEC減小劑量的情況下,藉由添加人為背景劑量來增加周圍劑量以滿足最小反向散射量。在一些實施例中,藉由預先計算由人為背景劑量貢獻的附加反向散射,由人為背景劑量貢獻的附加反向散射可以或可以不計入要添加的人為背景劑量的量中。本文所述的實施例係實例,並且添加人為背景劑量的其他變化亦為可能的。在一些實施例中,最小反向散射量將具有內置的裕度,以顧及由人為背景劑量產生的附加反向散射。由於反向散射量在性態上在數學上是線性的,因此可以獨立於任意給定區域中的發射劑量計算由人為背景劑量增加的反向散射量。在一些實施例中,總遮罩區域將被細分為某一尺寸或多個尺寸的分區,並且為每個分區判定人為背景劑量,其中每個分區在該分區內具有一個人為背景劑量,但是不同的分區可能具有不同的人為背景劑量。通常,PEC是在粗糙的網格(例如50 nm網格或300 nm網格)上計算的。對於每個網格或分區,都會計算PEC調整。在一些實現中,基於所計算的針對相鄰分區的PEC調整來內插針對分區內的任何位置的PEC調整。在一些實施例中,在與用於PEC的網格相同的網格上完成人為背景劑量的計算。在此實行方案中,將每個PEC網格中的接收到的反向散射量與指定的最小目標反向散射量進行比較,以判定為PEC網格覆蓋的區域提供的人為背景劑量。在一些實施例中,例如基於相鄰分區的人為背景劑量,將單個分區內任何位置的人為背景劑量內插在整個分區上。隨後的PEC步驟將減少所論述的射束/像素的劑量,從而減少該射束/像素的寫入時間。對遮罩或遮罩的一部分上的所有射束/像素執行此操作會減少劑量,從而減少遮罩的該部分的寫入時間。隨後的PEC步驟考慮到添加人為背景劑量以及人為背景劑量造成的任何附加反向散射。PEC以與PEC一直對於自然反向散射起作用的方式完全相同的方式來調整遮罩上所有射束的射束劑量,以調整所有CD以達到目標。調整後射束的劑量裕度比添加人為背景劑量之前差。但是用戶調整的最小反向散射參數允許劑量裕度保持在針對特定遮罩製程判定的可接受的劑量裕度之內。
使用習知的VSB機器,投射任何種類的劑量(無論劑量小到何種程度)都需要單獨的發射及分開發射之遮蔽時間。由於遮蔽時間通常與正常劑量下的曝光時間大致相同,因此在正常劑量下,發射時間的合理一階近似值可採用2個時間單位。以此近似方法,一次10%的劑量射束將耗費1.1個時間單位。由於寫入時間對於優化成本及遮罩產率至關重要,而且由於已經發現複雜的前沿遮罩寫入時間太長,因此在原本沒有射束的地方增加人為背景劑量在商業上是不可行的。但是,由於在VSB遮罩寫入器中通常是可變速度的遮罩載物台很重,因此遮罩載物台只能逐漸改變速度,因此降低峰值劑量密度可以幫助減少VSB機器的寫入時間。
特別是對於VSB機器,本發明的一些實施例包括在遮蔽時間期間有目的地洩漏一些eBeam能量,從而產生人為背景劑量。不需要精確控制洩漏eBeam投射的確切位置。由於反向散射是10 μm半徑範圍內的大規模效應,因此對位置的nm級控制並不重要。可以精確計算遮蔽時間期間的洩漏量及洩漏時間。此計算可以計算eBeam行進的路徑,並避免將洩漏投射到需要精確控制的任何圖案邊緣附近(即,在組合的前向模糊範圍內)。
用於最先進技術節點的表面寫入通常涉及帶電粒子束寫入的多個遍次,該製程稱為多遍次曝光,由此對倍縮掩模上的給予形狀進行寫入及覆蓋。通常,使用兩到四遍來寫入遮罩,以使帶電粒子束寫入器中的精度誤差最終得到平衡,從而可以建置更精確的光罩。同樣通常,每個遍次的射束列表(包括劑量)都是相同的。在多遍次曝光的一種變型中,曝光遍次中的射束列表可能會有所不同,但任何一次曝光中的射束集合都覆蓋同一區域。多遍寫入可以減少塗佈表面之抗蝕劑的過熱。多遍寫入亦可以使帶電粒子束寫入器的隨機誤差最終得到平衡。對不同的曝光遍次使用不同的射束列表進行多遍寫入亦可以減少寫入製程中某些系統錯誤的影響。在一些實施例中,在VSB及多遍寫入中,僅一個或一些寫入遍次投出人為背景劑量。換言之,在一些實施例中,原始曝光資訊集包括用於多個曝光遍次的資訊,並且其中僅在一個曝光遍次中添加人為背景劑量。由於精確度對於人為背景劑量並不重要,因此此舉為足夠的,並且藉由不影響所有遍次來節省寫入時間。由於無需針對人為背景劑量的額外寫入時間,其他遍次具有減小的像素峰值劑量或減小的區域峰值劑量密度,例如在圖10A中所示的實施例中。
在一些實施例中,相對隔離的圖案被人為背景劑量有目的地包圍,從而導致反向散射增加。PEC,亦即對反向散射的校正,藉由減少像素或射束劑量來實現–在曝光密度較低的情況下減少較少,而在曝光密度較高的情況下減少較多。但是,降低劑量會使劑量裕度惡化。
可接受的劑量裕度可以由劑量減少引起的尺寸變化量判定。在一個實施例中,可以使用較大的圖案及減小的劑量以可接受的水準來發射以正常劑量曝光的隔離圖案,而無需增加人為背景劑量。
例如,在使用帶電粒子束微影來曝光表面上的重複圖案時,由於製造差異,在最終製造的表面上測量的每個圖案實例的尺寸將略有不同。尺寸變化量是基本的製造優化標準。太多的變化,尤其是對於最小尺寸的特徵,可能會導致完全不印刷該形狀,從而導致電路故障。製造差異,例如線邊緣粗糙度及拐角修圓,亦將出現在表面上的實際圖案中。另外,更多的尺寸變化會導致電路效能的更多變化,從而導致需要更高的設計裕度,從而越來越難以設計更快、功耗更低的積體電路。此變化稱為臨界尺寸(CD)變化。期望低的CD變化,特別是跨遮罩的所有形狀的一致較低CD變化,並且表明製造變化將在最終製造的表面上產生相對小的尺寸變化。在較小的規模上,高CD變化的影響可能會以線邊緣粗糙度(LER)的形式觀察到。LER的產生是由於線邊緣的每個部分的製造方式略有不同,導致預期具有直邊緣的線中有些起伏。CD變化與劑量曲線在抗蝕劑臨限值處的斜率成反比,這稱為邊緣斜率。因此,邊緣斜率及其倒數(劑量裕度)是粒子束寫入表面的關鍵優化因素。在本發明中,邊緣斜率及劑量裕度是可互換使用的術語。
在一些實施例中,方法包括藉由使用滿足目標水準的邊緣斜率來建議適當量的人為背景劑量;亦即,邊緣斜率「足夠好」。由於對製程變化的適應力是一個統計概念,因此「足夠好」的邊緣斜率並不是嚴格不等性的精確表達。在本方法的一些實施例中,判定人為背景劑量的量,其中邊緣斜率在「足夠好」水準之上。例如,可以對特定的遮罩製造製程進行調整,以針對在75%的曝光密度區域中寫入100 nmx2 μm的線產生可靠的製造結果,從而產生較大的反向散射,因此具有最少PEC後的射束/像素劑量,因此具有相對較淺的邊緣斜率。在某些實施例中,該PEC後線的邊緣斜率被指定為「足夠好」。雖然會有其他形狀,例如在75%的密度區域中寫入40 nmx200 nm的空間,但邊緣斜率更差,遮罩製造製程可能會判定那裡的邊緣斜率「不夠好」,但在經濟性、時間及製造可靠性的整體權衡中仍然可以製造。對於本實施例,「足夠好」的邊緣斜率的功能是要指出,與對製造變化的適應性的增加好處相比,比「足夠好」更佳要花費更多的時間來投射該劑量。因為傳統的教導是使邊緣斜率最大化,所以將邊緣斜率減小到小於最佳水準(即低於目標水準)是看似違背常理的。
在一些實施例中,人為背景劑量是自動計算的。在一個實施例中,可以計算出人為背景劑量以達到可接受的對比度水準(對比度降低)。在另一個實施例中,可以計算人為背景劑量以實現具有高於目標最小值的邊緣斜率的劑量裕度。最小可接受邊緣斜率可以藉由計算在預定反向散射區域中的預定圖案的預定邊緣位置處的邊緣斜率來判定。
在一些實施例中,在PEC之前添加人為背景劑量以便藉由在PEC期間減少劑量(其中具有減小的劑量的邊緣斜率可能低於目標水準),從而減少寫入時間。在一些實施例中,目標或「足夠好」的水準可以藉由模擬在製造製程中已知對於生產目的可足夠好地起作用的特徵的邊緣斜率來計算。例如,對於前沿遮罩製造,當在PEC之前以正常劑量曝光時,使藉由100 nm寬的間隔來隔開的100 nm寬的導線的重複圖案穩定。在100 nm的線條及間隔圖案的情況下,對100nm寬的線條的邊緣斜率進行模擬可以認為是「足夠好」的劑量裕量。為了計算人為背景劑量,100nm的線條及間隔圖案在周圍區域的曝光密度為50%。由50%的曝光密度得出的自然反向散射被計算為最小反向散射量。使區域中已經存在的自然反向散射的加上一定數量的人為背景劑量的總和均勻分佈以使整個背景曝光達到整個遮罩上均勻的最小反向散射。儘管50%的曝光密度可能不會在PEC之後產生最差的可接受劑量裕量,但出於計算最小可接受劑量的數量(從中計算人為背景劑量)的目的,可以將其聲明為待達成的良好實用目標。
圖11係此項技術中已知的用於使用帶電粒子束微影來製備諸如倍縮掩模或其他表面的表面的概念流程圖1100。在第一步驟1102中,建置實體設計,例如積體電路的實體設計。這可以包括判定邏輯閘、電晶體、金屬層以及在實體設計(例如積體電路的實體設計)中需要找到的其他項目。接下來,在步驟1104中,為步驟1102的實體設計或在晶圓上建置遮罩設計1106期望的實體設計的一部分上判定光學接近度校正(OPC)。OPC計算在各種製程變化的情況下最佳生產所需晶圓形狀所需要的遮罩形狀。整個製造製程中。OPC改變實體設計以補償由諸如光繞射及特徵與鄰近特徵的光學相互作用之類的效應所引起的失真,從而產生遮罩設計1106。在步驟1108中,遮罩資料準備(MDP)操作可以包括壓裂操作、射束放置操作、劑量分配操作或射束序列優化。在用於多束遮罩寫入的一些實施例中,MDP步驟1108可以包括生成多個多束髮射,每個多束髮射包括一或多個子束,其中判定劑量區域並且將劑量分配給每個劑量區域中的子束。在一些實施例中,子束劑量可以在劑量區域內變化。
在步驟1118中執行接近效應校正(PEC)改進,其中調整劑量以解決反向散射、霧化及負載效應,並在步驟1120中使用調整後的劑量建置曝光資訊。步驟1120的曝光資訊中的調整劑量用於在遮罩寫入步驟1122中生成表面,該遮罩寫入步驟使用帶電粒子束寫入器,例如電子束寫入器系統。取決於所使用的帶電粒子束寫入器的類型,PEC改進1118可以由帶電粒子束寫入器執行。遮罩寫入步驟1122可以包括單次曝光遍次或多次曝光遍次。電子束寫入器系統將電子束透過模板或孔隙板投射到表面上,以在表面上形成包括圖案的遮罩影像1124。然後可以在諸如步驟1126所示的光學微影機中使用完成的表面,例如倍縮掩模。
圖13示出了根據一些實施例的表示用於使表面上的區域中的圖案曝光的方法的流程1300,在該區域中計算並施加了人為背景劑量。在步驟1305中,輸入圖案的原始曝光資訊集。在一些實施例中,原始曝光資訊集是像素及其劑量的集合。在另一個實施例中,原始曝光資訊集是一組形狀,可能包括曲線或完全非多邊形的描述(如圓形或樣條線)。在又一個實施例中,原始曝光資訊集是具有指定或隱含的PEC前劑量的一組射束(例如VSB)或具有指定或隱含的PEC前劑量的一組形狀(例如,多束寫入)。通常,步驟1305中的輸入是描述形狀或劑量的任何其他內容。
在步驟1310中,基於原始曝光資訊集來計算反向散射。在一個實施例中,步驟1310中的反向散射的計算是對PEC的初始判定,其建置反向散射的初始圖。PEC是一個迭代製程,在存在反向散射的情況下,可以調整劑量以印刷所需的尺寸。最初PEC測定得出的反向散射的初始圖是較高及較低劑量密度區域的良好相對指示。在一些實施例中,在步驟1312中識別計算的反向散射低於預定臨限值的區域。
在步驟1314中進行的計算判定了人為背景劑量,其中人為背景劑量包括附加的曝光資訊。在一些實施例中,在步驟1312中判定的計算出的反向散射低於預定臨限值的區域中執行步驟1314。在一些實施例中,僅在計算出的反向散射低於預定臨限值的情況下,步驟1314才添加人為背景劑量,即人為背景劑量是預定臨限值與計算出的反向散射之間的差。在一些實施例中,在步驟1314中,針對該區域中的期望圖案計算劑量裕度或邊緣斜率,並且判定人為背景劑量以對於該區域中的期望圖案維持「足夠好」的邊緣斜率或對比度。在一些實施例中,步驟1314添加人為背景劑量以在滿足某些標準的區域中為圖案維持「足夠好」的邊緣斜率,例如對於「足夠好」的邊緣斜率的最小主觀尺寸。例如,SRAF的較小尺寸形狀可以免除「足夠好」的邊緣斜率。作為另一個示例,SRAF的較小尺寸的形狀可以具有不同的「足夠好」的邊緣斜率,以在判定要添加的人為背景劑量時滿足。在一些實施例中,此等計算可以導致以次臨限值曝光來建置包括附加圖案的人為背景劑量,使得該附加圖案將不會被印刷。在一些實施例中,附加圖案的計算及建置在圖11的流程1100的MDP步驟1108之後發生,其中附加圖案在幾何上與圖11的流程1100的遮罩設計1106中的期望圖案重疊。可藉由圖11的流程1100的鄰近效應校正(PEC)細化步驟1118來讀取步驟1316中的修改後的曝光資訊集,該修改的曝光資訊集包含與附加曝光資訊(即,附加圖案)組合的原始曝光資訊集,在步驟1120中產生包括人為背景劑量並且亦可以包括由人為背景劑量引起的反向散射的曝光資訊。在一些實施例中,與原始曝光資訊集相比,修改後的曝光資訊集減少了總體寫入時間。在一些實施例中,經修改的曝光資訊集藉由PEC進行改進,從而產生經修改的曝光資訊集的調整劑量。然後在圖11的1124中使用曝光資訊來曝光表面。在一些實施例中,像素或發射劑量被修改以添加人為背景劑量。在一些實施例中,像素或射束在原本為空的區域(以零劑量開始的像素)中投射人為背景劑量,對於各像素而言,其劑量為相對相似的,例如在3x3或更多數量的相鄰像素中。在一些實施例中,圖13的步驟1310、1312、1314及1316中的一些或全部,繼之以圖11的步驟1118及1120與圖11的流程1100的遮罩寫入步驟1122同時執行,其中內聯處理以在曝光遮罩的同時執行資料操作。即,在一些實施例中,與選自以下組成之群的一或多個步驟一起,內聯地執行遮罩曝光:計算反向散射,判定計算的反向散射低於預定臨限值的區域,判定人為背景劑量以及建置修改後的曝光資訊集。
本發明中描述的遮罩資料製備,人為背景劑量的計算及鄰近效應校正可以使用作為計算設備的通用電腦來實現,該通用電腦使用中央處理單元(CPU)以及適當的電腦軟體。由於需要大量計算,因此亦可以並行使用多個電腦或CPU的處理器核心。在一實施例中,對於流程中的一或多個計算密集型步驟,可將計算細分為多個二維幾何區域,以支持並行處理。在另一實施例中,與使用通用電腦或處理器內核相比,單獨使用或多重使用的專用硬體器件可用於以更快的速度執行一或多個步驟的計算。專用計算硬體器件或處理器可以包括例如現場可程式閘陣列(FPGA)、專用積體電路(ASIC)或數位信號處理器(DSP)晶片。在一個實施例中,專用硬體器件可為圖形處理單元(GPU)。在另一個實施例中,本發明中描述的優化及計算製程可以包括修改及重新計算可能解的迭代製程,以便使總帶電粒子束寫入時間或某些其他參數最小化。在又一個實施例中,製程可為判定性計算而無需迭代。
圖12示出了可用於執行本發明中描述的計算的計算硬體器件1200的示例。計算硬體器件1200包括具有附加的主記憶體1204的中央處理單元(CPU)1202。CPU可以包括例如八個處理核心,從而增強了電腦軟體中多線程的任何部分的效能。主記憶體1204的大小可為例如64G位元組。CPU 1202連接到快速外圍組件互連(PCIe)匯流排1220。圖形處理單元(GPU)1214亦連接到PCIe匯流排。在計算硬體器件1200中,GPU 1214可以或者可以不連接到諸如視頻監視器的圖形輸出設備。若未連接到圖形輸出設備,則GPU 1214可以純粹用作高速並行計算引擎。與使用CPU 1202進行所有計算相比,藉由將GPU用於部分計算,計算軟體可以獲得明顯更高的效能。CPU 1202藉由PCIe匯流排1220與GPU 1214通信。在其他實施例(未示出)中,GPU 1214可以與CPU 1202整合在一起,而不是連接到PCIe匯流排1220。磁碟控制器1208亦可以連接到PCIe匯流排,例如,其中兩個磁碟1210連接到磁碟控制器1208。最後,區域網路(LAN)控制器1212亦可以連接到PCIe匯流排,並提供到其他電腦的十億位元乙太網路(GbE)連接。在一些實施例中,電腦軟體及/或設計資料存儲在磁碟1210上。在其他實施例中,可以藉由GbE乙太網路從其他電腦或檔案服務硬體訪問電腦程式或設計資料或電腦程式及設計資料兩者。
儘管已經針對特定實施例詳細描述了本說明書,但是應當理解,熟習此項技術者在理解前述內容之後,可以容易地想到對此等實施例的變更、變型及等同物。在不脫離本主題的範疇的情況下,一般熟習此項技術者可以對用於遮罩資料準備,以及接近度校正的本方法的此等及其他修改及變化進行實踐,而不會脫離本主題的範疇,在所附申請專利範圍中更特定地闡述。此外,一般熟習此項技術者將理解,前述描述僅是示例性的,而無意於進行限制。在不脫離本發明的範疇的情況下,可以將步驟添加到本說明書中的步驟,或從中進行修改。通常,給出的任何流程圖僅旨在指示實現功能的基本操作的一個可能順序,並且許多變化是可能的。因此,希望本主題覆蓋屬於所附申請專利範圍及其等同物的範疇的此修改及變型。
100:電子束寫入器系統 112:表面 114:電子束源 116:電子束 118:孔隙板 120:孔隙 122:電子束 124:模板遮罩/模板 126:孔隙 128:圖案 130:電子束 132:載物台 134:基板 138:減小透鏡 140:電子束 142:偏轉器 210:帶電粒子子束 220:束控制器 230:表面 310:射束 320:射束 350:射束 352:圓形圖案 360:射束 362:圖案 364:圖案 400:帶電粒子束曝光系統 402:電子束源 404:電子束 406:聚光器 408:孔隙板 410:孔隙 424:表面 426:基板 428:載物台 430:致動器 432:遮蔽控制器板 434:遮蔽控制器 436:子束 440:光束/子束群 502:形狀 504:線 506:形狀 508:線 512:劑量概況 514:抗蝕劑臨限值 516:邊緣斜率 522:劑量概況 528:邊緣斜率 532:劑量概況 538:斜率 618:反向散射 622:劑量概況 626:邊緣斜率 628:邊緣斜率 638:邊緣斜率 702:矩形射束 704:線 710:劑量圖 714:臨限值 716:邊緣斜率 720:劑量圖 722:劑量曲線 725:臨時劑量圖 726:邊緣斜率 728:反向散射 730:劑量圖 732:劑量曲線 736:邊緣斜率 738:反向散射 740:劑量圖 742:劑量概況 748:反向散射 750:劑量圖 752:劑量概況 758:劑量水準 810:形狀 815:形狀 820:形狀 822:形狀 824:形狀 826:形狀 828:形狀 830:形狀 832:形狀 834:形狀 910:形狀 913:形狀 915:形狀 1000:圖案形狀 1001:人為背景劑量形狀 1002:人為背景劑量形狀 1003:人為背景劑量形狀 1004:人為背景劑量形狀 1005:人為背景劑量形狀 1010:圖案形狀 1011:人為背景劑量 1012:人為背景劑量 1100:流程圖 1102:第一步驟 1104:步驟 1106:遮罩設計 1108:步驟 1118:步驟 1120:步驟 1122:遮罩寫入步驟 1124:遮罩影像 1126:步驟 1200:計算硬體器件 1202:中央處理單元(CPU) 1204:主記憶體 1208:磁碟控制器 1210:磁碟 1212:區域網路控制器 1214:圖形處理單元(GPU) 1220:互連快速(PCIe)匯流排 1300:流程 1305:步驟 1310:步驟 1312:步驟 1314:步驟 1316:步驟
圖1示出了此項技術中已知的可變形狀束(VSB)帶電粒子束系統的示例。
圖2示出了此項技術中已知的多束曝光系統的電光示意圖的示例。
圖3A-3D示出了此項技術中已知的各種類型的射束。
圖4示出了此項技術中已知的多束帶電粒子束系統的示例。
圖5A-5C及圖6A-6C示出了此項技術中已知的在PEC之後對於具有大及小形狀的區域而言影響劑量的程度。
圖7A-7G示出了此項技術中已知的PEC迭代及所得到的矩形的劑量曲線。
圖8A-8F示出了此項技術中已知的從低密度曝光到高密度曝光的示例。
圖9A至圖9B示出了根據本發明的實施例的低密度曝光區域,其中添加了人為背景劑量。
圖10A-10F示出了人為背景劑量的示例性實施例。
圖11示出了此項技術中已知的用於製備表面或倍縮掩模的概念流程圖,該表面或倍縮掩模用於在矽晶圓上製造諸如積體電路的基板。
圖12示出了在方法的實施例中使用的示例計算硬體器件。
圖13示出了根據一些實施例的計算人為背景劑量的流程。
910:形狀
913:形狀
915:形狀

Claims (18)

  1. 一種使用帶電粒子束微影來使一表面上的一區域中的一圖案曝光的方法,該方法包含: 輸入該區域的一原始曝光資訊集; 基於該原始曝光資訊集,計算該區域的一反向散射;及 判定該區域的一人為背景劑量,其中該人為背景劑量包含附加的曝光資訊,並且其中該附加的曝光資訊與該原始曝光資訊集組合以建置一修改的曝光資訊集。
  2. 如申請專利範圍第1項之方法,其中與該原始曝光資訊集相比,該經修改的曝光資訊集減少了總寫入時間。
  3. 如申請專利範圍第1項之方法,其中該經修改的曝光資訊集藉由PEC進行改進,從而產生該經修改的曝光資訊集的調整劑量。
  4. 如申請專利範圍第1項之方法,其中該人為背景劑量包含具有次臨限值曝光的一附加圖案。
  5. 如申請專利範圍第1項之方法,其中該人為背景劑量僅在距該表面上的該圖案的一邊緣的一預定距離以外施加。
  6. 如申請專利範圍第1項之方法,其中該原始曝光資訊集包含用於多個曝光遍次的資訊,並且其中該人為背景劑量僅在一個曝光遍次中添加。
  7. 如申請專利範圍第1項之方法,其中在該經計算的反向散射小於一預定臨限值的該區域中,該人為背景劑量係該預定臨限值與該經計算的反向散射之間的差。
  8. 如申請專利範圍第1項之方法,其中將該區域細分為多個分區,其中為每個分區判定該人為背景劑量。
  9. 如申請專利範圍第8項之方法,其中將一單個分區內任何位置的一人為背景劑量內插到該分區上。
  10. 如申請專利範圍第9項之方法,其中該內插係基於相鄰分區的該等人為背景劑量。
  11. 如申請專利範圍第1項之方法,進一步包含計算待曝光的圖案的一邊緣斜率。
  12. 如申請專利範圍第11項之方法,其中判定該人為背景劑量以使待曝光的該圖案的一邊緣斜率高於一目標最小值,其中藉由計算在一預定反向散射區域中的一預定圖案的一預定邊緣位置處的邊緣斜率來判定該目標最小值。
  13. 如申請專利範圍第1項之方法,其中一遮罩曝光係與選自由以下各者組成之群的一或多個步驟內聯地執行:計算該反向散射、判定該經計算的反向散射低於一預定臨限值的該區域、判定該人為背景劑量,以及建置該經修改的曝光資訊集。
  14. 如申請專利範圍第1項之方法,進一步包含用該經修改的曝光資訊集來曝光該表面。
  15. 一種用於使用一帶電粒子束微影來使一表面上的一區域中的一圖案曝光的系統,該系統包含: 經組態以輸入該區域的一原始曝光資訊集的一器件; 經組態以基於該曝光資訊來計算該圖案的該區域的一反向散射的一器件;及 經組態以判定該區域的一人為背景劑量的一器件,其中該人為背景劑量包含附加的曝光資訊,並且其中該附加的曝光資訊與該原始曝光資訊集組合以建置一修改的曝光資訊集。
  16. 如申請專利範圍第15項之系統,其中與該原始曝光資訊集相比,該經修改的曝光資訊集減少了總寫入時間。
  17. 如申請專利範圍第15項之系統,其中該經修改的曝光資訊集藉由PEC進行改進,從而產生該經修改的曝光資訊集的調整劑量。
  18. 如申請專利範圍第15項之系統,其中該人為背景劑量包含具有次臨限值曝光的一附加圖案。
TW108146715A 2018-12-22 2019-12-19 減少帶電粒子束寫入時間的方法及系統 TWI848032B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/231,447 US10884395B2 (en) 2018-12-22 2018-12-22 Method and system of reducing charged particle beam write time
US16/231,447 2018-12-22

Publications (2)

Publication Number Publication Date
TW202037999A true TW202037999A (zh) 2020-10-16
TWI848032B TWI848032B (zh) 2024-07-11

Family

ID=

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820514B (zh) * 2020-12-15 2023-11-01 日商紐富來科技股份有限公司 電子束照射裝置及電子束照射方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820514B (zh) * 2020-12-15 2023-11-01 日商紐富來科技股份有限公司 電子束照射裝置及電子束照射方法

Also Published As

Publication number Publication date
KR20210096166A (ko) 2021-08-04
AT524377B1 (de) 2022-07-15
US20200201286A1 (en) 2020-06-25
US11592802B2 (en) 2023-02-28
JP2022515123A (ja) 2022-02-17
US20210116884A1 (en) 2021-04-22
WO2020128869A1 (en) 2020-06-25
US10884395B2 (en) 2021-01-05
AT524377A5 (de) 2022-04-15

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI605302B (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法
TWI661265B (zh) 使用多重射束帶電粒子束微影術於表面上形成圖案之方法
TWI840559B (zh) 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統
US11592802B2 (en) Method and system of reducing charged particle beam write time
TWI595325B (zh) 用於使用帶電粒子束微影術之尺寸均勻性的方法及系統
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20230386784A1 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI848032B (zh) 減少帶電粒子束寫入時間的方法及系統
US11886166B2 (en) Method and system of reducing charged particle beam write time