AT524377B1 - Verfahren und System zum Reduzieren einer Schreibzeit eines geladenen Teilchenstrahls - Google Patents

Verfahren und System zum Reduzieren einer Schreibzeit eines geladenen Teilchenstrahls Download PDF

Info

Publication number
AT524377B1
AT524377B1 ATA9484/2019A AT94842019A AT524377B1 AT 524377 B1 AT524377 B1 AT 524377B1 AT 94842019 A AT94842019 A AT 94842019A AT 524377 B1 AT524377 B1 AT 524377B1
Authority
AT
Austria
Prior art keywords
dose
backscatter
pattern
artificial background
exposure information
Prior art date
Application number
ATA9484/2019A
Other languages
English (en)
Other versions
AT524377A5 (de
Inventor
Fujimura Akira
Robert Zable Harold
Shirali Nagesh
E Guthrie William
Pearman Ryan
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S Inc filed Critical D2S Inc
Publication of AT524377A5 publication Critical patent/AT524377A5/de
Application granted granted Critical
Publication of AT524377B1 publication Critical patent/AT524377B1/de

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4097Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by using design data to control NC machines, e.g. CAD/CAM
    • G05B19/4099Surface or curve machining, making 3D objects, e.g. desktop manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45028Lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

Es ist ein Verfahren zum Belichten eines Musters in einem Bereich auf einer Oberfläche unter Verwendung einer Lithographie mit geladenem Teilchenstrahl offenbart, das Eingeben eines ursprünglichen Satzes von Belichtungsinformationen für den Bereich beinhaltet. Eine Rückstreuung für den Bereich des Musters wird auf Grundlage der Belichtungsinformationen berechnet. Eine künstliche Hintergrunddosis wird für den Bereich bestimmt. Die künstliche Hintergrunddosis umfasst zusätzliche Belichtungsinformationen und wird mit dem ursprünglichen Satz von Belichtungsinformationen kombiniert, wodurch ein modifizierter Satz von Belichtungsinformationen erzeugt wird. Außerdem ist ein System zum Belichten eines Musters in einem Bereich auf einer Oberfläche unter Verwendung einer Lithographie mit geladenem Teilchenstrahl offenbart.

Description

Beschreibung
VERFAHREN UND SYSTEM ZUM REDUZIEREN EINER SCHREIBZEIT EINES GELADENEN TEILCHENSTRAHLS
VERWANDTE ANMELDUNGEN
[0001] Diese Anmeldung beansprucht den Vorteil der am 22. Dezember 2018 eingereichten nicht vorläufigen US-Patentanmeldung Nr. 16/231,447 mit dem Titel „Method and System of Reducing Charged Particle Beam Write Time“; die für alle Zwecke durch Bezugnahme aufgenommen ist.
ALLGEMEINER STAND DER TECHNIK
[0002] Die vorliegende Offenbarung betrifft eine Lithographie und insbesondere die Gestaltung und Herstellung einer Oberfläche, die eine Strichplatte, ein Wafer oder eine andere Oberfläche sein kann, unter Verwendung einer Lithographie mit geladenem Teilchenstrahl.
[0003] Drei gebräuchliche Arten der Lithographie mit geladenem Teilchenstrahl sind eine Lithographie mit ungeformtem (Gauß-)Strahl, eine Lithographie mit geformtem, geladenem Teilchenstrahl und eine Mehrstrahllithographie. Bei allen Arten der Lithographie mit geladenem Teilchenstrahl schießen geladene Teilchenstrahlen Energie auf eine mit Resist beschichtete Oberfläche, um den Resist zu belichten. Dabei sind aus der US 2012094219 A1, der US 2012219886 A1, der US 2012221981 A1, der US 2013283216 A1 und der US 2016276132 A1 Techniken zur Kompensation von Rückstreuungen bekannt, wobei beispielsweise die Auswirkungen einer Rückstreuung und die Art und Weise wie eine PEC eine Dosis korrigiert, um die Rückstreuung zu kompensieren, beschrieben sind.
[0004] Die Lithographie mit geladenem Teilchenstrahl kann ein variabel geformter Strahl (variable shaped beam - VSB) oder eine Zeichenprojektion (character projection - CP) sein, bei der ein Schuss eines präzisen Elektronenstrahls so geformt und gesteuert wird, dass eine mit Resist beschichtete Oberfläche belichtet wird, wie etwa die Oberfläche eines Wafers oder die Oberfläche einer Strichplatte. Bei dem VSB sind diese Formen einfache Formen, die normalerweise auf Rechtecke mit bestimmten Mindest- und Maximalgrößen, die Seiten aufweisen, die parallel zu den Achsen einer kartesischen Koordinatenebene (d. h. der Ausrichtung „Manhattan“) sind, sowie auf rechtwinklige 45-Grad-Dreiecke (d. h. Dreiecke, deren drei Innenwinkel 45 Grad, 45 Grad und 90 Grad betragen) bestimmter minimaler und maximaler Größen beschränkt sind. An vorbestimmten Stellen werden bei diesen einfachen Formen Elektronendosen in den Resist geschossen. Die Gesamtschreibzeit für diese Art von System erhöht sich mit der Anzahl der Schüsse. Bei dem CP ist eine Schablone in dem System vorhanden, die eine Vielfalt von Löchern oder Zeichen aufweist, die komplexe Formen haben können, wie etwa geradlinige, beliebig abgewinkelte lineare, kreisförmige, nahezu kreisförmige, ringförmige, nahezu ringförmige, ovale, nahezu ovale, teilweise kreisförmige, teilweise nahezu kreisförmige, teilweise ringförmige, teilweise nahezu ringförmige, teilweise nahezu ovale oder beliebige krummlinige Formen, bei denen es sich um einen verbundenen Satz komplexer Formen oder eine Gruppe nicht zusammenhängender Sätze eines verbundenen Satzes komplexer Formen handeln kann. Ein Elektronenstrahl kann durch ein Zeichen auf der Schablone geschossen werden, um effizient komplexere Muster auf der Strichplatte zu erzeugen. Theoretisch kann ein solches System schneller sein als ein VSB-System, da es mit jedem zeitaufwändigen Schuss komplexere Formen aufnehmen kann. Somit nimmt ein E- förmiges Muster, das mit einem VSB-System geschossen wurde, vier Schüsse vor; dasselbe E- förmige Muster kann jedoch mit einem CP-System mit einem Schuss geschossen werden.
[0005] Die Lithographie mit geformtem geladenem Teilchenstrahl kann entweder einen einzelnen geformten Strahl verwenden oder kann eine Vielzahl von geformten Strahlen verwenden, die gleichzeitig eine Oberfläche belichten, wobei die Vielzahl von geformten Strahlen eine höhere Schreibgeschwindigkeit als ein einzelner geformter Strahl erzeugen. Wenn eine Vielzahl von geladenen Teilchenstrahlen gleichzeitig eine Oberfläche belichten, wird die Lithographie mit geladenem Teilchenstrahl häufig als Mehrstrahllithographie bezeichnet. Bei der Mehrstrahllithogra-
phie kann es sich um mehrere Strahlen einer Lithographie mit geformten oder ungeformtem geladenem Teilchenstrahl handeln.
[0006] Bei der Lithographie umfasst die Lithographiemaske oder -strichplatte geometrische Muster, die den auf einem Substrat zu integrierenden Schaltungskomponenten entsprechen. Die zur Herstellung der Strichplatte verwendeten Muster können unter Verwendung von ComputerAided-Design-(CAD-)Software oder -Programmen generiert werden. Beim Gestalten der Muster kann das CAD-Programm einer Reihe vordefinierter Gestaltungsregeln folgen, um die Strichplatte zu erzeugen. Diese Regeln werden durch Verarbeitungs-, Gestaltungs- und Endverwendungsbeschränkungen festgelegt. Ein Beispiel für eine Endverwendungsbeschränkung besteht darin, die Geometrie eines Transistors so zu definieren, dass er bei der erforderlichen Versorgungsspannung nicht ausreichend betrieben werden kann. Insbesondere können Gestaltungsregeln die Raumtoleranz zwischen Schaltungsvorrichtungen oder Verbindungsleitungen definieren. Die Gestaltungsregeln werden zum Beispiel verwendet, um sicherzustellen, dass die Schaltungsvorrichtungen oder -leitungen nicht auf unerwünschte Weise miteinander interagieren. Beispielsweise werden die Gestaltungsregeln verwendet, damit die Leitungen nicht zu nahe aneinander liegen, was zu einem Kurzschluss führen kann. Die Beschränkungen der Gestaltungsregeln spiegeln unter anderem die kleinsten Abmessungen wider, die zuverlässig hergestellt werden können. Beim Bezug auf diese kleinen Abmessungen wird normalerweise das Konzept einer kritischen Abmessung eingeführt. Diese sind beispielsweise als die wichtigen Breiten oder Bereiche eines Merkmals oder der wichtige Raum zwischen zwei Merkmalen oder wichtigen Raumbereichen definiert, wobei diese Abmessungen eine umfassend Kontrolle erforderlich machen.
[0007] Bei der Produktion oder Fertigung von Halbleitervorrichtungen, wie etwa integrierten Schaltkreisen, kann optische Lithographie verwendet werden, um die Halbleitervorrichtungen herzustellen. Bei optischer Lithographie handelt es sich um ein Druckverfahren, bei dem eine Lithographiemaske oder Fotomaske oder eine Strichplatte verwendet wird, um Muster auf ein Substrat zu übertragen, wie etwa einen Halbleiter oder einen Siliziumwafer, um die integrierte Schaltung (integrated circuit - |. C.) zu erzeugen. Andere Substrate könnten Flachbildschirme, holographische Masken oder sogar andere Strichplatten einschließen. Bei der herkömmlichen optischen Lithographie wird typischerweise Strahlung mit einer Wellenlänge von 193 nm oder länger verwendet. Extrem-Ultraviolett-(EUV-) oder Röntgenlithographie werden ebenfalls als Arten der optischen Lithographie angesehen, verwenden jedoch Wellenlängen, die viel kürzer als die 193 nm der herkömmlichen optischen Lithographie sind. Die Strichplatte oder mehreren Strichplatten können ein Schaltungsmuster enthalten, das einer einzelnen Schicht der integrierten Schaltung entspricht, und dieses Muster kann auf einen bestimmten Bereich auf dem Substrat abgebildet werden, der mit einer Schicht aus strahlungsempfindlichem Material beschichtet wurde, die als Fotoresist oder Resist bekannt ist. Herkömmliche optische Lithographie-Schreibmaschinen reduzieren typischerweise das Fotomaskenmuster während des optischen Lithographieprozesses um den Faktor vier. Daher müssen auf der Strichplatte oder Maske gebildete Muster viermal größer sein als die Größe des gewünschten Musters auf dem Substrat oder Wafer.
[0008] Bei der Produktion oder Fertigung von Halbleitervorrichtungen, wie etwa integrierten Schaltkreisen, können nicht-optische Verfahren verwendet werden, um ein Muster auf einer Lithographiemaske auf ein Substrat zu übertragen, wie etwa einen Siliziumwafer. Die NanoimprintLithographie (NIL) ist ein Beispiel für einen nicht-optischen Lithographieprozess. Bei der NIL wird ein lithographisches Maskenmuster durch Kontakt der Lithographiemaske mit dem Substrat auf ein Substrat übertragen. Die Lithographiemaske der NIL wird typischerweise als Fertigung einer Oberfläche unter Verwendung der Lithographie mit geladenem Teilchenstrahl gefertigt.
[0009] Bei der Produktion oder Fertigung von Halbleitervorrichtungen, wie etwa integrierten Schaltkreisen, kann auch maskenloses direktes Schreiben verwendet werden, um die Halbleitervorrichtungen herzustellen. Bei maskenlosem Direktschreiben handelt es sich um ist ein Druckverfahren, bei dem die Lithographie mit geladenem Teilchenstrahl verwendet wird, um Muster auf ein Substrat zu übertragen, wie etwa einen Halbleiter oder einen Siliziumwafer, um eine integrierte Schaltung zu erzeugen. Andere Substrate könnten Flachbildschirme, Aufdruckmasken für das Nanodrucken oder sogar Strichplatten einschließen. Gewünschte Muster einer Schicht wer-
den direkt auf die Oberfläche geschrieben, die in diesem Fall auch das Substrat ist. Sobald die strukturierte Schicht übertragen ist, kann die Schicht verschiedenen anderen Prozessen unterzogen werden, wie etwa Atzen, lonenimplantation (Dotierung), Metallisierung, Oxidation und Polieren. Diese Prozesse werden eingesetzt, um eine einzelne Schicht im Substrat fertigzustellen. Wenn mehrere Schichten erforderlich sind, wird der gesamte Prozess oder werden Variationen davon für jede neue Schicht wiederholt. Einige der Schichten können unter Verwendung von optischer Lithographie geschrieben werden, während andere unter Verwendung von maskenlosem Direktschreiben geschrieben werden können, um dasselbe Substrat herzustellen. Außerdem können einige Muster einer gegebenen Schicht unter Verwendung von optischer Lithographie und andere Muster unter Verwendung von maskenlosem Direktschreiben geschrieben werden. Schließlich wird eine Kombination von mehreren Vorrichtungen oder integrierten Schaltkreisen auf dem Substrat vorhanden sein. Diese integrierten Schaltkreise werden dann durch Zerschneiden oder Sägen voneinander getrennt und dann in einzelne Gehäuse montiert. Im allgemeineren Fall können die Muster auf der Oberfläche verwendet werden, um Artefakte zu definieren, wie etwa Anzeigepixel, Hologramme oder magnetische Aufzeichnungsköpfe.
[0010] Ein Ziel bei der Herstellung integrierter Schaltkreise durch optische Lithographie besteht darin, die ursprüngliche Schaltungsgestaltung auf einem Substrat unter Verwendung einer Strichplatte zu reproduzieren, wobei die Strichplatte, die gelegentlich als Maske oder Fotomaske bezeichnet wird, eine Oberfläche ist, die unter Verwendung von Lithographie mit geladenem Teilchenstrahl belichtet werden kann. Hersteller von integrierten Schaltkreisen versuchen stets, die vorhandene Halbleiterwaferfläche so effizient wie möglich zu nutzen. Ingenieure verkleinern die Größe der Schaltkreise ständig, damit die integrierten Schaltkreise mehr Schaltkreiselemente enthalten und weniger Strom verbrauchen können. Wenn die Größe einer kritischen Abmessung einer integrierten Schaltung reduziert wird und ihre Schaltungsdichte zunimmt, nähert sich die kritische Abmessung des Schaltungsmusters oder der räumlichen Gestaltung der Auflösungsgrenze des optischen Belichtungswerkzeugs, das in der herkömmlichen optischen Lithographie verwendet wird. Wenn die kritischen Abmessungen des Schaltungsmusters kleiner werden und sich dem Auflösungswert des Belichtungswerkzeugs nähern, wird die genaue Transkription der räumlichen Gestaltung auf das tatsächliche Schaltungsmuster, das auf der Resistschicht entwickelt wurde, schwierig. Um die Verwendung der optischen Lithographie zur Übertragung von Mustern mit Merkmalen zu fördern, die kleiner als die im optischen Lithographieprozess verwendete Lichtwellenlänge sind, wurde ein als optische Naheffektkorrektur (optical proximity correction - OPC) bekannter Prozess entwickelt. Die OPC ändert die räumliche Gestaltung, um Verzerrungen auszugleichen, die durch Effekte wie etwa optische Beugung und die optische Wechselwirkung von Merkmalen mit benachbarten Merkmalen verursacht werden. Auflösungsverbesserungstechnologien, die mit einer Strichplatte durchgeführt werden, schließen OPC und inverse Lithographietechnologie (ILT) ein.
[0011] Durch die OPC können Maskenmustern lithografische Merkmale mit geringer Auflösung hinzugefügt werden, um Unterschiede zwischen dem ursprünglichen Muster der räumlichen Gestaltung, das heißt der Gestaltung, und dem endgültig übertragenen Schaltungsmuster auf dem Substrat zu reduzieren. Die lithografischen Merkmale mit niedriger Auflösung interagieren mit den ursprünglichen Mustern in der räumlichen Gestaltung und miteinander und gleichen Näherungseffekte aus, um das endgültige übertragene Schaltungsmuster zu verbessern. Ein Merkmal, das hinzugefügt wird, um die Musterübertragung zu verbessern, wird als „Serifen“ bezeichnet. Serifen sind kleine Merkmale, welche die Präzision oder Ausfallsicherheit bei der Fertigung von Druckvariationen eines bestimmten Merkmals verbessern. Ein Beispiel für eine Serife ist ein kleines Merkmal, das an einer Ecke eines Musters positioniert ist, um die Ecke im endgültig übertragenen Bild zu schärfen. Muster, die auf das Substrat gedruckt werden sollen, werden als Hauptmerkmale bezeichnet. Serifen sind Teil eines Hauptmerkmals. Es ist üblich, die OPC-dekorierten Muster, die auf eine Strichplatte geschrieben werden sollen, in Bezug auf Hauptmerkmale zu erörtern, das heißt Merkmale, welche die Gestaltung vor der OPC-Dekoration widerspiegeln, und OPCMerkmale, wobei die OPC-Merkmale Serifen, Verkürzungen, Subauflösungshilfsmerkmale (subresolution assist features - SRAFs) und negative Merkmale einschließen können. OPC-Merkmale unterliegen verschiedenen Gestaltungsregeln, wie etwa einer Regel, die auf der Größe des
kleinsten Merkmals basiert, das unter Verwendung der optischen Lithographie auf den Wafer übertragen werden kann. Andere Gestaltungsregeln können aus dem Maskenfertigungsprozess oder, wenn ein Zeichenprojizierungsschreibsystem mit geladenem Teilchenstrahl verwendet wird, um das Muster auf einer Strichplatte zu bilden, aus dem Schablonenherstellungsprozess stammen.
[0012] SRAF-Merkmale werden verwendet, um die Übertragung des Musters zu verbessern. Es ist häufig der Fall, dass die von dem Oberflächenherstellungsprozess für SRAFs geforderte Präzision oder Genauigkeit geringer ist als die für Hauptmerkmale. Ahnliche Dekorationen wurden von der OPC als negative Merkmale eingeführt. Innerhalb eines großen Merkmals können enge Räume eingeführt werden, um die lithografische Leistung zu verbessern. Enge Räume sind schwieriger zuverlässig zu schreiben als gleich schmale Linien; daher handelt es sich bei diesen negativen Merkmale häufig um die Teile, die am schwierigsten zuverlässig auf einer Oberfläche geschrieben werden können.
KURZDARSTELLUNG DER OFFENBARUNG
[0013] Verfahren und Systeme zum Belichten eines Musters in einem Bereich auf einer Oberfläche unter Verwendung einer Lithographie mit geladenem Teilchenstrahl beinhalten Eingeben eines ursprünglichen Satzes von Belichtungsinformationen für den Bereich. Eine Rückstreuung für den Bereich des Musters wird auf Grundlage der Belichtungsinformationen berechnet. Eine künstliche Hintergrunddosis wird für den Bereich bestimmt. Die künstliche Hintergrunddosis umfasst zusätzliche Belichtungsinformationen und wird mit dem ursprünglichen Satz von Belichtungsinformationen kombiniert, wodurch ein modifizierter Satz von Belichtungsinformationen erzeugt wird.
KURZE BESCHREIBUNG DER ZEICHNUNGEN
[0014] FIG. 1 veranschaulicht ein Beispiel für ein System mit geladenem Teilchenstrahl mit variabel geformtem Strahl (variable shaped beam - VSB), wie im Stand der Technik bekannt.
[0015] FIG. 2 veranschaulicht ein Beispiel für ein elektrooptisches schematisches Diagramm eines Mehrstrahlbelichtungssystem, wie im Stand der Technik bekannt.
[0016] FIG. 3A-3D veranschaulichen verschiedene Arten von Schüssen, wie im Stand der Technik bekannt. [0017] FIG. 4 veranschaulicht ein Beispiel für ein Mehrstrahlsystem mit gela-
denem Teilchenstrahl, wie im Stand der Technik bekannt.
[0018] FIG. 5A-5C und 6A-6C veranschaulichen, wie die Dosis nach PEC für Bereiche mit sowohl großen als auch kleinen Formen beeinflusst wird, wie im Stand der Technik bekannt.
[0019] FIG. 7A-7G veranschaulichen PEC-Iterationen und resultierende Dosierungskurven einer rechteckigen Form, wie im Stand der Technik bekannt.
[0020] FIG. 8A-8F veranschaulichen Beispiele für eine Belichtung mit niedriger
Dichte gegenüber einer Belichtung mit hoher Dichte, wie im Stand der Technik bekannt.
[0021] FIG. 9A-9B veranschaulichen einen Bereich der Belichtung niedriger Dichte, wobei eine künstliche Hintergrunddosis gemäß Ausführungsformen der aktuellen Offenbarung hinzugefügt wurde.
[0022] FIG. 10A-10F veranschaulichen beispielhafte Ausführungsformen einer künstlichen Hintergrunddosis.
[0023] FIG. 11 veranschaulicht ein konzeptionelles Ablaufdiagramm zum Vorbereiten einer Oberfläche oder einer Strichplatte zur Verwendung bei der Herstellung eines Substrats, wie etwa einer integrierten Schaltung auf einem Siliziumwafer, wie im Stand der Technik bekannt.
[0024] FIG. 12 zeigt eine beispielhafte Computerhardwarevorrichtung, die in Ausführungsformen der Verfahren verwendet wird.
[0025] FIG. 13 veranschaulicht einen Ablauf, in dem die künstliche Hintergrunddosis gemäß einigen Ausführungsformen berechnet wird.
DETAILLIERTE BESCHREIBUNG DER AUSFÜHRUNGSFORMEN
[0026] Die vorliegende Offenbarung beschreibt Verfahren zum Reduzieren der Schreibzeit geladener Teilchenstrahlen durch Reduzieren der Dosis, die erforderlich ist, um einen Schuss oder eine Form in einem Belichtungsbereich mit relativ geringer Dichte durch Werfen einer künstlichen Hintergrunddosis in den Bereich freizulegen.
[0027] Die Kosten für die Lithographie mit geladenem Teilchenstrahl stehen in direktem Zusammenhang mit der Zeit, die erforderlich ist, um ein Muster auf einer Oberfläche zu belichten, wie etwa auf einer Strichplatte oder einem Wafer. Herkömmlicherweise hängt die Belichtungszeit mit der Belichtungsmenge zusammen, die zum Schreiben des Musters erforderlich ist. Für die komplexesten Gestaltungen integrierter Schaltungen ist das Bilden des Satzes von Schichtmustern entweder auf einem Satz von Strichplatten oder auf einem Substrat ein kostspieliger und zeitaufwendiger Prozess. Es wäre daher vorteilhaft, die zur Bildung dieser Muster erforderliche Belichtung auf einer Strichplatte und anderen Oberflächen reduzieren zu können, wie etwa durch Reduzieren der zum Schreiben davon erforderlichen Zeit.
[0028] Unter Bezugnahme auf die Zeichnungen, in denen sich gleiche Zahlen auf gleiche Elemente beziehen, veranschaulicht FIG. 1 eine Ausführungsform eines Lithographiesystems, wie etwa eines Schreibersystems für geladene Teilchenstrahlen, in diesem Fall eines Elektronenstrahlschreibersystems 100, das einen variabel geformten Strahl (variable shaped beam - VSB) verwendet, um eine Oberfläche 112 zu fertigen. Das Elektronenstrahlschreibersystem 100 weist eine Elektronenstrahlquelle 114 auf, die einen Elektronenstrahl 116 in Richtung einer Lochplatte 118 projiziert. Die Platte 118 weist ein darin gebildetes Loch 120 auf, durch das der Elektronenstrahl 116 hindurchtreten kann. Sobald der Elektronenstrahl 116 das Loch 120 passiert hat, wird er von einem Linsensystem (nicht gezeigt) als Elektronenstrahl 122 zu einer anderen rechteckigen Lochplatte oder Schablonenmaske 124 gerichtet oder abgelenkt. Die Schablone 124 hat darin eine Anzahl von Öffnungen oder Löcher 126 gebildet, die verschiedene einfache Formen definieren, wie etwa Rechtecke und Dreiecke. Jedes in der Schablone 124 gebildete Loch 126 kann verwendet werden, um ein Muster in der Oberfläche 112 eines Substrats 134 zu bilden, wie etwa eines Siliziumwafers, einer Strichplatte oder eines anderen Substrats. Ein Elektronenstrahl 130 tritt aus einer der Löcher 126 aus und passiert eine elektromagnetische oder elektrostatische Reduktionslinse 138, wodurch die Größe des aus dem Loch 126 austretenden Musters reduziert wird. In allgemein erhältlichen Schreibersystemen für geladene Teilchenstrahlen liegt der Reduktionsfaktor zwischen 10 und 60. Der reduzierte Elektronenstrahl 140 tritt aus der Reduktionslinse 138 aus und wird durch eine Reihe von Deflektoren 142 als Muster 128 auf die Oberfläche 112 gerichtet. Die Oberfläche 112 ist mit einem Resist (nicht gezeigt) beschichtet, der mit dem Elektronenstrahl 140 reagiert. Der Elektronenstrahl 122 kann so gerichtet werden, dass er sich mit einem variablen Abschnitt eines Lochs 126 überlappt, wodurch die Größe und Form des Musters 128 beeinflusst werden. Ausblendplatten (nicht gezeigt) können verwendet werden, um den Strahl 116 oder den geformten Strahl 122 abzulenken, um zu verhindern, dass der Elektronenstrahl während eines Zeitraums nach jedem Schuss, während dem die Linsen, die den Strahl 122 lenken, und die Deflektoren 142 für den nachfolgenden Schuss neu eingestellt werden, die Oberfläche 112 erreicht. Die Schablone 124 kann an sich als Deflektor wirken, indem sie den Strahl 116 ablenkt, um auf ungeöffnete Abschnitte der Schablone 124 aufzutreffen. Typischerweise sind
die Ausblendplatten so positioniert, dass sie den Elektronenstrahl 116 ablenken, um zu verhindern, dass er das Loch 120 beleuchtet. Herkömmlicherweise kann es sich bei der Austastperiode um eine feste Zeitdauer handeln oder kann diese variieren, zum Beispiel abhängig davon, wie stark der Deflektor 142 für die Position des nachfolgenden Schusses neu eingestellt werden muss. Es ist anzumerken, dass VSB-Systeme als ein spezieller (einfacher) Fall der Zeichenprojektion betrachtet werden können, bei dem die Zeichen nur einfache Zeichen sind, normalerweise Rechtecke oder 45- Grad-Dreiecke. Es ist auch möglich, ein Zeichen teilweise zu beleuchten. Dies kann beispielsweise durch Blockieren eines Teils des Teilchenstrahls erfolgen. In dieser Offenbarung wird Teilprojektion verwendet, um sowohl eine Zeichenprojektion als auch eine VSBProjektion zu bezeichnen.
[0029] In dem Elektronenstrahlschreibersystem 100 ist das Substrat 134 auf einer beweglichen Plattform oder einem beweglichen Stand 132 montiert. Der Stand 132 ermöglicht die Neupositionierung des Substrats 134, sodass Muster, die größer als die maximale Ablenkfähigkeit oder Feldgröße des geladenen Teilchenstrahls 140 sind, in einer Reihe von Teilfeldern auf die Oberfläche 112 geschrieben werden können, wobei jedes Teilfeld innerhalb der Fähigkeit des Deflektors 142 liegt, den Strahl 140 abzulenken. In einer Ausführungsform kann das Substrat 134 ein Strichplatte sein. In dieser Ausführungsform durchläuft die Strichplatte, nachdem sie mit dem Muster belichtet wurde, verschiedene Fertigungsschritte, durch die sie zu einer lithografischen Maske oder Fotomaske wird. Die Maske kann dann in einer optischen Lithographiemaschine verwendet werden, um ein Bild des Strichplattenmusters 128, das im Allgemeinen verkleinert ist, auf einen Siliziumwafer zu projizieren, um eine integrierte Schaltung zu erzeugen. Allgemeiner wird die Maske in einer weiteren Vorrichtung oder Maschine verwendet, um das Muster 128 auf ein Substrat (nicht veranschaulicht) zu übertragen.
[0030] Die Schussdosis eines Schreibers für geladene Teilchenstrahlen, wie etwa eines Elektronenstrahlschreibersystems, unabhängig davon, ob es sich hierbei um einen VSB, eine CP oder eine Mehrstrahlmaschine handelt, ist von der Intensität der Strahlquelle 114 in diesem VSB-Beispiel und der Belichtungszeit für jeden Schuss abhängig. Typischerweise bleibt die Strahlintensität fest und wird die Belichtungszeit variiert, um variable Schussdosierungen zu erhalten. Die Belichtungszeit kann variiert werden, um eine Mittelwirkungseffektkorrektur (mid-range effect correction - MEC), verschiedene Fernwirkungseffekte, wie etwa eine Ladeeffektkorrektur (loadingeffect correction - LEC) und eine Beschlageffektkorrektur (fogging-effect correction - FEC), sowie eine Rückstreuung in einem als Näherungseffektkorrektur (proximity effect correction - PEC) bezeichneten Prozess auszugleichen. In dieser Offenbarung wird der Begriff PEC verwendet, um die MEC-, LEC-, FEC- und Rückstreukorrektur zu beschreiben. Elektronenstrahlschreibersysteme ermöglichen normalerweise die Einstellung einer Gesamtdosis, die als Basisdosis bezeichnet wird und alle Schüsse in einem Belichtungsdurchgang beeinflusst. Einige Elektronenstrahlschreibersysteme führen Dosierungsausgleichsberechnungen innerhalb des Elektronenstrahlschreibersystems selbst durch und ermöglichen nicht, dass die Dosierung jedes Schusses einzeln als Teil der Eingangsschussliste zugewiesen wird, wobei die Eingangsschüsse daher nicht zugewiesene Schussdosierungen aufweisen. In solchen Elektronenstrahlschreibersystemen weisen alle Schüsse die Basisdosis vor PEC auf. Weitere Elektronenstrahlschreibersysteme ermöglichen eine Dosierungszuweisung von Schuss zu Schuss. In Elektronenstrahlschreibersystemen, die eine Dosierungszuweisung von Schuss zu Schuss ermöglichen, kann die Anzahl der verfügbaren Dosierungsstufen 64 bis 4096 oder mehr betragen oder können relativ wenige verfügbare Dosierungsstufen vorhanden sein, wie etwa 3 bis 8 Stufen. Einige Ausführungsformen der vorliegenden Offenbarung zielen auf die Verwendung mit Schreibersystemen für geladene Teilchenstrahlen ab, die eine Zuweisung von Dosierungsstufen ermöglichen.
[0031] Ein System mit geladenem Teilchenstrahl kann eine Oberfläche mit einer Vielzahl von einzeln steuerbaren Strahlen oder Beamlets belichten. FIG. 2 veranschaulicht ein elektrooptisches schematisches Diagramm, in dem drei geladene Beamlets 210 vorhanden sind. Jedem Beamlet 210 ist eine Strahlsteuerung 220 zugeordnet. Jede Strahlsteuerung 220 kann zum Beispiel ermöglichen, dass ihr zugeordnetes Beamlet 210 auf der Oberfläche 230 auftrifft, und kann außerdem verhindern, dass das Beamlet 210 auf der Oberfläche 230 auftrifft. In einigen Ausfüh-
rungsformen kann die Strahlsteuerung 220 außerdem eine Strahlunschärfe, Vergrößerung, Größe und/oder Form des Beamlets 210 steuern. In dieser Offenbarung wird ein System mit geladenem Teilchenstrahl, das eine Vielzahl von einzeln steuerbaren Beamlets aufweist, als Mehrstrahlsystem bezeichnet. In einigen Ausführungsformen können geladene Teilchen von einer einzigen Quelle unterteilt werden, um eine Vielzahl von Beamlets 210 zu bilden. In weiteren Ausführungsformen kann eine Vielzahl von Quellen verwendet werden, um die Vielzahl von Beamlets 210 zu erzeugen. In einigen Ausführungsformen können Beamlets 210 durch eine oder mehrere Löcher geformt sein, während in anderen Ausführungsformen unter Umständen keine Löcher vorhanden sind, um die Beamlets zu formen. Jede Strahlsteuerung 220 kann es ermöglichen, die Belichtungsdauer ihres zugeordneten Strahls einzeln zu steuern. Im Allgemeinen werden die Beamlets durch eine oder mehrere Linsen (nicht gezeigt) verkleinert, bevor sie auf der Oberfläche 230 auftreffen, die typischerweise mit einem Resist beschichtet ist. In einigen Ausführungsformen kann jedes Beamlet eine separate elektrooptische Linse aufweisen, während in anderen Ausführungsformen eine Vielzahl von Beamlets, einschließlich möglicherweise aller Beamlets, eine elektrooptische Linse gemeinsam nutzen.
[0032] FIG. 3A-3D veranschaulichen verschiedene Arten von Schüssen FIG. 3A veranschaulicht ein Beispiel für einen rechteckigen Schuss 310. Ein VSB-System mit geladenem Teilchenstrahl kann zum Beispiel rechteckige Schüsse in einer Vielfalt von x- und y-Abmessungen bilden. FIG. 3B veranschaulicht ein Beispiel für einen CP-Schuss 320, der in diesem Beispiel kreisförmig ist. Jede Form kann als CP-Schuss dargestellt sein, wie etwa Achtecke oder komplexere Formen, wie etwa der Buchstabe E. Für die Zwecke dieser Offenbarung ist eine Schuss eine Belichtung einer bestimmten Oberfläche über einen bestimmten Zeitraum. Der Bereich kann aus mehreren diskontinuierlichen kleineren Bereichen bestehen. Ein Schuss kann aus einer Vielzahl von anderen Schüssen bestehen, die sich überlappen können oder nicht und die gleichzeitig belichtet werden können oder nicht. Ein Schuss kann eine vorgegebene Dosis umfassen oder die Dosis kann nicht vorgegeben sein. Schüsse können einen geformten Strahl, einen ungeformten Strahl oder eine Kombination aus geformten und ungeformten Strahlen verwenden.
[0033] FIG. 3C veranschaulicht ein Beispiel für einen Schuss 350, bei dem es sich um eine Anordnung von kreisförmigen Mustern 352 handelt. Ein Schuss 350 kann auf eine Vielfalt von Arten erzeugt werden, einschließlich mehrerer Schüsse eines einzigen kreisförmigen CP-Zeichens, eines Schusses oder mehrerer Schüsse eines CP-Zeichens, bei denen es sich um eine Anordnung kreisförmiger Löcher handelt, und eines Mehrstrahlschusses oder mehrerer Mehrstrahlschüsse unter Verwendung kreisförmiger Löcher. FIG. 3D veranschaulicht ein Beispiel für einen Schuss 360, bei dem es sich um eine spärliche Anordnung von rechteckigen Mustern 362 und 364 handelt. Der Schuss 360 kann auf eine Vielfalt von Arten gebildet werden, einschließlich einer Vielzahl von VSB-Schüssen, eines CP-Schusses und eines Mehrstrahlschusses oder mehrerer Mehrstrahlschüsse unter Verwendung rechteckiger Löcher. In einigen Ausführungsformen von Mehrstrahlschüssen kann der Schuss 360 eine Vielzahl von verschachtelten Gruppen anderer Mehrstrahlschüsse umfassen. Beispielsweise können Muster 362 gleichzeitig geschossen werden, dann können Muster 364 gleichzeitig zu einem Zeitpunkt geschossen werden, der sich von den Mustern 362 unterscheidet.
[0034] FIG. 4 veranschaulicht eine Ausführungsform eines Belichtungssystems 400 für geladene Teilchenstrahlen. Bei dem System 400 für geladene Teilchenstrahlen handelt es sich um ein Mehrstrahlsystem, in dem eine Vielzahl von einzeln steuerbaren geformten Strahlen gleichzeitig eine Oberfläche belichten können. Das Mehrstrahlsystem 400 weist eine Elektronenstrahlquelle 402 auf, die einen Elektronenstrahl 404 erzeugt. Der Elektronenstrahl 404 wird durch einen Kondensator 406, der elektrostatische und/oder magnetische Elemente beinhalten kann, auf die Lochplatte 408 gerichtet. Die Lochplatte 408 weist eine Vielzahl von Löchern 410 auf, die durch den Elektronenstrahl 404 beleuchtet werden und durch die der Elektronenstrahl 404 hindurchtritt, um eine Vielzahl von geformten Beamlets 436 zu bilden. Somit umfasst das Mehrstrahlsystem 400 für geladene Teilchenstrahlen die Quelle 402 geladener Teilchenstrahlen und die Lochplatte 408, wobei die Lochplatte 408 eine Vielzahl von Löchern 410 umfasst, welche die Quelle geladener Teilchenstrahlen 402 beleuchtet. In einigen Ausführungsformen umfasst das Mehrstrahlsys-
tem für geladene Teilchenstrahlen eine einzige Lochplatte 408. In einigen Ausführungsformen kann die Lochplatte 408 Hunderte oder Tausende von Löchern 410 aufweisen.
Wenngleich FIG. 4 eine Ausführungsform mit einer einzigen Elektronenstrahlquelle 402 veranschaulicht, können in anderen Ausführungsformen die Löcher 410 durch Elektronen aus einer Vielzahl von Elektronenstrahlquellen beleuchtet werden. Die Löcher 410 können kreisförmig sein, wie in FIG. 4 gezeigt, oder können eine andere Form aufweisen, zum Beispiel ein rechteckiges Loch 120, wie in FIG. 1 gezeigt. Der Satz von Beamlets 436 beleuchtet dann eine Ausblendsteuerungsplatte 432. Die Ausblendsteuerungsplatte 432 weist eine Vielzahl von Ausblendsteuerungen 434 auf, von denen jede mit einem Beamlet 436 ausgerichtet ist. Jede Ausblendsteuerung 434 kann das zugeordnete Beamlet 436 einzeln steuern, um entweder zu ermöglichen, dass das Beamlet 436 auf der Oberfläche 424 auftrifft, oder um zu verhindern, dass das Beamlet 436 auf der Oberfläche 424 auftrifft. Durch die Zeitdauer, für die der Strahl auf der Oberfläche auftrifft, wird die Gesamtenergie oder „Dosis“ gesteuert, die von diesem Beamlet aufgebracht wird. Typischerweise scannen Mehrstrahlschreiber den gesamten zu belichtenden Bereich. Daher ist die Schreibzeit unabhängig von der Anzahl der Formen oder der Komplexität der Form konstant.
[0035] Ein Substrat 426 ist auf einer beweglichen Plattform oder einem beweglichen Stand 428 positioniert, die/der unter Verwendung von Aktoren 430 neu positioniert werden kann. Durch Bewegen des Standes 428 kann der Strahl 440 einen Bereich belichten, der größer als die Abmessungen des durch die Beamlet-Gruppe 440 gebildeten Musters maximaler Größe ist, wobei eine Vielzahl von Belichtungen oder Schüssen verwendet wird. In einigen Ausführungsformen bleibt der Stand 428 während einer Belichtung stationär und wird dann für eine nachfolgende Belichtung neu positioniert. In weiteren Ausführungsformen bewegt sich der Stand 428 kontinuierlich und mit einer variablen Geschwindigkeit. In noch weiteren Ausführungsformen bewegt sich der Stand 428 kontinuierlich, jedoch mit einer konstanten Geschwindigkeit, was die Genauigkeit der Positionierung des Standes erhöhen kann. Für jene Ausführungsformen, in denen sich der Stand 428 kontinuierlich bewegt, kann ein Satz von Deflektoren (nicht gezeigt) verwendet werden, um den Strahl zu bewegen, um der Richtung und Geschwindigkeit des Standes 428 zu entsprechen, wodurch die Beamlet-Gruppe 440 während einer Beleuchtung in Bezug auf die Oberfläche 424 stationär bleibt. In noch weiteren Ausführungsformen von Mehrstrahlsystemen können einzelne Beamlets in einer Beamlet-Gruppe unabhängig von anderen Beamlets in der Beamlet-Gruppe über die Oberfläche 424 abgelenkt werden.
[0036] Andere Arten von Mehrstrahlsystemen können eine Vielzahl von ungeformten Beamlets 436 erzeugen, wie etwa, indem eine Vielzahl von Quellen geladener Teilchenstrahlen verwendet wird, um eine Anordnung von Gauß-Beamlets zu erzeugen. Während die vorliegende Offenbarung in Bezug auf die Lithographie mit geladenem Teilchenstrahlen beschrieben wird, können die beschriebenen Verfahren auch auf eine Laserlithographie angewendet werden, insbesondere auf eine Mehrstrahllaserlithographie.
[0037] Die Dosis wird in allen hochpräzisen eBeam-Schreibern durch Steuern der Belichtungszeit gesteuert, anstatt die Stromdichte des Strahls dynamisch zu ändern. In der Praxis versteht es sich, dass die Belichtungszeit viel genauer gesteuert werden kann als die Stromdichte. Aus diesem Grund wird eine Belichtung mit hoher Dosis erzielt, indem die Zeit, in welcher der Strahl eingeschaltet ist, im Vergleich zu Belichtungen mit niedriger Dosis erhöht wird. Es ist denkbar, dass ein eBeam-Schreiber variable Stromdichten aufweist. Die vorliegenden Ausführungsformen dieser Offenbarung sind anwendbar, wenn die Dosissteuerung zumindest teilweise durch Steuern der Belichtungszeit erzielt wird. Die Belichtungszeit für jedes Beamlet 436 in der Beamlet-Gruppe kann einzeln unter Verwendung von Ausblendsteuerungen 434 gesteuert werden. Daher wird die Mehrstrahl-Schreibzeit durch die maximale Dosis bestimmt, die angewendet werden muss. Bei Schreibern, bei denen der Stand für jede Beamlet-Gruppe anhält - zum Beispiel für einen Streifen - beeinflusst das Beamlet mit der höchsten Dosierung die Belichtungszeit für den gesamten Streifen. Bei Schreibern mit Ständen konstanter Geschwindigkeit kann die Geschwindigkeit für die gesamte Gestaltung konstant sein, wobei es sich um eine Teilmenge einer Strichplatte, eine vollständige Strichplatte oder einen Wafer oder eine beliebige andere Oberfläche handeln kann, oder für einen Zeitraum teilweise konstant sein kann. Daher kann das Beamlet mit der höchsten Do-
sierung in einem Streifen die Standgeschwindigkeit und damit die Schreibzeit für eine gesamtes Gestaltung oder Oberfläche bestimmen. Selbst bei Ständen mit variabler Geschwindigkeit kann sich die Geschwindigkeit nicht zu schnell ändern, da der Stand schwer ist, um Stabilität zu gewährleisten, und eine Beschleunigungs- oder Abbremsgeschwindigkeit viel Energie erforderlich macht und eine Quelle von Schwingungen und Unschärfen ist.
[0038] In fortschrittlichen Prozessknoten sind Merkmale, die präzise auf die Maske geschrieben werden müssen, weniger als 3x der Größe des typisch kombinierten Unschärferadius der Vorwärtsstreuung und von einer Resistunschärfe eines typischen Resists, der verwendet wird, um die Maske schnell genug zu drucken um von kommerziellem Wert zu sein. Bei typischen Vorderkantenmasken werden Subauflösungshilfsmerkmale (subresolution assist features - SRAFs) mit einer Breite von weniger als 60 nm auf die Maske geschrieben, wobei die kombinierte Unschärfe in einem Bereich von über 20 nm liegt. Bei Masken für die EUV-Lithographie wird im Allgemeinen erwartet, dass die SRAFs mit einer Breite von ungefähr 30 nm üblicherweise bei Masken erforderlich werden. In dem Schritt der optische Naheffektkorrektur (optical proximity correction - OPC) oder der inversen Lithographietechnologie (ILT), durch den die Maskenformen erzeugt werden, kann die Waferleistung weiter verbessert werden, wenn kleinere Formen (wie etwa SRAFs) genau gedruckt werden können. Es ist jedoch auch wichtig, dass durch die OPC/ILT erzeugte Maskenformen tatsächlich zuverlässig über Maskenprozessvariationen auf Masken erzeugt werden können. Es werden Regeln für die Maskengestaltung festgelegt, wie etwa eine minimal zulässige Merkmalsbreite und ein minimal zulässiger Merkmalsabstand auf der Maske, die den Kompromiss darstellen. Durch die OPC/ILT muss sichergestellt werden, dass die Ausgabe den Maskengestaltungsregeln entspricht. Die Maskenhersteller müssen Masken fertigen, welche den Maskengestaltungsregeln gemäß einer bestimmten vereinbarten Spezifikation über Fertigungsvarianten hinweg genau entsprechen Engere Formen sind schwieriger auf eine Maske zu schreiben. Eine kleine quadratische Form ist am schwierigsten zu schreiben. Da jedoch kleine quadratische Formen einen geringeren Einfluss auf die Waferleistung haben, ist es bei der Vorderkantenmaskenverarbeitung typischerweise wichtig, schmale SRAFs genau zu schreiben.
[0039] Das Schreiben derart kleiner Formen auf eine Maske in Gegenwart anderer Formen, die auf derselben Maske größer sind, wird typischerweise durch eine Dosismodulation erzielt. Da die Gesamtschreibzeit der Maske einer der Haupttreiber der Maskenkosten ist, wird ein Resist ausgewählt, dessen Empfindlichkeit ausreichend ist, um die gewünschte Genauigkeit für die größeren „Hauptmerkmale“ zu erzielen, um den Kompromiss zwischen Genauigkeit, Geschwindigkeit und Kosten darzustellen. Das Schreiben von SRAFs und anderen kleineren Formen muss jedoch ebenfalls genau erfolgen und eine übliche Technik besteht darin, die Dosis der SRAFSs zu verbessern - d. h. zu erhöhen - oder teilweise zu verbessern, damit sie besser gedruckt werden.
[0040] In der Industrie kann die Menge, um die eine normale Dosis erhöht wird, typischerweise vom 1,2-fachen der normalen Dosis bis zum 3-fachen der normalen Dosis variieren, wenngleich die Dosis ein beliebiges Vielfaches der normalen Dosis sein kann. Eine stärkere Verbesserung führt zu längeren Schreibzeiten, kann jedoch zu einem genaueren Drucken kleinerer Formen führen. FIG. 5A-5B veranschaulichen den Unterschied zwischen einer ausreichend großen Form 502 und ihrem Dosisprofil (d. h. einer Dosiskurve) 512 und einer kleineren SRAF-Form 506 und ihrem Dosisprofil 522, die beide mit normaler Dosis gemessen entlang Linie 504 und 508 durch Schussumrisse jeweils von Form 502 und 506 mit der gleichen Resistschwelle geschossen wurden, wie durch Linie 514 angegeben. Die Formen 502 und 506 sind aufgebrochen, um rechteckige Formen anzuzeigen, die viel länger als breit sind, wobei die normale Dosis verwendet wird, um jede Form auf einen Resist mit einem Schwellenwert von der Hälfte der normalen Dosis zu schießen. Dosen unterhalb der Resistschwelle werden nicht gedruckt.
[0041] In einer VSB-Maschine handelt es sich bei den Schussumrissen um die Formen der eBeam-Projektion, wie dem Schreiber angewiesen. In einer Mehrstrahlmaschine handelt es sich bei den Schussumrissen um die Formen der gewünschten Formen, wie dem Schreiber angewiesen, was zu einer Rasterung an Pixeln und einer eBeam-Projektion verschiedener Dosen führt, je nach den Pixeln, die zum Zeichnen der Form verwendet werden. Zum einfacheren Verständnis werden in dieser Offenbarung Dosisprofile gezeichnet und erörtert, als ob die Maschinen VSB
wären, wenngleich die Ausführungsformen auch für Mehrstrahl gelten können. In einer Mehrstrahlmaschine verkompliziert die Rasterung an Pixeln das Dosisprofil weiter und ist von der Position der Form bezogen auf das Pixelgitter abhängig. Für das Verständnis der Konzepte dieser Offenbarung sind diese zusätzlichen Komplexitäten des Mehrstrahlschreibens nicht relevant.
[0042] Für ausreichend große Formen erreicht das Dosisprofil 512 bei der normalen Dosis ein Plateau. Dieses Plateau hat die gleiche Dosis, selbst wenn die Breite der Form 502 größer ist. Für kleinere Formen, wie durch Form 506 veranschaulicht, ist nicht ausreichend Energie vorhanden, um den Spitzenwert des Dosisprofils die normale Dosis erreichen zu lassen. Die Form des Dosisprofils 522 weist kein Plateau auf. Außerdem überschreitet das Dosisprofil 522 die Resistschwelle 514 nicht an derselben Stelle wie die Breite der Form 506. Da nicht ausreichend Energie vorhanden ist, ist die belichtete Form auf dem Resist schmaler als die gewünschte Breite.
[0043] Die Dosisspielraum des linken Randes der Formen 502 und 506 sind jeweils durch die Randneigung 516 und 528 angegeben. Die Neigung 528 ist flacher als die Neigung 516. Je schmaler die Form 506 ist, desto niedriger ist das Dosisprofil und desto flacher wird die Neigung 528. Sobald die Form 502 breit genug ist, damit das Dosisprofil 512 das Plateau erreicht, ändern breitere Formen ihre Neigung 516 nicht mehr. Flachere Neigungen haben einen schlechteren Dosisspielraum, was bedeutet, dass die kritische Abmessung (critical dimension - CD), welche die Breite der Form darstellt, bei einer bestimmten Dosisvariation stärker variiert. Der Begriff Dosisspielraum beschreibt in dieser Schrift die Toleranz eines Musters, das durch einen Satz von Schüssen eines geladenen Teilchenstrahls definiert ist, gegenüber Herstellungsvariationen aller Art, einschließlich dosisabhängiger Variationen. Ein besserer Dosisspielraum gibt eine höhere Toleranz an. Für den Fachmann ist allgemein bekannt, dass die Widerstandsfähigkeit gegenüber Dosisvariationen eine gute Annäherung an viele Quellen von Herstellungsvariationen ist. Um die Widerstandsfähigkeit gegenüber Herstellungsschwankungen zu verbessern, wie durch den Dosisspielraum angegeben, besteht ein herkömmliches Verfahren darin, eine höhere als die normale Basisdosis für kleinere Formen zu verwenden, wie dies durch das Dosisprofil 532 aus FIG. 5C dargestellt ist. In diesem Beispiel wird eine Basisdosis von mehr als 1,0 verwendet, wobei die Dosismenge so gewählt wird, dass sie den CD aufweist, der durch den Abstand zwischen den Punkten des Dosisprofils 532 angegeben wird, welche die Resistschwelle 514 überschreiten, wobei der kritische Abstand die gewünschte Breite der Zielform 506 sein soll. Die Industrie verwendet viele Kombinationen aus Dosisanpassung und Formanpassung. FIG. 5C ist ein Beispiel, in dem nur eine Dosisanpassung eingesetzt wird. In diesem Beispiel kann, sobald die Dosis für die Form 506 berechnet wurde, der Dosisspielraum gemessen werden, indem die Neigung 538 des Dosisprofils 532 an der Stelle berechnet wird, an der sie den Schwellenwert 514 überschreitet. Es ist zu beachten, dass die Randneigung 538 besser ist (d. h. eine steilere Neigung aufweist) als die Randneigung 528, jedoch immer noch schlechter als die Randneigung 516 sein kann.
[0044] Der Dosisspielraum ist aufgrund des Kontrasts auch für die Druckbarkeit der kleinen Merkmale wichtig. Bei dem Kontrast handelt es sich um die Differenz aus der Energiemenge, die auf den Resist in der unmittelbaren Nachbarschaft innerhalb des belichteten Bereichs angewendet wird, und der, die in der unmittelbaren Nachbarschaft außerhalb des belichteten Bereichs angewendet wird. Die unmittelbare Nachbarschaft bei der Verarbeitung von Halbleitermasken kann einige Nanometer bis einige Nanometer im zweistelligen Bereich betragen. Da ein Dosisprofil eine kontinuierliche Funktion in der betreffenden Längenskala ist, sind der Dosisspielraum und der Kontrast stark miteinander korreliert. Ein unzureichender Kontrast macht eine Form unlösbar. Die Reduzierung der Mindestgröße der Formen, die mit einem gegebenen Resist zuverlässig gelöst werden können, ist wichtig für die Wirtschaftlichkeit der Maskenherstellung.
[0045] Es ist ein weiterer Faktor vorhanden, der die Menge der Dosis beeinflusst, die direkt vom eBeam-Schreiber geworfen werden muss, um eine Form auf die Oberfläche zu drucken. Dieser wird als Näherungseffektkorrektur (proximity effect correction - PEC) bezeichnet, welche die Rückstreuung von Elektronen korrigiert, die von eBeam-„Schüssen“ im betroffenen Bereich abgegeben werden. FIG. 6A-6B zeigen die resultierende Dosis, die den Schüssen aus FIG. 5A-5B nach PEC entspricht. In FIG. 6A ist die Rückstreuung von Elektronen, die durch die Form 502 und ihre umgebenden Schüsse geworfen werden, typischerweise in einem Abstand von einem
Schuss in der Größenordnung von 10um, durch die gestrichelte Linie angegeben, die eine Rückstreuung 618 darstellt. Wenn der umgebende Bereich dicht mit Belichtungen besiedelt ist, ist die Rückstreuung 618 höher. Wenn der umgebende Bereich dünn besiedelt ist, ist die Rückstreuung 618 niedriger, möglicherweise nahe null. Wie allgemein praktiziert, optimiert die PEC iterativ die angewendete Dosis für eine ausreichend große Form 502, sodass die auf die Schussform 502 angewendete Dosis bewirkt, dass die Breite der Form 502 trotz der durch Rückstreuung hinzugefügten zusätzlichen Dosis genau gedruckt wird. Das korrigierte Dosisprofil 612 der Belichtung (ohne Rückstreuung) weist eine geringere Dosis auf als das Dosisprofil 512. Die Randneigung 616 ist flacher als die Randneigung 516. Höhere Mengen an Rückstreuung, die von den benachbarten Bereichen erhalten werden, verschlechtern den Dosisspielraum. FIG. 6B zeigt die gleiche PEC, die auf kleinere Formen angewendet wird, wie etwa für SRAFs. Da die PEC normalerweise auf alle Formen aller Größen auf die gleiche Weise angewendet wird, wird das Dosisprofil 622 im Vergleich zu dem Dosisprofil 522 noch kleiner (nicht einschließlich der Basisdosis, die von der Rückstreuung kommt, wie durch die horizontale gestrichelte Linie für die Rückstreuung 618 gezeigt). Die Randneigung 628 ist daher noch flacher als die Randneigung 528 und wesentlich flacher als die Randneigung 616, was den Dosisspielraum verschlechtert und diese Formen viel anfälliger für Auswirkungen von Herstellungsschwankungen macht. FIG. 6C zeigt das Dosisprofil nach PEC des in FIG. 5C beschriebenen Schusses, bei dem die Dosis erhöht wurde, um die gewünschte kritische Abmessung (critical dimension - CD) zu erzielen. Die Randneigung 638 ist flacher (schlechter) als die Randneigung 538 vor PEC, ist jedoch besser als die normale Dosis, die Randneigung 628 nach PEC.
[0046] In einigen Ausführungsformen umfasst das Bereitstellen eines ausreichenden Dosisspielraums Erhöhen der Dosis, sodass die Dosis nach PEC innerhalb einer voreingestellten Grenze liegt (wie das 0,7- bis 1,3-fache der normalen Dosis), und Durchführen einer Linearitätskorrektur, um sicherzustellen, dass die Größe der Form korrekt ist.
[0047] Die Rückstreuung wird durch geladene Teilchen wie etwa Elektronen verursacht, die nach einer Kollision mit dem Resist und den Materialien unter dem Resist zurückprallen. Der effektive Radius der Rückstreuung kann zum Beispiel 10 Mikrometer betragen - viel größer als die Größe eines Schusses. Daher erhöht die gesamte Rückstreuung von Schüssen in der Nähe innerhalb dieses effektiven Radius eines Schusses die Dosierung an der Position des Schusses. Wenn dies nicht korrigiert wird, kann die Rückstreuung dem Schuss mehr Dosis hinzufügen als die beabsichtigte Dosis des Schusses, was dazu führt, dass das Muster breiter registriert wird - insbesondere in Bereichen mit hoher Belichtungsdichte.
[0048] FIG. 7A-7G zeigen eine Iterationssequenz, die von der PEC angewendet wird, um Dosismengen zu korrigieren, die zum Schießen jeder Form verwendet werden, um Rückstreueffekte zu korrigieren, wie im Stand der Technik bekannt. FIG. 7A veranschaulicht ein Beispiel für einen Umriss eines rechteckigen Schusses 702. Die Pausen zeigen, dass der Schuss 702 in vertikaler Richtung beliebig lang sein kann. Die Breite des Schusses 702, der Abstand zwischen „g“ und „h“, wird zu Veranschaulichungszwecken in diesem Beispiel zwischen 100 nm und 300 nm angenommen, wobei ein üblicherweise verwendeter Maskenproduktionsprozess angenommen wird, der erheblich geringer ist als der angenommene Rückstreuungsbereich von ungefähr 10um. Die Schussgrößen von Mehrstrahlmaschinen betragen typischerweise 5 bis 20 nm. Im Fall einer Mehrstrahlmaschine schießt eine Sammlung von Schüssen, die miteinander kombiniert sind, eine Form, wie etwa Schuss 702. Die Rückstreuung gilt für einen einzelnen Schuss auf die gleiche Weise wie für eine Sammlung von Schüssen und die PEC-Korrektur wird auf die gleiche Weise angewendet. FIG. 7B veranschaulicht ein Beispiel für einen Dosierungsgraphen 710, der die Dosierung entlang Linie 704 bis zum Schussumriss 702 mit einer normalen Schussdosierung beinahe ohne Rückstreuung veranschaulicht. Es wird außerdem angenommen, dass andere Fernwirkungseffekte nichts zur Hintergrundbelichtung aus FIG. 7B beitragen, was zu einem Hintergrundbelichtungsniveau nahe null führt. Die an den Resist abgegebene Gesamtdosis ist auf der y-Achse veranschaulicht und beträgt das 1,0-fache der normalen Dosierung. Aufgrund der Hintergrundbelichtung nahe null sind die Gesamtdosis und die Schussdosis nahezu gleich. Der Dosierungsgraph 710 veranschaulicht außerdem eine Resistschwelle 714 bei der Hälfte der norma-
len Dosierung. Die CD-Variation der Form, die durch den Dosierungsgraphen 710 in x- Richtung dargestellt wird, steht in umgekehrter Beziehung zu der Neigung der Dosierungskurve (d. h. des Dosisprofils) 712 bei den x-Koordinaten „g“ und „h“, wo sie die Resistschwelle schneidet 714. Die Randneigung 716 der Dosierungskurve 712 bei der Schwelle 714 ist als Hypotenuse eines rechtwinkligen Dreiecks veranschaulicht.
[0049] Der Zustand aus FIG. 7B einer Hintergrundbelichtung nahe null spiegelt nicht die tatsächlichen Gestaltungen wider. Tatsächliche Gestaltungen weisen typischerweise viele weitere Schüsse innerhalb des Rückstreuungsabstands von Schuss 702 auf. FIG. 7C veranschaulicht ein Beispiel für einen Dosierungsgraphen 720 eines Schusses mit einer normalen Dosierung mit einer Rückstreuung 728, die aus einer Belichtungsdichte von 50 % resultiert. In dem Dosierungsgraphen 720 veranschaulicht die Dosierungskurve 722 die Querschnittsdosierung des Schusses 702 zusätzlich zu der Hintergrundbelichtung (Rückstreuung 728). Die Randneigung 726 der Dosierungskurve 722 bei der Schwelle 714 ist als Hypotenuse eines rechtwinkligen Dreiecks veranschaulicht. Die CD-Variation der Kurve 722 ist größer (schlechter) als die CD-Variation der Kurve 712, wie durch die flachere Randneigung 726 angegeben, wobei die Kurve 722 die Resistschwelle 714 jenseits der Punkte „g“ und „h“ schneidet, verglichen mit der Randneigung 716. Die flachere Randneigung 726 ist auf die Resistschwelle zurückzuführen, die den unteren Teil der Dosiskurve schneidet, wo der Dosisspielraum aufgrund der durch die Rückstreuung 728 hervorgerufenen Hintergrundbelichtung schlechter ist. Der Schnittpunkt der Dosierungskurve 722 bei der Resistschwelle 714 ist breiter als die Punkte „g“ und „h“, was angibt, dass die gedruckte CD größer als die gewünschte Größe sein würde. Die Rückstreuung 728 „belichtet“ den Resist „vor“, sodass das Schießen der Dosierungskurve 722 mit normaler Dosierung in Gegenwart der Rückstreuung 728 bewirkt, dass die CD größer als gewünscht gedruckt wird.
[0050] FIG. 7D veranschaulicht eine neue Dosiskurve 732 nach PEC. Der Zwischendosisgraph 725 zeigt immer noch 50 % Rückstreuung 728, jedoch mit einer reduzierten Dosiskurve 732, die so berechnet wurde, dass mit der aktuellen Rückstreuung der Schnittpunkt der Dosiskurve 732 und der Resistschwelle 714 genau bei „g“ und „h“ misst. Dies ist das Ergebnis einer ersten Iteration der PEC, um die Überdosierung zu korrigieren, die durch das Vorhandensein von Rückstreuung entsteht. In dieser Iteration wird angenommen, dass die Rückstreuungsmenge dieselbe ist wie die Rückstreuungsmenge 728, da es keine Möglichkeit gibt, zu wissen, wie die neue Rückstreuung aussehen wird, nachdem die PEC für alle umgebenden Schüsse durchgeführt wurde. Die PEC-Berechnung verringert die auf Schuss 702 angewendete Dosis, sodass die Resistschwelle 714 das Dosisprofil 732 bei „g“ und „h“ schneidet. Die Randneigung 736 der Dosierungskurve 732 bei der Schwelle 714 ist als Hypotenuse eines rechtwinkligen Dreiecks veranschaulicht. Es ist zu beachten, dass die Neigung 736 flacher als die Neigung 716 ist, da die PEC den Dosisspielraum verschlechtert.
[0051] Der Dosisgraph 730 aus FIG. 7E veranschaulicht, warum die PEC mehrere Iterationen erforderlich macht. Die wie in FIG. 7D veranschaulicht durchgeführte PEC-Berechnung trifft genau dann „g“ und „h“, wenn und nur wenn die Rückstreuung 738 mit der Rückstreuung 728 identisch ist. Da jedoch die PEC für alle Schüsse durchgeführt wird, wird die Rückstreuung 738 verringert, da die PEC auf alle Schüsse um diesen Schuss angewendet würde, was dazu führt, dass jede Schussdosis abnimmt (da die Dosis dieses Schusses von Kurve 722 zu Kurve 732 verringert wurde). Die neue Rückstreuung ist in FIG. 7E als Rückstreuung 738 angegeben. Die Rückstreuung 738 ist niedriger als die Rückstreuung 728. Wie zu sehen ist, führt die Reduzierung der Rückstreuung zu einer kleineren registrierten Mustergröße als die gewünschte Größe des ursprünglichen Schusses 702.
[0052] Die zweite Iteration der PEC korrigiert dies, indem die PEC mit dieser neuen (reduzierten) Rückstreuungsmenge (Rückstreuung 738) neu berechnet wird. Das Dosisprofil 732, das nun eine niedrigere Rückstreuung aufweist, muss mit einer erhöhten Dosis verbessert werden, um die Ziele „g“ und „h“ zu treffen und eine Form, wie etwa Schuss 702, mit der richtigen CD zu drucken.
[0053] Das Erhöhen der Dosis für die PEC an allen Formen einer Gestaltung, wie in Dosisgraph 740 aus FIG 7F angegeben, führt zu einem Dosisprofil 742, das höher als die Dosierungskurve
732 ist. Nachdem alle anderen Formen in der Nachbarschaft eingestellt wurden, ergibt sich anschließend eine höhere Rückstreuung 748 als die Rückstreuung 738. Die dritte Iteration der PEC korrigiert dies, indem PEC erneut mit dieser neuen (erhöhten) Rückstreuungsmenge berechnet wird. Diese Iterationen werden weiterhin abwechselnd fortgesetzt, bis eine Konvergenz innerhalb einer vorbestimmten Toleranz der Rückstreuungsmenge vorliegt, wie bei Dosisstufe 758 in dem Dosisgraph 750 aus FIG. 7G angegeben, und Dosisprofil 752 die gewünschte Größe des Originalschusses 702 auf dem Schwellenwert 714 korrekt erzeugt. Die konvergierten Dosismengen werden dann verwendet, um die einzelnen Formen auf die Maske zu schießen, was zu einem rückstreukorrigierten Satz von Dosen für alle Formen als PEC-Ergebnis führt.
[0054] FIG. 8A-8B veranschaulichen ein Beispiel dafür, wie die PEC isolierte Formen beeinflusst. In FIG. 8A handelt es sich bei den Formen 810 und 815 um isolierte Muster in einem Bereich, der ansonsten von keiner anderen Belichtung besetzt ist. Eine Anfangsdosis kann für beide Formen mit dem 1,0-fachen der Normaldosis berechnet werden. FIG. 8B zeigt, dass die nach PEC berechneten Dosierungen immer noch ungefähr 1,0 der normalen Dosis betragen und praktisch nicht beeinflusst werden, da keine andere umgebende Rückstreuung als die durch die Formen 810 und 815 verursachte Rückstreuung vorliegt.
[0055] FIG. 8C-8D veranschaulichen ein Beispiel dafür, wie die PEC Formen in Bereichen mit einer Belichtungsdichte von 50 % beeinflusst; das heißt, in dem 50 % der Fläche mit Mustern bedeckt sind. In FIG. 8C sind die Formen 820, 822, 824, 826 und 828 in einem wiederholten Linien- und Raummuster gezeigt, wobei die dosierte Form und der Zwischenraum gleich breit sind, wobei das Linien- und Raummuster für einen effektiven Radius von 10um in allen Richtungen wiederholt wird. Eine Anfangsdosis für jede Form kann mit dem 1,0-fachen der Normaldosis berechnet werden. FIG. 8D zeigt als Beispiel, dass aufgrund der umgebenden Rückstreuung von benachbarten Formen während der PEC die Dosierung für alle Formen in dem wiederholten Muster auf 0,67 der normalen Dosis reduziert wird.
[0056] FIG. 8E-8F veranschaulichen ein Beispiel dafür, wie die PEC Formen in Bereichen hoher Dichte beeinflusst. In FIG. 8E sind die Formen 830, 832 und 834 gezeigt, wobei die Form 832 die betreffende Form ist. Die Formen 830 und 834 sind große Belichtungsbereiche mit einer Breite von mehr als einem effektiven Radius von 10um Breite und das Muster wird oben und unten um einen effektiven Radius von 10um erweitert. Eine Anfangsdosis für jede Form kann mit dem 1,0fachen der Normaldosis berechnet werden. FIG. 8F zeigt, dass aufgrund der umgebenden Rückstreuung von benachbarten Formen während der PEC die Dosierung für Form 832 auf beispielsweise 0,5 der normalen Dosis reduziert wird. Die PEC reduziert mathematisch die Dosis jeder Belichtung um genau den richtigen Betrag, um die CD gleich dem Wert zu gestalten, bei dem es sich bei der CD ohne Rückstreuung für eine ausreichend große Form gehandelt hätte. Die PEC lässt daher den Effekt der Rückstreuung effektiv verschwinden. Die Rückstreuung ist immer ein positiver Wert. Daher reduziert die PEC immer die Dosis, um dies auszugleichen.
[0057] Da die PEC den Gaußschen Wert „abflacht“, ist die Dosis, die erforderlich ist, um die Form in einem Bereich mit hoher Rückstreuung zu belichten, erheblich geringer. Der Unterschied in der erforderlichen Dosis zwischen dem Bereich mit hoher Rückstreuung (die Form ist von hoher Dosisdichte umgeben) und einem Bereich ohne Rückstreuung (die Form ist von niedriger Dosisdichte umgeben) kann bis zu 2X oder mehr betragen. Die modifizierte Dosis Dpec eines Pixels oder eines Schusses mit normaler Dosis für die erste Iteration der PEC- Berechnung ist durch die folgende Formel gegeben: — Temp
[0058] Dpec a Temp +n[Dosisdichte]
[0059] Bei der Resistschwelle von 0,5 der normalen Dosis ist Temp der Anteil der Vorwärtsstreuung an der Resistschwelle und eta(n) die Normalisierungskonstante. Mit Temp von 0,5, einer eta(n) von 0,5 und einer Belichtungsdichte von 100 % wird Dpee als 0,5 berechnet. Wenn ein SRAF mit der doppelten normalen Dosis (2,0-fach) geschossen werden soll, sich jedoch in einem Bereich mit hoher Dichte und hoher Rückstreuung befindet, erhalten die Pixel oder Schüsse ungefähr das 1,0-fache der normalen Dosis nach der ersten Iteration der PEC- Berechnung, da 0,5*(2,0) = 1.0.
[0060] Dies liegt daran, dass der Bereich weitgehend mit Energie aus der Rückstreuung belichtet ist. Es wird also nur ein Bruchteil der Energie aus diesem Pixel oder Schuss benötigt, um ausreichend Energie zu erzeugen, um den Schwellenwert für die Belichtung des Resists zu erreichen.
[0061] Bereiche mit einer Rückstreuung nahe null nehmen die meiste eBeam-Dosis pro Schuss auf. Daher wird bei einem Mehrstrahlschreiber mit konstanter Schreibzeit die Schreibzeit der gesamten Maschine durch das Schreiben dieser isolierten Muster mit der höchsten verbesserten Dosis, typischerweise für schmale Formen wie für SRAFs, stimuliert. Typische Testmasken weisen Muster in Bereichen mit hoher Dichte auf, die mit Mustern in Bereichen mit niedriger Dichte gemischt sind. Typische Produktionsmasken weisen viel weniger Variationen auf. Einige Masken weisen Muster auf, die alle dicht sind (zum Beispiel durchschnittlich 70 % Belichtungsdichte). Andere Masken weisen Muster auf, die alle spärlich sind (z. B. durchschnittlich 25 % Belichtungsdichte). Da jedoch viele Produktionsmasken einige Testmuster in sich kombinieren, kann eine geringe Variation nicht gewährleistet werden. In jedem Fall wird die Mehrstrahl-Schreibgeschwindigkeit, insbesondere für Schreiber mit konstanter Schreibzeit, durch das Pixel bestimmt, das eine hohe verbesserte Dosis in einer Umgebung mit weniger Rückstreuungswurf kombiniert. Die Schreibgeschwindigkeit von Schreibern mit variabler Schreibzeit, wie etwa VSB-Schreibern, und möglicherweise Mehrstrahlschreibern, wird in geringerem Maße, jedoch immer noch erheblich, durch den Schuss stimuliert, der eine hohe verbesserte Dosis in einer Umgebung mit weniger Rückstreuung kombiniert.
KÜNSTLICHE HINTERGRUNDDOSIS
[0062] In einigen Ausführungsformen wird eine künstliche Hintergrunddosis in Bereichen mit ansonsten geringer Rückstreuung eingeführt, um die Pixel- oder Schussdosis zu reduzieren und damit die Gesamtschreibzeit zu reduzieren. Die Pixel oder Schüsse weisen infolgedessen nach PEC eine niedrigere Dosis auf, wodurch der Maximalwert der Pixel- oder Schussdosen für eine Maske oder einen Teil der Maske verringert, die Bewegungsgeschwindigkeit des Standes erhöht und dadurch die Gesamtschreibzeit erheblich reduziert wird.
[0063] FIG. 9A-B veranschaulichen, wie das Einführen einer künstlichen Hintergrunddosis gemäß einigen Ausführungsformen eine Dichte von 50 % nachahmen kann, ähnlich FIG. 8C und 8D. FIG. 9A zeigt ähnliche isolierte Formen 910 und 915 wie in FIG. 8A, jedoch mit einer zusätzlichen künstlichen Hintergrunddosis in Form einer Form 913. Die Anfangsdosis wird wie vorangehend auf das 1,0-fache der normalen Dosis für die Formen 910 und 915 und eine künstliche Hintergrunddosis eingestellt, die in diesem Beispiel 0,30 der normalen Dosis für die Form 913 beträgt. Es ist wichtig zu beachten, dass eine auf diese neue Form 913 angewendete Dosierung unter dem zu druckenden Schwellenwert liegt. FIG. 9B zeigt eine ähnliche Dosisreduktion von 0,67 der normalen Dosis nach PEC wie in FIG. 8D, wodurch die Gesamtdosis reduziert wird, die erforderlich ist, um die vorangehend isolierten Formen 910 und 915 zu drucken. In einigen Ausführungsformen wird die Form 913 auch auf 0,20 der normalen Dosis reduziert. In diesem vereinfachten Beispiel wird angenommen, dass sich die Form 913 über den Rückstreuradius hinaus von den Formen 910 und 915 weg erstreckt. In den meisten Situationen wird die Form 913 stark reduziert, um die künstliche Hintergrunddosis nur in dem Bereich hinzuzufügen, der die Formen 910 und 915 unmittelbar umgibt. Weitere solche künstliche Hintergrunddosen für andere Formen, welche die Formen 910, 913 und 915 umgeben, tragen zu einer tatsächlichen Rückstreuung dieser Formen bei. In den vorliegenden Ausführungsformen werden alle solchen Energiebeiträge unter Verwendung von PEC berechnet, wenn die tatsächliche Dosis nach PEC der Formen 910 und 915 berechnet wird. In einigen Ausführungsformen wird die Dosis der Form 913 nicht durch alle Iterationen der PEC modifiziert. In einigen Ausführungsformen wird die Dosis der Form 913 durch alle Iterationen der PEC modifiziert.
[0064] Eine künstliche Hintergrunddosis kann zu Bereichen hinzugefügt werden, in denen die Rückstreuung niedrig genug ist, wenn die Dosisspielräume nach PEC gemäß einigen in der Praxis festgelegten Parametern ausreichend besser als „gut genug“ sind. FIG. 10A-10F veranschaulichen einige Beispiele einer künstlichen Hintergrunddosis, wobei die hinzugefügte künstliche Hintergrunddosis in Form eines zusätzlichen Musters (Muster mit gehashten Fülllinien) vorliegt, das
in Kombination mit dem gewünschten Muster geschossen wird. Bei der künstlichen Hintergrunddosis handelt es sich um eine Belichtung unterhalb des Schwellenwertes; das heißt, um eine Dosierung, die niedriger als die zum Drucken erforderliche Resistschwelle ist. FIG. 10A zeigt, wie eine künstliche Hintergrunddosisform 1001 über einen Bereich geworfen werden kann, der eine gewünschte Musterform 1000 enthält, die auf eine Oberfläche gedruckt werden soll, wobei das Muster in dem Bereich vollständig bedeckt wird. FIG. 10B zeigt eine künstliche Hintergrunddosisform 1002 in dem Bereich, außer an Stellen, an denen die gewünschte Musterform 1000 bereits vorhanden ist, um das Muster nicht abzudecken. FIG. 10C zeigt eine künstliche Hintergrunddosisform 1003 in dem Bereich, der die gewünschte Musterform 1000 mit einem gewissen Rand umgibt, zum Beispiel bis zu 3 Sigma von dem Rand des Musters entfernt. Für ausreichend große Muster, wie in einer Musterform 1010 aus FIG. 10D, werden künstliche Hintergrunddosisformen 1011 und 1012 nur über einen vorbestimmten Abstand von einem Rand der Musterform 1010 hinaus angewendet, die auf eine Oberfläche gedruckt werden soll. Beim Erzeugen einer Grenze um ein Merkmal, zum Beispiel eines Randes, eines Linienendes oder eines SRAF, kann eine künstliche Hintergrunddosis, die auf diese Weise angewendet wird, in einigen Fällen besser sein, als nur die Hintergrundbelichtung überall zu erhöhen. Eine künstliche Hintergrunddosis kann alternativ an einer beliebigen Stelle zu dem Bereich hinzugefügt werden, wie zum Beispiel in jeder von FIG. 10E und 10F, wobei künstliche Hintergrunddosisformen 1004 und 1005 die gewünschte Musterform 1000 jeweils teilweise abdecken.
[0065] In einigen Ausführungsformen wird eine vorbestimmte künstliche Hintergrunddosis, wie etwa im Bereich von 20 % bis 30 %, überall definiert und angewendet. Es ist ein minimaler Zielrückstreuungsbetrag oder ein vorbestimmter Schwellenwert, zum Beispiel 30 %, definiert. In einigen Ausführungsformen, in denen von Natur aus nicht ausreichend Rückstreuung vorhanden ist, damit die PEC die Dosis verringert, wird die umgebende Dosis durch Hinzufügen einer künstlichen Hintergrunddosis erhöht, um die minimale Rückstreuungsmenge zu erreichen. In einigen Ausführungsformen kann die zusätzliche Rückstreuung, die durch die künstliche Hintergrunddosis beigetragen wird, in der Menge der künstlichen Hintergrunddosis, die durch Vorberechnung der zusätzlichen Rückstreuung, wie sie durch die künstliche Hintergrunddosis beigetragen wird, hinzugefügt werden soll, berücksichtigt werden oder nicht. Bei den hierin beschriebenen Ausführungsformen handelt es sich um Beispiele und andere Variationen der Zugabe einer künstlichen Hintergrunddosis sind möglich. In einigen Ausführungsformen hat die minimale Rückstreuungsmenge einen eingebauten Spielraum, um die zusätzliche Rückstreuung zu berücksichtigen, die von der künstlichen Hintergrunddosis ausgeht. Da das Verhalten der Rückstreuungsmengen mathematisch linear ist, kann die Menge der Rückstreuung, die durch die künstliche Hintergrunddosis hinzugefügt wird, unabhängig von den Dosen der Schüsse in einer gegebenen Region berechnet werden. In einigen Ausführungsformen wird der gesamte Maskenbereich in Partitionen von einer bestimmten Größe oder in Größen unterteilt und wird die künstliche Hintergrunddosis für jede Partition bestimmt, wobei jede der Partitionen eine Menge einer künstlichen Hintergrunddosis innerhalb der Partition aufweist, unterschiedliche Partitionen jedoch möglicherweise unterschiedliche Mengen einer künstlichen Hintergrunddosis aufweisen. Typischerweise wird die PEC in einem groben Gitter berechnet, wie etwa in einem 50-nm-Gitter oder einem 300-nm-Gitter. Für jedes Gitter oder jede Partition wird die PEC-Anpassung berechnet. In einigen Umsetzungen wird die PEC-Anpassung für eine beliebige Stelle innerhalb einer Partition auf Grundlage der berechneten PEC-Anpassungen für benachbarte Partitionen interpoliert. In einigen Ausführungsformen erfolgt die Berechnung der Menge einer künstlichen Hintergrunddosis auf demselben Gitter wie dem für die PEC verwendete Gitter. In einer solchen Umsetzung wird die empfangene Rückstreuungsmenge in jedem PEC-Gitter mit der spezifizierten minimalen Zielrückstreuungsmenge verglichen, um die Menge einer künstlichen Hintergrunddosis zu bestimmen, um den Bereich bereitzustellen, den das PEC-Gitter abdeckt. In einigen Ausführungsformen wird die künstliche Hintergrunddosis für jede Stelle innerhalb einer einzelnen Partition über die Partition interpoliert, wie etwa auf Grundlage der künstlichen Hintergrunddosen für benachbarte Partitionen. Der folgende PEC-Schritt verringert die Dosis des betreffenden Schusses/Pixels, wodurch die Schreibzeit für diesen Schuss/Pixel verringert wird. Wenn dies für alle Schüsse/Pixel auf der Maske oder einem Abschnitt der Maske durchgeführt wird, wird die Dosis und damit die Schreibzeit für diesen Ab-
schnitt der Maske verringert. Der folgende PEC-Schritt berücksichtigt das Hinzufügen der künstlichen Hintergrunddosis und jeglicher zusätzlichen Rückstreuung, die durch die künstliche Hintergrunddosis beigetragen wird. Die PEC passt die Schussdosis aller Schüsse auf der Maske an, um alle CDs so anzupassen, dass sie das Ziel genau so treffen, wie die PEC es stets für natürliche Rückstreuung getan hat. Die Dosisspielräume der angepassten Schüsse sind schlechter als vor dem Hinzufügen der künstlichen Hintergrunddosis. Der vom Benutzer angepasste Parameter für die minimale Rückstreuung ermöglicht es jedoch, dass die Dosisspielräume innerhalb annehmbarer Dosisgrenzen bleiben, wie sie für einen bestimmten Maskenprozess bestimmt wurden.
[0066] Bei herkömmlichen VSB-Maschinen erfordert das Werfen einer Dosis jeglicher Art (wie gering sie auch sein mag) einen separaten Schuss und eine Austastzeit, welche die Schüsse trennt. Da die Austastzeit typischerweise ungefähr die gleiche Zeitdauer wie die Belichtungszeit bei normaler Dosis beträgt, kann bei normaler Dosis angenommen werden, dass eine angemessene Annäherung erster Ordnung einer Schusszeit 2 Zeiteinheiten andauern wird. In dieser Annäherung würde ein Schuss mit einer Dosis von 10 % 1,1 Zeiteinheiten in Anspruch nehmen. Da die Schreibzeit für die Optimierung von Kosten und Ertrag von Masken von größter Bedeutung ist und da das Schreiben komplexer Vorderkantenmasken bereits zu lange dauert, ist es kommerziell nicht möglich, überall dort eine künstliche Hintergrunddosis hinzuzufügen, wo sonst keine Schüsse gemacht wurden. Da jedoch der Maskenstand, der typischerweise eine variable Geschwindigkeit aufweist, in einem VSB-Maskenschreiber schwer ist, kann der Maskenstand die Geschwindigkeit nur allmählich ändern, weshalb eine Reduzierung der Spitzendosisdichte dazu beitragen kann, die Schreibzeit für VSB-Maschinen zu reduzieren.
[0067] Insbesondere für VSB-Maschinen beinhalten einige Ausführungsformen der vorliegenden Offenbarung gezieltes Austreten von eBeam-Energie, wodurch während der Austastzeit eine künstliche Hintergrunddosis erzeugt wird. Die genaue Stelle, an welcher der ausgetretene eBeam geworfen wird, muss nicht genau gesteuert werden. Da Rückstreuung im Radiusbereich von 10um ein großräumiger Effekt ist, ist die Steuerung der Stelle auf nm-Ebene nicht wichtig. Die Austrittsmenge und die Austrittsdauer während der Austastzeit können genau berechnet werden. Durch eine solche Berechnung kann der Weg berechnet werden, den der eBeam zurücklegt, und vermieden werden, dass der ausgetretene Strahl in die Nähe (d. h. innerhalb der kombinierten Vorwärtsunschärfe) eines Musterrandes geworfen wird, der eine präzise Steuerung erforderlich macht.
[0068] Das Oberflächenschreiben für die Knoten der fortschrittlichsten Technologie umfasst typischerweise mehrere Durchgänge des Schreibens geladener Teilchenstrahlen, ein Prozess, der als Mehrfachbelichtung bezeichnet wird, wobei die gegebene Form auf der Strichplatte geschrieben und überschrieben wird. Typischerweise werden zwei bis vier Durchgänge verwendet, um eine Strichplatte zu schreiben, um Präzisionsfehler in dem Schreiber für geladene Teilchenstrahlen zu mitteln, wodurch genauere Fotomasken erzeugt werden können. Außerdem ist die Liste der Schüsse, einschließlich der Dosierungen, typischerweise für jeden Durchgang gleich. Bei einer Variation der Mehrfachbelichtung können die Listen der Schüsse zwischen den Belichtungsdurchgängen variieren, die Gesamtheit der Schüsse in jedem Belichtungsdurchgang deckt jedoch denselben Bereich ab. Durch das Schreiben in mehreren Durchgängen kann die Überhitzung des Resists, der die Oberfläche beschichtet, reduziert werden. Durch das Schreiben in mehreren Durchgängen werden außerdem zufällige Fehler des Schreibers für geladene Teilchenstrahlen gemittelt. Durch das Schreiben mit mehreren Durchgängen unter Verwendung verschiedener Schusslisten für verschiedene Belichtungsdurchgänge können außerdem die Auswirkungen bestimmter systemischer Fehler im Schreibprozess reduziert werden. In einigen Ausführungsformen wird beim VSB-Schreiben und Schreiben mit mehreren Durchgängen nur durch einen oder einige der Schreibdurchläufe die künstliche Hintergrunddosis geworfen. Anders ausgedrückt umfasst in einigen Ausführungsformen der ursprüngliche Satz von Belichtungsinformationen Informationen für mehrere Belichtungsdurchläufe, wobei die künstliche Hintergrunddosis nur in einem Belichtungsdurchgang hinzugefügt wird. Da Präzision für die künstliche Hintergrunddosis nicht wichtig ist, ist dies ausreichend und spart Schreibzeit, da hiervon nicht alle Durchgänge betroffen
sind. Die anderen Durchgänge weisen eine reduzierte Spitzendosis eines Pixels oder eine reduzierte Spitzendosisdichte eines Bereichs ohne die zusätzliche Schreibzeit auf, die für die künstliche Hintergrunddosis erforderlich ist, wie in der in FIG. 10A dargestellten Ausführungsform.
[0069] In einigen Ausführungsformen ist ein relativ isoliertes Muster bewusst von einer künstlichen Hintergrunddosis umgeben, was zu einer erhöhten Rückstreuung führt. Die PEC, die Korrektur der Rückstreuung, wird durch Reduzieren der Pixel- oder Schussdosis erzielt - weniger Reduzierung bei niedriger Belichtungsdichte und mehr Reduzierung bei hoher Belichtungsdichte. Durch eine Reduzierung der Dosis verschlechtert sich jedoch der Dosisspielraum.
[0070] Ein annehmbarer Dosisspielraum kann durch das Ausmaß der Größenänderung bestimmt werden, die durch die Dosisreduzierung hervorgerufen wird. In einer Ausführungsform kann ein isoliertes Muster, das mit einer normalen Dosis belichtet wurde, unter Verwendung eines größeren Musters und einer reduzierten Dosis auf ein annehmbares Niveau geschossen werden, ohne eine künstliche Hintergrunddosis hinzuzufügen.
[0071] Wenn zum Beispiel ein wiederholtes Muster auf einer Oberfläche unter Verwendung einer Lithographie mit geladenem Teilchenstrahl belichtet wird, ist die Größe jeder Musterinstanz, gemessen auf der endgültig hergestellten Oberfläche, aufgrund von Herstellungsschwankungen geringfügig unterschiedlich. Das Ausmaß der Größenvariation ist ein wesentliches Kriterium für die Optimierung der Herstellung. Zu große Abweichungen, insbesondere bei Merkmalen mit minimaler Größe, können dazu führen, dass diese Form überhaupt nicht gedruckt wird, was zu einer Fehlfunktion der resultierenden Schaltung führt. Herstellungsvarianten, wie etwa Linienkantenrauheit und Eckenabrundung, sind auch in den tatsächlichen Mustern auf einer Oberfläche vorhanden. Des Weiteren führt eine größere Variation der Größe zu einer größeren Variation der Schaltungsleistung, was dazu führt, dass höhere Gestaltungsspielräume erforderlich sind, was es zunehmend schwieriger macht, schnellere integrierte Schaltungen mit geringerer Leistung zu gestalten. Diese Variation wird als Variation der kritischen Abmessung (critical dimension - CD) bezeichnet. Eine geringe CD-Variation, insbesondere eine gleichmäßig geringe CD-Variation über alle Formen über die Maske hinweg, ist wünschenswert und gibt an, dass durch Herstellungsvariationen relativ kleine Größenvariationen auf der endgültig hergestellten Oberfläche erzeugt werden. Im kleineren Maßstab können die Auswirkungen einer hohen CD-Variation als Linienkantenrauheit (line edge roughness - LER) beobachtet werden. LER wird dadurch verursacht, dass jeder Teil einer Linienkante leicht anders hergestellt wird, was zu einer gewissen Welligkeit in einer Linie führt, die eine gerade Kante aufweisen soll. Die CD-Variation steht in umgekehrter Beziehung zu der Neigung der Dosierungskurve bei der Resistschwelle, die als Randneigung bezeichnet wird. Daher sind die Randneigung und ihr Umkehrwert, der Dosisspielraum, ein kritischer Optimierungsfaktor für das Schreiben von Teilchenstrahlen auf Oberflächen. In dieser Offenbarung sind Randneigung und Dosisspielraum Begriffe, die austauschbar verwendet werden.
[0072] In einigen Ausführungsformen beinhalten Verfahren Vorschlagen einer geeigneten Menge an künstlicher Hintergrunddosis durch Verwendung einer Randneigung, die einem Zielniveau entspricht; das heißt, eine Randneigung, die „gut genug“ ist. Da die Widerstandsfähigkeit gegenüber Herstellungsvariationen ein statistischer Begriff ist, ist die Auffassung einer Randneigung, die „gut genug“ ist, kein exakter Ausdruck einer strengen Ungleichheit. In einigen Ausführungsformen der vorliegenden Verfahren wird die Menge der künstlichen Hintergrunddosis bestimmt, bei der die Randneigungen über einem Niveau liegen, das „gut genug“ ist. Beispielsweise kann ein bestimmter Maskenherstellungsprozess abgestimmt werden, um zuverlässige Herstellungsergebnisse für Linien mit Abmessungen von 100 nm x 2um zu erzeugen, die in einem Bereich von 75 % Belichtungsdichte geschrieben werden, wodurch eine größere Menge an Rückstreuung erzeugt wird, wodurch die geringste Menge einer Schuss-/Pixeldosis nach PEC und daher eine relativ flache Randneigung erzielt wird. In einigen Ausführungsformen wird die Randneigung dieser Linie nach der PEC als „gut genug“ bezeichnet. Während es andere Formen geben wird, wie einen Raum mit Abmessungen von 40 nm x 200 nm, der in einem Bereich mit 75 % Dichte geschrieben wird und in dem die Randneigung schlechter ist, kann der Maskenherstellungsprozess bestimmen, dass die Randneigung dort nicht „gut genug“ ist, jedoch dennoch in der Gesamtbilanz von Wirtschaftlichkeit, Zeit und Herstellungszuverlässigkeit herstellbar ist. Die Funktion der Randneigung,
die „gut genug“ ist, für die vorliegenden Ausführungsformen besteht darin, zu bezeichnen, dass es verglichen mit dem inkrementellen Vorteil der Widerstandsfähigkeit gegenüber Herstellungsvariationen zu viel Zeit in Anspruch nimmt, diese Dosis zu werden. Das Verringern der Randneigung auf ein nicht optimales Niveau - das heißt unter ein Zielniveau - ist kontraintuitiv, da die herkömmliche Lehre darin besteht, die Randneigung zu maximieren.
[0073] In einigen Ausführungsformen wird die Menge einer künstlichen Hintergrunddosis automatisch berechnet. In einer Ausführungsform kann die künstliche Hintergrunddosis berechnet werden, um ein annehmbares Kontrastniveau (eine Reduzierung des Kontrasts) zu erzielen. In einer weiteren Ausführungsform kann die künstliche Hintergrunddosis berechnet werden, um einen Dosisspielraum mit einer Randneigung über einem Zielminimum zu erzielen. Die minimal annehmbare Randneigung kann bestimmt werden, indem eine Randneigung an einer vorbestimmten Randstelle eines vorbestimmten Musters in einem vorbestimmten Rückstreuungsbereich berechnet wird.
[0074] In einigen Ausführungsformen wird die künstliche Hintergrunddosis vor der PEC hinzugefügt, um die Schreibzeit zu reduzieren, indem die Dosierung während der PEC verringert wird, wobei die Randneigung mit der verringerten Dosierung unter einem Zielniveau liegen kann. In einigen Ausführungsformen kann ein Zielniveau oder ein Niveau, das „gut genug“ ist, berechnet werden, indem die Randneigung eines Merkmals simuliert wird, von dem bekannt ist, dass es im Herstellungsprozess ausreichend gut funktioniert, um zu Produktionszwecken verwendet zu werden. Beispielsweise wird für die Herstellung von Vorderkantenfotomasken ein sich wiederholendes Muster von Drähten mit einer Breite von 100 nm, die durch Räume mit einer Breite von 100 nm, stabilisiert, wenn es mit einer normalen Dosis vor PEC belichtet wird. Eine Simulation der Randneigung für eine Linie mit 100 nm Breite im Kontext eines 100 nm-Linien- und -Raummusters kann als ein Dosisspielraum angesehen werden, der „gut genug“ ist. Zu Zwecken der Berechnung der künstlichen Hintergrunddosis weist ein 100-nm-Linien-Raum-Muster in dem umgebenden Bereich eine Belichtungsdichte von 50 % auf. Eine natürliche Rückstreuung, die sich aus der Belichtungsdichte von 50 % ergibt, wird als minimale Rückstreuungsmenge berechnet. Die Summe aus der natürlichen Rückstreuung, die bereits in einem Bereich vorhanden ist, und einer Menge künstlicher Hintergrunddosis wird gleichmäßig verteilt, um eine Gesamthintergrundbelichtung bis zu der minimalen Rückstreuung beizutragen, die in der gesamten Maske gleichmäßig ist. Wenngleich durch eine Belichtungsdichte von 50 % unter Umständen nicht der schlechteste annehmbare Dosisspielraum nach PEC erzeugt wird, kann sie als ein gutes praktisches Ziel deklariert werden, um die Menge der annehmbaren Mindestdosis zu berechnen, aus der die künstliche Hintergrunddosis berechnet wird.
[0075] FIG. 11 ist ein konzeptionelles Ablaufdiagramm 1100 zum Vorbereiten einer Oberfläche, wie etwa einer Strichplatte oder einer anderen Oberfläche, unter Verwendung einer Lithographie mit geladenem Teilchenstrahl, wie im Stand der Technik bekannt. In einem ersten Schritt 1102 wird eine räumliche Gestaltung erzeugt, wie etwa eine räumliche Gestaltung einer integrierten Schaltung. Dies kann Bestimmen der Logikgatter, Transistoren, Metallschichten und anderer Elemente beinhalten, die in einer räumlichen Gestaltung, wie etwa der räumlichen Gestaltung einer integrierten Schaltung, aufgefunden werden müssen. Als Nächstes wird bei einem Schritt 1104 eine optische Naheffektkorrektur (optical proximity correction - OPC) für die räumliche Gestaltung aus Schritt 1102 oder für einen Abschnitt der räumlichen Gestaltung bestimmt, der auf dem Wafer gewünscht wird, um einen Maskengestaltung 1106 zu erzeugen. Die OPC berechnet die Maskenformen, die erforderlich sind, um die gewünschten Waferformen über Fertigungsvariationen hinweg am besten zu erzeugen. Die OPC ändert die räumliche Gestaltung, um Verzerrungen auszugleichen, die durch Effekte wie etwa optische Beugung und die optische Wechselwirkung von Merkmalen mit benachbarten Merkmalen verursacht werden, welche die Maskengestaltung 1106 erzeugen. In einem Schritt 1108 kann eine Maskendatenvorbereitungsoperation (MDPOperation) eine Frakturierungsoperation, eine Schussplatzierungsoperation, eine Dosiszuweisungsoperation oder eine Schusssequenzoptimierung beinhalten. In einigen Ausführungsformen, die für das Schreiben von Mehrstrahlmasken vorgesehen sind, kann der MDP-Schritt 1108 Generieren einer Vielzahl von Mehrstrahlschüssen beinhalten, wobei jeder Mehrstrahlschuss ein
oder mehrere Beamlets umfasst, wobei Dosierungsbereiche bestimmt und Beamlets Dosierungen in jedem Dosierungsbereich zugewiesen werden. In einigen Ausführungsformen können Beamlet- Dosierungen innerhalb eines Dosierungsbereichs variieren.
[0076] In Schritt 1118 wird eine Verfeinerung der Näherungseffektkorrektur (proximity effect correction - PEC) durchgeführt, bei der die Dosierungen angepasst werden, um Rückstreu-, Beschlag- und Belastungseffekte zu berücksichtigen, wodurch in Schritt 1120 Belichtungsinformationen mit angepassten Dosierungen erzeugt werden. Die angepassten Dosierungen in den Belichtungsinformationen von Schritt 1120 werden verwendet, um eine Oberfläche in einem Maskenschreibschritt 1122 zu generieren, der einen Schreiber für geladene Teilchenstrahlen verwendet, wie etwa ein Elektronenstrahlschreibersystem. Abhängig von der Art des verwendeten Strahler für geladene Teilchenstrahlen kann die PEC-Verfeinerung 1118 durch den Schreiber für geladene Teilchenstrahlen durchgeführt werden. Der Maskenschreibschritt 1122 kann einen einzelnen Belichtungsdurchlauf oder mehrere Belichtungsdurchläufe umfassen. Das Elektronenstrahlschreibersystem projiziert einen Elektronenstrahl durch eine Schablone oder Lochplatte auf eine Oberfläche, um ein Maskenbild 1124 zu bilden, das Muster auf der Oberfläche umfasst. Die fertige Oberfläche, wie etwa eine Strichplatte, kann dann in einer optischen Lithographiemaschine verwendet werden, die in einem Schritt 1126 gezeigt ist.
[0077] FIG. 13 veranschaulicht einen Ablauf 1300, der ein Verfahren zum Belichten eines Musters in einem Bereich auf einer Oberfläche darstellt, in dem eine künstliche Hintergrunddosis gemäß einigen Ausführungsformen berechnet und angewendet wird. In Schritt 1305 wird ein ursprünglicher Satz von Belichtungsinformationen für ein Muster eingegeben. In einigen Ausführungsformen handelt es sich bei dem ursprünglichen Satz von Belichtungsinformationen um eine Sammlung von Pixeln und deren Dosierungen. In einer weiteren Ausführungsform handelt es sich bei dem ursprünglichen Satz von Belichtungsinformationen um einen Satz von Formen, die möglicherweise krummlinige oder vollständig nicht polygonale Beschreibungen (wie Kreise oder Splines) beinhalten. In noch einer weiteren Ausführungsform handelt es sich bei dem ursprünglichen Satz von Belichtungsinformationen um einen Satz von Schüssen mit zugewiesener oder implizierter Dosis vor PEC (z. B. VSB) oder um einen Satz von Formen mit zugewiesener oder implizierter Dosis vor PEC (z. B. Mehrstrahlschreiben). Im Allgemeinen handelt es sich bei der Eingabe in Schritt 1305 um alles andere, was Formen oder Dosen beschreibt.
[0078] Eine Rückstreuung wird in Schritt 1310 auf Grundlage des ursprünglichen Satzes von Belichtungsinformationen berechnet. In einer Ausführungsform handelt es sich bei der Berechnung der Rückstreuung in Schritt 1310 um eine anfängliche Bestimmung für PEC, durch die eine anfängliche Karte der Rückstreuung erzeugt wird. Die PEC ist ein iterativer Prozess, bei dem die Dosis angepasst wird, um die gewünschte Größe in Gegenwart von Rückstreuung zu drucken. Bei der anfänglichen Karte der Rückstreuung aus der anfänglichen PEC- Bestimmung handelt es sich um eine gute relative Angabe von Bereichen mit höherer und niedrigerer Dosisdichte. In einigen Ausführungsformen werden in Schritt 1312 Bereiche identifiziert, in denen die berechnete Rückstreuung unter einem vorbestimmten Schwellenwert liegt.
[0079] In Schritt 1314 durchgeführte Berechnungen bestimmen eine künstliche Hintergrunddosis, wobei die künstliche Hintergrunddosis zusätzliche Belichtungsinformationen umfasst. In einigen Ausführungsformen wird Schritt 1314 in einem Bereich ausgeführt, in dem die berechnete Rückstreuung unter dem vorbestimmten Schwellenwert liegt, wie in Schritt 1312 bestimmt. In einigen Ausführungsformen fügt Schritt 1314 die künstliche Hintergrunddosis nur hinzu, wenn die berechnete Rückstreuung unter dem vorbestimmten Schwellenwert liegt, das heißt, die künstliche Hintergrunddosis ist die Differenz zwischen dem vorbestimmten Schwellenwert und der berechneten Rückstreuung. In einigen Ausführungsformen wird in Schritt 1314 der Dosisspielraum oder die Randneigung für ein gewünschtes Muster in dem Bereich berechnet und wird die künstliche Hintergrunddosis derart bestimmt, dass eine Randneigung oder ein Kontrast für das gewünschte Muster in dem Bereich aufrechterhalten wird, die/das „gut genug“ ist. In einigen Ausführungsformen fügt Schritt 1314 die künstliche Hintergrunddosis hinzu, um eine Randneigung für das Muster in dem Bereich aufrechtzuerhalten, die „gut genug“ ist, wobei der Bereich bestimmte Kriterien erfüllt, wie etwa eine minimale Subjektgröße für eine Randneigung, die „gut genug“ ist. Beispiels-
weise können kleinere Formen für SRAFs von der Randneigung ausgenommen sein, die „gut genug“ ist. Als weiteres Beispiel können kleinere Formen für SRAFs eine andere Randneigung, die „gut genug“ ist, zur Bestimmung der hinzuzufügenden künstlichen Hintergrunddosis aufweisen. In einigen Ausführungsformen können diese Berechnungen zur Erzeugung einer künstlichen Hintergrunddosis führen, die zusätzliche Muster mit einer Belichtung unterhalb des Schwellenwerts umfasst, sodass die zusätzlichen Muster nicht gedruckt werden. In einigen Ausführungsformen erfolgt die Berechnung und Erzeugung zusätzlicher Muster nach dem MDP-Schritt 1108 des Ablaufs 1100, FIG. 11, wobei sich die zusätzlichen Muster geometrisch mit dem gewünschten Muster in der Maskengestaltung 1106 des Flusses 1100, FIG. 11, überlappen. Ein modifizierter Satz von Belichtungsinformationen in Schritt 1316, der den ursprünglichen Satz von Belichtungsinformationen in Kombination mit den zusätzlichen Belichtungsinformationen (d. h. zusätzlichen Mustern) enthält, kann durch den Verfeinerungsschritt 1118 zur Näherungseffektkorrektur (proximity effect correction - PEC) des Ablaufs 1100, FIG. 11, gelesen werden, wodurch Belichtungsinformationen in Schritt 1120 erzeugt werden, welche die künstliche Hintergrunddosis beinhalten und außerdem eine Rückstreuung beinhalten können, die sich aus der künstlichen Hintergrunddosis ergibt. In einigen Ausführungsformen reduziert der modifizierte Satz von Belichtungsinformationen die Gesamtschreibzeit im Vergleich zu dem ursprünglichen Satz von Belichtungsinformationen. In einigen Ausführungsformen wird der modifizierte Satz von Belichtungsinformationen durch die PEC verfeinert, was zu angepassten Dosierungen des modifizierten Satzes von Belichtungsinformationen führt. Die Belichtungsinformationen werden dann verwendet, um die Oberfläche in 1124, FIG. 11, zu belichten. In einigen Ausführungsformen wird die Pixel- oder Schussdosis modifiziert, um die künstliche Hintergrunddosis hinzuzufügen. In einigen Ausführungsformen werfen Pixel oder Schüsse eine künstliche Hintergrunddosis in ansonsten leere Bereiche (Pixel, die bei einer Dosis von null beginnen), wobei die Dosismenge für Pixel relativ ähnlich ist, zum Beispiel in einer 3 x 3 oder größeren Anzahl benachbarter Pixel. In einigen Ausführungsformen werden einige oder alle der Schritte 1310, 1312, 1314 und 1316 aus FIG. 13, die auf die Schritte 1118 und 1120 aus FIG. 11 folgen, gleichzeitig mit dem Maskenschreibschritt 1122 aus Ablauf 1100, FIG. 11, durchgeführt, mit einer Inline-Verarbeitung zur Durchführung einer Datenbearbeitung, während die Maske belichtet wird. Dies bedeutet, dass die Maskenbelichtung in einigen Ausführungsformen gleichzeitig mit einem oder mehreren Schritten durchgeführt wird, die aus der Gruppe ausgewählt sind, die aus Folgendem besteht: Berechnen der Rückstreuung, Bestimmen des Bereichs, in dem die berechnete Rückstreuung unter einem vorbestimmten Schwellenwert liegt, Bestimmen der künstlichen Hintergrunddosis und Erzeugen des modifizierten Satzes von Belichtungsinformationen.
[0080] Die in dieser Offenbarung beschriebene Maskendatenvorbereitung, Berechnung der künstlichen Hintergrunddosis und Näherungseffektkorrektur kann unter Verwendung von Universalrechnern unter Verwendung von zentralen Verarbeitungseinheiten (Central Processing Units - CPU) mit geeigneter Computersoftware als Berechnungsvorrichtungen umgesetzt werden. Aufgrund des großen Rechenaufwands können auch mehrere Computer oder Prozessorkerne einer CPU parallel verwendet werden. In einer Ausführungsform können die Berechnungen für einen oder mehrere rechenintensive Schritte in dem Ablauf in eine Vielzahl von 2-dimensionalen geometrischen Regionen unterteilt werden, um die parallele Verarbeitung zu unterstützen. In einer weiteren Ausführungsform kann eine spezielle Hardwarevorrichtung, die entweder einzeln oder zu mehreren verwendet wird, verwendet werden, um die Berechnungen eines oder mehrerer Schritte mit höherer Geschwindigkeit durchzuführen als bei der Verwendung von Universalrechnern oder Prozessorkernen. Spezialcomputerhardwarevorrichtungen oder -prozessoren können zum Beispiel feldprogrammierbare Gate-Arrays (FPGA), anwendungsspezifische integrierte Schaltungen (application-specific integrated circuits - ASIC) oder Digitalsignalprozessor-(DSP-) Chips einschließen. In einer Ausführungsform kann es sich bei der Spezialhardwarevorrichtung um eine Grafikverarbeitungseinheit (graphics processing unit - GPU) handeln. In einer weiteren Ausführungsform können die in dieser Offenbarung beschriebenen Optimierungs- und Berechnungsprozesse iterative Prozesse zum Uberarbeiten und Neuberechnen möglicher Lösungen einschließen, um die Gesamtschreibzeit für geladene Teilchenstrahlen oder einen anderen Parameter zu minimieren. In einer weiteren Ausführungsform kann es sich bei den Prozessen um
deterministische Berechnungen ohne Iteration handeln.
[0081] FIG. 12 veranschaulicht ein Beispiel für eine Computerhardwarevorrichtung 1200, die verwendet werden kann, um die in dieser Offenbarung beschriebenen Berechnungen durchzuführen. Die Computerhardwarevorrichtung 1200 umfasst eine zentrale Verarbeitungseinheit (central processing unit - CPU) 1202 mit angeschlossenem Hauptspeicher 1204. Die CPU kann zum Beispiel acht Verarbeitungskerne umfassen, wodurch die Leistung aller Teile der Computersoftware verbessert wird, die für Multithreading ausgelegt sind. Die Größe des Hauptspeichers 1204 kann zum Beispiel 64 G-Bytes betragen. Die CPU 1202 ist mit einem Peripheral-Component-Interconnect-Express-(PCle-)Bus 1220 verbunden. Eine Grafikverarbeitungseinheit (graphics processing unit - GPU) 1214 ist ebenfalls mit dem PCle-Bus verbunden. In der Computerhardwarevorrichtung 1200 kann die GPU 1214 mit einer Grafikausgabevorrichtung, wie etwa einem Videomonitor, verbunden sein oder nicht. Wenn die GPU 1214 nicht mit einer Grafikausgabevorrichtung verbunden ist, kann sie lediglich als Hochgeschwindigkeits-Parallelberechnungsmodul verwendet werden. Die Computersoftware kann durch Verwendung der GPU für einen Teil der Berechnungen eine erheblich höhere Leistung erzielen als durch die Verwendung der CPU 1202 für alle Berechnungen. Die CPU 1202 kommuniziert über den PCle-Bus 1220 mit der GPU 1214. In weiteren Ausführungsformen (nicht veranschaulicht) kann die GPU 1214 in die CPU 1202 integriert sein, anstatt mit dem PCle-Bus 1220 verbunden zu sein. Eine Plattensteuerung 1208 kann ebenfalls an den PClIle-Bus angeschlossen sein, wobei zum Beispiel zwei Platten 1210 mit der Plattensteuerung 1208 verbunden sind. Schließlich kann auch eine Steuerung 1212 eines lokalen Netzwerks (local area network - LAN) an den PCle-Bus angeschlossen sein und eine GigabitEthernet-(GbE- )Konnektivität für andere Computer bereitstellen. In einigen Ausführungsformen sind/ist die Computersoftware und/oder die Gestaltungsdaten auf Scheiben 1210 gespeichert. In weiteren Ausführungsformen kann entweder auf die Computerprogramme oder die Gestaltungsdaten oder sowohl auf die Computerprogramme als auch auf die Gestaltungsdaten von anderen Computern oder Dateibereitstellungshardware über das GbE-Ethernet zugegriffen werden.
[0082] Während die Beschreibung in Bezug auf spezifische Ausführungsformen ausführlich beschrieben wurde, versteht es sich, dass Fachleute nach Erreichen eines Verständnisses des Vorangehenden problemlos Anderungen, Variationen und Aquivalente dieser Ausführungsformen konzipieren können. Diese und weitere Modifikationen und Variationen der vorliegenden Verfahren zur Maskendatenvorbereitung und zur Näherungseffektkorrektur können vom Durchschnittsfachmann praktiziert werden, ohne vom Umfang des vorliegenden Erfindungsgegenstands abzuweichen, der insbesondere in den beigefügten Ansprüchen dargelegt wird. Darüber hinaus wird der Durchschnittsfachmann erkennen, dass die vorangehende Beschreibung nur als Beispiel dient und nicht einschränkend sein soll. Schritte können zu den Schritten in dieser Beschreibung hinzugefügt, daraus entnommen oder modifiziert werden, ohne vom Umfang der Erfindung abzuweichen. Im Allgemeinen sollen alle dargestellten Ablaufdiagramme nur eine mögliche Abfolge von Grundoperationen angeben, um eine Funktion zu erreichen, und sind viele Variationen möglich. Somit soll der vorliegende Erfindungsgegenstand solche Modifikationen und Variationen abdecken, die innerhalb des Umfangs der beigefügten Patentansprüche und ihrer Aquivalente liegen.

Claims (26)

Patentansprüche
1. Verfahren zum Belichten eines Musters in einem Bereich auf einer Oberfläche unter Verwendung einer Lithographie mit geladenem Teilchenstrahl, wobei das Verfahren Folgendes umfasst:
Eingeben eines ursprünglichen Satzes von Belichtungsinformationen für den Bereich;
Berechnen einer Rückstreuung für den Bereich auf Grundlage des ursprünglichen Satzes von Belichtungsinformationen; und
Bestimmen einer künstlichen Hintergrunddosis für den Bereich, wobei die künstliche Hintergrunddosis zusätzliche Belichtungsinformationen umfasst und wobei die zusätzlichen Belichtungsinformationen mit dem ursprünglichen Satz von Belichtungsinformationen kombiniert werden, um einen modifizierten Satz von Belichtungsinformationen zu erzeugen.
2, Verfahren nach Anspruch 1, wobei die künstliche Hintergrunddosis bestimmt wird, die berechnete Rückstreuung und einen vorbestimmten Schwellenwert für den Bereich des Musters zu verwenden; und das Verfahren ferner umfasst:
Berechnen einer Randneigung für das zu belichtende Muster, wobei die künstliche Hintergrunddosis derart bestimmt wird, dass die berechnete Randneigung für das zu belichtende Muster über einem Zielminimum ist.
3. Verfahren nach Anspruch 1, wobei wenn die berechnete Rückstreuung in dem Bereich kleiner als ein vorbestimmter Schwellenwert ist, sich die künstliche Hintergrunddosis über einen Rückstreuradius hinaus des Musters erstreckt.
4. Verfahren nach einem der Ansprüche 1 bis 3, wobei der modifizierte Satz von Belichtungsinformationen die Gesamtschreibzeit im Vergleich zu dem ursprünglichen Satz von Belichtungsinformationen reduziert.
5. Verfahren nach einem der Ansprüche 1 bis 4, wobei der modifizierte Satz von Belichtungsinformationen durch PEC verfeinert wird, was zu angepassten Dosierungen des modifizierten Satzes von Belichtungsinformationen führt.
6. Verfahren nach einem der Ansprüche 1 bis 5, wobei die künstliche Hintergrunddosis ein zusätzliches Muster mit einer Belichtung unterhalb eines Schwellenwerts umfasst.
7. Verfahren nach einem der Ansprüche 1 bis 6, wobei die künstliche Hintergrunddosis nur über einen vorbestimmten Abstand von einem Rand des Musters hinaus auf der Oberfläche angewendet wird.
8. Verfahren nach einem der Ansprüche 1 bis 7, wobei der ursprüngliche Satz von Belichtungsinformationen Informationen für Mehrfachbelichtungsdurchläufe umfasst und wobei die künstliche Hintergrunddosis nur in einem Belichtungsdurchlauf hinzugefügt wird.
9. Verfahren nach einem der Ansprüche 1 bis 8, wobei die künstliche Hintergrunddosis eine Differenz zwischen dem vorbestimmten Schwellenwert und der berechneten Rückstreuung ist.
10. Verfahren nach einem der Ansprüche 1 bis 9, wobei der Bereich in Partitionen unterteilt ist, wobei die künstliche Hintergrunddosis für jede Partition bestimmt wird.
11. Verfahren nach Anspruch 10, wobei die künstliche Hintergrunddosis für jede Stelle innerhalb einer einzelnen Partition über die Partition interpoliert wird.
12. Verfahren nach Anspruch 11, wobei die Interpolation auf den künstlichen Hintergrunddosen für benachbarte Partitionen basiert.
13. Verfahren nach Anspruch 3, ferner umfassend Berechnen einer Randneigung für das zu belichtende Muster.
14. Verfahren nach Anspruch 13, wobei die künstliche Hintergrunddosis bestimmt wird, um eine Randneigung des zu belichtenden Musters über einem Zielminimum zu erzielen, wobei das
15.
16.
17.
18.
19.
20.
21.
22.
23.
24.
25.
Österreichisches AT 524 377 B1 2022-07-15
Zielminimum durch Berechnen der Randneigung an einer vorbestimmten Randposition eines vorbestimmten Musters in einem vorbestimmten Rückstreuungsbereich bestimmt wird.
Verfahren nach Anspruch 2, wobei das Zielminimum durch Berechnen der Randneigung an einer vorbestimmten Randposition eines vorbestimmten Musters in einem vorbestimmten Rückstreuungsbereich bestimmt wird.
Verfahren nach einem der Ansprüche 1 bis 15, wobei eine Maskenbelichtung gleichzeitig mit einem oder mehreren Schritten durchgeführt wird, die aus der Gruppe ausgewählt sind, die aus Folgendem besteht: Berechnen der Rückstreuung, Bestimmen des Bereichs, in dem die berechnete Rückstreuung unter einem vorbestimmten Schwellenwert liegt, Bestimmen der künstlichen Hintergrunddosis und Erzeugen des modifizierten Satzes von Belichtungsinformationen.
Verfahren nach einem der Ansprüche 1 bis 16, ferner umfassend Belichten der Oberfläche mit dem modifizierten Satz von Belichtungsinformationen.
Verfahren nach Anspruch 2, wobei die künstliche Hintergrunddosis unter einem zu druckenden Schwellenwert liegt.
System zum Belichten eines Musters in einem Bereich auf einer Oberfläche unter Verwendung einer Lithographie mit geladenem Teilchenstrahl, wobei das System Folgendes umfasst:
eine Vorrichtung, die konfiguriert ist, um einen ursprünglichen Satz von Belichtungsinformationen für den Bereich einzugeben;
eine Vorrichtung, die konfiguriert ist, um eine Rückstreuung für den Bereich des Musters auf Grundlage des ursprünglichen Satzes von Belichtungsinformationen zu berechnen; und
eine Vorrichtung, die konfiguriert ist, um eine künstliche Hintergrunddosis für den Bereich zu bestimmen, wobei die künstliche Hintergrunddosis zusätzliche Belichtungsinformationen umfasst und wobei die zusätzlichen Belichtungsinformationen mit dem ursprünglichen Satz von Belichtungsinformationen kombiniert werden, um einen modifizierten Satz von Belichtungsinformationen zu erzeugen.
System nach Anspruch 19, wobei die künstliche Hintergrunddosis bestimmt wird, die berechnete Rückstreuung und einen vorbestimmten Schwellenwert für den Bereich des Musters zu verwenden; und das System ferner umfasst:
eine Vorrichtung, die konfiguriert ist, eine Randneigung für das zu belichtende Muster zu berechnen, wobei die künstliche Hintergrunddosis derart bestimmt wird, dass die berechnete Randneigung für das zu belichtende Muster über einem Zielminimum ist.
System nach Anspruch 19, wobei wenn die berechnete Rückstreuung in dem Bereich kleiner als ein vorbestimmter Schwellenwert ist, sich die künstliche Hintergrunddosis über einen Rückstreuradius hinaus des Musters erstreckt.
System nach einem der Ansprüche 19 bis 21, wobei der modifizierte Satz von Belichtungsinformationen die Gesamtschreibzeit im Vergleich zu dem ursprünglichen Satz von Belichtungsinformationen reduziert.
System nach einem der Ansprüche 19 bis 22, wobei der modifizierte Satz von Belichtungsinformationen durch PEC verfeinert wird, was zu angepassten Dosierungen des modifizierten Satzes von Belichtungsinformationen führt.
System nach einem der Ansprüche 19 bis 23, wobei die künstliche Hintergrunddosis ein zusätzliches Muster mit einer Belichtung unterhalb eines Schwellenwerts umfasst.
System nach Anspruch 20, wobei die künstliche Hintergrunddosis eine Differenz zwischen dem vorbestimmten Schwellenwert und der berechneten Rückstreuung ist.
26. System nach Anspruch 20, wobei das Zielminimum durch Berechnen der Randneigung an einer vorbestimmten Randposition eines vorbestimmten Musters in einem vorbestimmten Rückstreuungsbereich bestimmt wird.
Hierzu 14 Blatt Zeichnungen
24 / 38
ATA9484/2019A 2018-12-22 2019-12-17 Verfahren und System zum Reduzieren einer Schreibzeit eines geladenen Teilchenstrahls AT524377B1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/231,447 US10884395B2 (en) 2018-12-22 2018-12-22 Method and system of reducing charged particle beam write time
PCT/IB2019/060968 WO2020128869A1 (en) 2018-12-22 2019-12-17 Method and system of reducing charged particle beam write time

Publications (2)

Publication Number Publication Date
AT524377A5 AT524377A5 (de) 2022-04-15
AT524377B1 true AT524377B1 (de) 2022-07-15

Family

ID=71098562

Family Applications (1)

Application Number Title Priority Date Filing Date
ATA9484/2019A AT524377B1 (de) 2018-12-22 2019-12-17 Verfahren und System zum Reduzieren einer Schreibzeit eines geladenen Teilchenstrahls

Country Status (5)

Country Link
US (2) US10884395B2 (de)
JP (1) JP2022515123A (de)
KR (1) KR20210096166A (de)
AT (1) AT524377B1 (de)
WO (1) WO2020128869A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11182929B2 (en) 2019-02-25 2021-11-23 Center For Deep Learning In Electronics Manufacturing, Inc. Methods and systems for compressing shape data for electronic designs
US11263496B2 (en) 2019-02-25 2022-03-01 D2S, Inc. Methods and systems to classify features in electronic designs
CN117234030B (zh) * 2023-11-14 2024-01-30 合肥晶合集成电路股份有限公司 光刻图形校准方法、装置、介质及产品

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120094219A1 (en) * 2010-10-13 2012-04-19 D2S, Inc. Method for Integrated Circuit Manufacturing and Mask Data Preparation Using Curvilinear Patterns
US20120219886A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US20120221981A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20130283216A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US20160276132A1 (en) * 2015-03-17 2016-09-22 Ims Nanofabrication Ag Multi-Beam Writing of Pattern Areas of Relaxed Critical Dimension

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4463265A (en) 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
JP3192157B2 (ja) 1990-09-17 2001-07-23 株式会社東芝 電子ビーム描画方法及び描画装置
KR950027933A (ko) 1994-03-21 1995-10-18 김주용 위상반전 마스크
US5510214A (en) 1994-10-05 1996-04-23 United Microelectronics Corporation Double destruction phase shift mask
JP3334441B2 (ja) 1995-08-01 2002-10-15 ソニー株式会社 フォトマスク描画用パターンデータ補正方法と補正装置
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JP2000292907A (ja) 1999-04-02 2000-10-20 Nikon Corp 荷電粒子線露光装置及びレチクル
US6720565B2 (en) * 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
JP4156186B2 (ja) 2000-08-29 2008-09-24 株式会社日立製作所 電子ビーム描画装置および描画方法
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
US6872507B2 (en) 2002-11-01 2005-03-29 Taiwan Semiconductor Manufacturing Company Radiation correction method for electron beam lithography
US7160475B2 (en) 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
US20060183025A1 (en) * 2005-02-14 2006-08-17 Micron Technology, Inc. Methods of forming mask patterns, methods of correcting feature dimension variation, microlithography methods, recording medium and electron beam exposure system
US7824828B2 (en) * 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
US8003311B2 (en) * 2008-01-11 2011-08-23 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing multiple exposure dummy patterning technology
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8221939B2 (en) 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
EP2869119A1 (de) 2013-10-30 2015-05-06 Aselta Nanographics Freiform-Frakturierungsverfahren für elektronische oder optische Lithographie mittels Kontrolle der Photolack-Aktivierungsschwelle
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
JP6603108B2 (ja) * 2015-11-18 2019-11-06 株式会社ニューフレアテクノロジー 荷電粒子ビームの照射量補正用パラメータの取得方法、荷電粒子ビーム描画方法、及び荷電粒子ビーム描画装置
JP6617066B2 (ja) * 2016-03-25 2019-12-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
US11054748B2 (en) * 2018-09-21 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy insertion for improving throughput of electron beam lithography

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120094219A1 (en) * 2010-10-13 2012-04-19 D2S, Inc. Method for Integrated Circuit Manufacturing and Mask Data Preparation Using Curvilinear Patterns
US20120219886A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US20120221981A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20130283216A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US20160276132A1 (en) * 2015-03-17 2016-09-22 Ims Nanofabrication Ag Multi-Beam Writing of Pattern Areas of Relaxed Critical Dimension

Also Published As

Publication number Publication date
US20210116884A1 (en) 2021-04-22
US11592802B2 (en) 2023-02-28
WO2020128869A1 (en) 2020-06-25
AT524377A5 (de) 2022-04-15
KR20210096166A (ko) 2021-08-04
JP2022515123A (ja) 2022-02-17
US10884395B2 (en) 2021-01-05
TW202037999A (zh) 2020-10-16
US20200201286A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
DE112006002656B4 (de) Größerer Prozesstoleranzbereich unter Verwendung diskreter Hilfsstrukturelemente
AT524377B1 (de) Verfahren und System zum Reduzieren einer Schreibzeit eines geladenen Teilchenstrahls
KR101690063B1 (ko) 반도체 소자를 제조하기 위한 방법 및 표면 상에 원형 패턴을 형성하고 분할하기 위한 방법
US20190237299A1 (en) Method and system for forming a pattern on a surface using multi-beam charged particle beam lithography
DE102006041436A1 (de) Strahldosierungsberechnungsverfahren und Schreibverfahren und Aufzeichnungsträger und Schreibgerät
US20110053093A1 (en) Method and system for manufacturing a surface using charged particle beam lithography with variable beam blur
TW201351030A (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法及系統
AT526413A2 (de) Verfahren und System zum Bestimmen einer Belichtung mit Strahlen geladener Teilchen für eine lokale Musterdichte
US20140353526A1 (en) Method and system for forming high accuracy patterns using charged particle beam lithography
US20200051781A1 (en) Methods and systems for forming a pattern on a surface using multi-beam charged particle beam lithography
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20230386784A1 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US11886166B2 (en) Method and system of reducing charged particle beam write time
TWI840559B (zh) 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統