TW201923131A - 形成用於直通穿孔應用的阻障層之方法 - Google Patents

形成用於直通穿孔應用的阻障層之方法 Download PDF

Info

Publication number
TW201923131A
TW201923131A TW107128798A TW107128798A TW201923131A TW 201923131 A TW201923131 A TW 201923131A TW 107128798 A TW107128798 A TW 107128798A TW 107128798 A TW107128798 A TW 107128798A TW 201923131 A TW201923131 A TW 201923131A
Authority
TW
Taiwan
Prior art keywords
barrier layer
substrate
exposing
depositing
deposited
Prior art date
Application number
TW107128798A
Other languages
English (en)
Other versions
TWI803507B (zh
Inventor
寇迪斯 勒施基斯
史帝文 維哈佛貝可
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201923131A publication Critical patent/TW201923131A/zh
Application granted granted Critical
Publication of TWI803507B publication Critical patent/TWI803507B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Laminated Bodies (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

本揭示案之實施例一般而言關於改善適用於形成高深寬比直通基板穿孔的阻障層之品質之方法。在一個實例中,用於沉積阻障層的方法包含:在基板中形成的孔中沉積阻障層,將沉積的阻障層暴露於在大於約2巴的壓力下的處理氣體,及當存在該處理氣體時將該基板之溫度維持在約攝氏150度與約攝氏700度之間。

Description

形成用於直通穿孔應用的阻障層之方法
本揭示案之實施例一般而言關於積體電路之製造,並且具體而言關於形成用於直通穿孔結構的阻障層之方法。
本世代的半導體裝置,如記憶體裝置、邏輯裝置、微處理器等,經常利用完全穿過基板或晶粒的垂直電連接。上述垂直電連接通常稱為直通基板穿孔(through substrate via)、直通矽穿孔(through silicon via)及直通穿孔(through via)。直通穿孔通常形成穿過矽結構並且填充有導電材料,如銅或鎢。由於電子可容易地從導電材料進入矽結構,利用由介電材料形成的襯墊層(例如,阻障層)來提供阻障,以幫助導電材料與矽結構絕緣。
然而,隨著半導體裝置的尺寸持續縮小及複雜性持續增加,直通穿孔之深寬比(aspect ratio)已變得非常高。因此,在這些高深寬比通孔(via)中穩健地沉積襯墊材料已變得非常具有挑戰性。特定而言,沉積在高深寬比通孔中的大多數習知的襯墊材料在短短一小時內經歷差的氣密性及快速濕氣吸收。這導致部分的襯墊在非常低的施加電場下成為導電的,此舉為高度不期望的,因為電子然後可能開始在導電材料與矽結構之間流動,從而產生高寄生電流洩漏。
因此,需要用於直通穿孔應用的改善的襯墊。
本揭示案之實施例一般而言關於改善適用於形成高深寬比直通基板穿孔的阻障層之品質之方法。在一個實例中,用於沉積阻障層的方法包含:在基板中形成的孔中沉積阻障層,將沉積的阻障層暴露於在大於約2巴的壓力下的處理氣體,及當存在該處理氣體時將該基板之溫度維持在約攝氏150度與約攝氏700度之間。
在另一個實例中,提供形成直通基板穿孔之方法。該方法包含:在基板之特徵側形成的孔中沉積阻障層,該特徵側與該基板之背側相對;將沉積的阻障層退火;當存在處理氣體時將該基板之溫度維持在約攝氏150度與約攝氏700度之間;由設置於該阻障層上的導電材料填充該孔;以及從該背側將該基板薄化以暴露出該導電材料。將阻障層退火可藉由以下達成:將沉積的阻障層暴露於在大於約2巴的壓力下的處理氣體,及當存在該處理氣體時將該基板之溫度維持在約攝氏150度與約攝氏700度之間。
本揭示案之實施例一般而言關於改善直通基板穿孔應用中利用的阻障層之品質之方法。阻障層沉積在形成於半導體基板中的高深寬比通孔中。高深寬比通孔通常界定為具有孔的通孔,該孔的深度為孔之直徑的至少10倍。所沉積的習知的氧化物阻障層(如SiO2 )通常具有朝向通孔之底部的遞減的電性性質。習知的退火製程已展現暫時改善了通孔之底部處的阻障層之電性性質,但這種改善為短暫的,並且通常隨通孔被金屬填充而消失。如本文揭示的,阻障層之高壓退火已展現出顯著地改善阻障層之電性性質達至少幾週,因此在至少經歷金屬填充時將阻障層維持在高品質狀態。例如,已經證明,當暴露於周圍大氣時,阻障層之電性性質的改善持續超過1週。一些改善包含阻障層之下部區域(靠近孔底部)緻密化、良好的氣密性及低吸水性。在沉積後退火製程期間,阻障層在高壓下暴露於處理氣體。處理氣體深入滲透至包括阻障層的膜中以增加膜密度、向膜內的空位添加氧,以及藉由取代及/或完成鍵結位置(bonding site)來修復缺陷,因此提高沉積在基板上的膜之密度及品質。可利用退火腔室(如但不限於第3圖中繪示及以下所述的退火腔室)來實行本文所述的退火製程。然而,本文所述的方法亦可在其他退火腔室中實行,包含經配置為一次處理單一基板的退火腔室。
第1A圖~第1E圖為在直通基板穿孔製造過程之各個階段期間半導體基板100之簡化剖面圖。第2圖為對應於第1A圖~第1E圖之剖面圖用於形成直通基板穿孔的方法200之方塊圖。方法200之一部分包含形成阻障層,該阻障層相對於其沉積的形式具有改善的電性性質,其中改善的電性性質在阻障層內維持至少24小時而沒有顯著劣化。
方法200藉由在基板中形成孔106而於操作202開始。如第1A圖中描繪的基板100的基板具有特徵側104及背側102。特徵側104為在其上形成裝置的基板100之側。基板100可為如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓及圖案化或未圖案化的絕緣體上晶圓矽(wafer silicon on insulator; SOI)、碳摻雜的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃或藍寶石的材料。基板100可具有各種尺寸,如具有200 mm、300 mm、450 mm的直徑或其他直徑,以及為矩形或正方形面板。在一個實例中,基板100為直徑200 mm、直徑300 mm或直徑450 mm的矽晶圓。
孔106形成在特徵側104中並且朝向基板之背側102延伸但不離開基板之背側102。孔106可藉由雷射鑽孔、電漿蝕刻或其他適合的技術來形成。
孔106具有側壁110及底部112。孔106具有在基板100之特徵側104與孔106之底部112之間界定的深度D。在一個實例中,深度D在約100 µm至約150 µm之間。孔106具有在孔106之側壁110之間界定的寬度W。在一個實例中,寬度W在約1.0 µm至約5.0 µm之間,其中寬度W為孔106之直徑。深度D及寬度W界定深寬比。儘管未按比例繪製,但孔106具有大於或等於10:1的高深寬比(D:W),例如深寬比大於20:1或更大或大於200:1。在其他實例中,孔106之深寬比可具有較低的深寬比。
在一個實例中,將方法200作為「後鑽孔(via last)」製程來實行。例如,在形成孔106及方法200之其他操作之前,可在基板100中或在基板100上形成裝置108(第1A圖中以虛線示出),如互補式金屬氧化物半導體(CMOS)結構或其他積體電路(IC)結構。
於操作204,阻障層114共形地沉積在基板100之特徵側104上,如第1B圖所繪示。在一個實例中,阻障層114為經選擇用於防止電流經由阻障層114洩漏的材料,如氧化物。其他適合的阻障材料包含金屬氧化物、金屬氮化物及金屬氮氧化物或其他適合的材料。在第1B圖所描繪的實例中,阻障層114為SiO2 。適合用作阻障層114的金屬氧化物包含但不限於HfO2 、TiO2 、Ta2 O5 、Al2 O3 ,以及其他三級或更高級化合物,BaTiO3 、BaSrTiO3 、PbZrTiO3 、BaPbZrTiO3 等。亦適合用作阻障層114的低k材料包含但不限於SiN、SiC、SiOx Ny ,以及非晶矽及氫化合物,如SiC:H、S-C-H膜、Si-N-H、Si-O-H、Si-C-N-H、Si-O-C-H、Si-O-N-H等。可使用化學氣相沉積(CVD)製程或其他適合的沉積技術來沉積阻障層114。
沉積的阻障層114包含側壁132及底部130,其共形地覆蓋孔106之側壁110及底部112。在阻障層114之側壁132與底部130之間形成開放空間122。
如上所討論,已觀察到沉積的阻障層114之性質沿著側壁132不是一致的。例如,形成側壁132的沉積的阻障層114之性質可能在沿著側壁132較靠近孔106之底部112的位置處劣化。換句話說,沉積的阻障層114之性質展現出朝向孔106之底部惡化的梯度。儘管不受理論束縛,但側壁132之下部分118(例如,在使側壁132分叉的假想線120下方),與設置在假想線120上方的側壁132之上部分116相比,下部分118展現出較少的氧含量。形成側壁132之下部分118的阻障材料亦可能展現出相對於上部分116的更多缺陷以及不完全鍵結(incomplete bonding),相信主要歸因於包括阻障材料的氧化物網絡(oxide network)中的氧化物空位。
於操作206,將阻障層114退火以改善阻障層114之性質,特別是在側壁132之下部分118中,如第1C圖所繪示。例如,可實行高壓退火製程以將處理氣體(由箭頭124表示)引入在阻障層114之側壁132之間界定的空間122中。高壓處理氣體124滲透深入空間122並且滲透包括阻障層114之側壁132的材料。處理氣體124包含氧,其有利地填充空位、修復缺陷並且完成阻障材料之晶格中的鍵結位置,因此使包括阻障層114的材料緻密化。此外,由於處理氣體124滲透深入空間122中至阻障層114之底部130,顯著地改善側壁132之下部分118之阻障性質,並且可與側壁132之上部分116之阻障性質成為實質上一致。有利地,退火操作206產生具有沿著孔106之深度D在側壁132中實質上一致的電性性質的阻障層114。亦即,在退火操作206之後,形成側壁132的阻障材料之電性性質不再展現出朝向孔106之底部112的梯度。
此外,處理氣體124還可包含具有氧同位素的氧成分,該氧同位素大於在沉積的阻障層114中發現的氧同位素。因此,由於退火操作206將較重的同位素氧成分添加至沉積的阻障層114中,退火的阻障層114由於將較重的同位素氧結合至包括阻障層114的晶格中而更密集。
再者,退火操作206還可取代沉積的阻障層114中存在的一些氧組分。因此,由於退火操作206藉由具有較重同位素的氧成分取代存在於沉積的阻障層114中的氧成分,與沉積的阻障層114相比,退火的阻障層114更密集。例如,沉積的阻障層114可以較低氧同位素原子與較高氧同位素原子的比率X來沉積,而退火的阻障層114具有較低氧同位素原子與較高氧同位素原子的比率Y,其中X大於Y。因此,造成的退火阻障層114含有更大量的比沉積的阻障層114具有較高同位素的氧。此外,造成的退火阻障層114將維持較高水平的高氧同位素至少2天,多達7天或更多天,這時間足夠在退火的阻障層114之電性性質顯著劣化之前,藉由如金屬的導電材料填充空間122。
退火操作206可形成在單一基板100上或同時形成在一批基板100上。退火操作206可在退火腔室中實行,例如但不限於第3圖中繪示的退火腔室。然而,退火操作206可在其他適當調整的退火腔室中實行。
退火操作206通常引入包含氧成分的處理氣體124。處理氣體124亦可包含氫成分。示例性處理氣體124包含以下至少一種:臭氧、氧氣、水蒸氣、重水、過氧化物、含氫氧化物的化合物、氧同位素(14、15、16、17、18等)及氧的非同位素以及/或水。過氧化物可為氣相的過氧化氫。在一些實施例中,處理氣體124為氧化劑,其包括氫氧離子,如但不限於水蒸氣或蒸氣形式的重水,例如,蒸氣。在一個實例中,處理氣體124是以乾蒸氣或過熱蒸氣的形式。在其中處理基板100的退火腔室之溫度維持在經選擇以防止處理氣體124冷凝的溫度。例如,暴露於處理氣體的退火腔室之表面之溫度維持在約攝氏100度至約攝氏400度之間的溫度。
在退火操作206期間,在子操作208,退火腔室內的處理氣體124之壓力維持在大於大氣壓的壓力。例如,在子操作208,在退火腔室內的處理氣體124之壓力維持在大於約2巴的壓力下,例如大於10巴。在另一個實例中,退火腔室內的處理氣體124維持在從約10巴至約60巴的壓力下,如從約20巴至約50巴。在另一個實例中,退火腔室內的處理氣體124維持在高達約200巴的壓力下。
在退火操作206期間,在子操作210,基板100維持在升高的溫度,該升高的溫度大於暴露於處理氣體124的退火腔室之表面之溫度。例如,在子操作210,基板100可維持在約攝氏150度與約攝氏700度之間的溫度下,如在攝氏200度與約攝氏600度之間或在約攝氏300度與約攝氏450度之間。在「後鑽孔」實施例中,基板100之溫度維持低於在基板100上形成的裝置108之熱預算。
基板100在退火操作206在升高的溫度及壓力下暴露於處理氣體124達足夠的時間以改善阻障層114之電性性質。與所沉積的阻障層114相比,退火的阻障層114具有顯著的電性性質之改善。再者,改善的電性性質在退火的阻障層114中維持至少幾天,因此至少在如以下進一步討論的後續導電材料填充操作時將阻障層114維持在高品質狀態。例如,已經證明退火的阻障層114之電性性質之改善在當暴露於周圍大氣時持續超過1週。
於操作212,如第1D圖所繪示,導電材料126沉積在退火的阻障層114上方以填充空間122。導電材料126可由金屬製成,如鎢、銅、其他金屬或其他適合的導電材料。填充空間122的導電材料126之一部分包含底部134,底部134設置成與阻障層114之底部130接觸。導電材料126可使用導電膏、物理氣相沉積(PVD)、電鍍或其他適合的製程來沉積。如第1D圖所示,在沉積導電材料126之前,可在阻障層114上沉積任選的種晶層150。種晶層150(如利用化學氣相沉積(CVD)或其他適合的製程沉積的銅)改善導電材料126之黏著性,特別是當使用電鍍製程後續沉積導電材料126時。
於操作214,將基板100薄化以從基板100之背側102移除材料以暴露出填充空間122的導電材料126。例如,如第1E圖所繪示,從基板100之背側102移除基板100之厚度128(其中背側102’表示基板在薄化前的厚度)。基板100可藉由蝕刻、研磨、拋光或其他適合的技術來薄化。在第1E圖繪示的實例中,使用化學機械研磨(CMP)製程來將基板100薄化。在薄化完成之後,導電材料126之底部134經由基板100之背側102暴露出,從而形成穿過基板100的導電通孔140。儘管第1E圖中未描繪,但覆蓋特徵側104的阻障層114及導電材料126之部分可移除,例如藉由蝕刻。
在方法200之另一個實施方式中,可在操作212的導電材料填充之後但在操作214的薄化之前製造裝置108,如第1D圖中所繪示(裝置108以虛線示出)。上述在導電材料填充之後形成裝置108的方法200之實施方式通常被稱為「先鑽孔(via first)」製程。
第3圖為適於實踐上述的方法200的示例性退火腔室300之簡化正面剖面圖。預期可利用具有其他配置的其他退火腔室。
在第3圖描繪的實例中,退火腔室300具有主體310,主體310具有外表面312及內表面313,內表面313封閉處理區域315。在一些實施例中,如第3圖所示,主體310具有環形剖面,但在其他實施例中,主體310之剖面可為矩形或任何封閉的形狀。主體310之外表面312可由抗腐蝕鋼(CRS)製成,例如但不限於不銹鋼。主體310之內表面313可由展現出高抗腐蝕性的基於鎳的鋼合金製成,例如但不限於HASTELLOY®
退火腔室300具有門320,門320經配置以將處理區域315密封地封閉在主體310內。當門320打開時,可進出處理區域315用以傳送基板100。高壓密封件322用於將門320密封至主體310,以便密封處理區域315以進行處理。高壓密封件322可由聚合物製成,例如但不限於全氟彈性體。冷卻通道324設置在門320上與高壓密封件322相鄰,以便將高壓密封件322維持在低於包括密封件322的材料之最大安全操作溫度。冷卻劑(如傳熱流體)可在冷卻通道324內循環,以將高壓密封件322維持在低於其最大安全操作溫度,如在約攝氏150度與攝氏250度之間。冷卻通道324內的冷卻劑的流動藉由控制器380經由從溫度感測器316或流量感測器(未示出)接收的反饋來控制。
退火腔室300具有穿過主體310形成的端口317。端口317具有與其耦接的管318。管318與加熱器319介接。管318之一端經由端口317連接至處理區域315。管318之另一端切入入口導管357及出口導管361。入口導管357經由隔離閥355流體地連接至氣體分配盤350。入口導管357耦接至加熱器358。出口導管361經由隔離閥365流體地連接至冷凝器360。出口導管361耦接至加熱器362。加熱器319、358及362經配置以使流經管318、入口導管357及出口導管361的處理氣體(124)維持在處理氣體之冷凝點與約攝氏300度之間的溫度下。加熱器319、358及362藉由電源345供電。
氣體分配盤350經配置以在壓力下提供處理氣體124至入口導管357中,以經由管318傳輸至處理區域315中。藉由耦接至主體310的壓力感測器314監控引入處理區域315中的處理氣體124之壓力。冷凝器360流體地耦接至冷卻流體,並且經配置以使在經由管318從處理區域315移除之後流過出口導管361的氣態產物(處理氣體124)冷凝。冷凝器360將氣態產物從氣相轉化成液相。泵370流體地連接至冷凝器360並且從冷凝器360泵出液化產物。氣體分配盤350、冷凝器360及泵370的操作藉由控制器380來控制。
隔離閥355及365經配置成一次僅允許一種流體經由管318流入處理區域315中。當隔離閥355打開時,隔離閥365關閉,使得流經入口導管357的處理氣體124進入處理區域315,從而防止處理氣體124流入冷凝器360。另一方面,當隔離閥365打開時,隔離閥355關閉,使得氣態產物從處理區域315移除並且流經出口導管361,從而防止氣態產物流入氣體分配盤350。
一或更多個加熱器340設置在主體310上並且經配置以加熱退火腔室300內的處理區域315。在一些實施例中,如第3圖所示,加熱器340設置在主體310之外表面312上,但在其他實施例中,加熱器340可設置在主體310之內表面313上。每個加熱器340可為電阻式加熱元件、加熱燈或其他適合的加熱器。加熱器340藉由電源345供電。供給加熱器340的功率藉由控制器380經由從溫度感測器316接收的反饋來控制。溫度感測器316耦接至主體310並且監控處理區域315之溫度。
耦接至致動器(未示出)的匣330移入且移出處理區域315。匣330具有頂表面332、底表面334及壁336。匣330之壁336具有複數個基板收存槽338。每個基板收存槽338沿著匣330之壁336均勻地間隔開。每個基板收存槽338經配置以在其中固持單一基板100。匣330可具有多達50個用於固持基板100的基板收存槽338。匣330提供用於將複數個基板100傳送入及傳送出退火腔室300以及用於處理在處理區域315中的複數個基板100的有效的載具。
控制器380控制退火腔室300的操作,使得實行方法200之操作206。控制器380控制氣體分配盤350、冷凝器360、泵370、隔離閥355及365以及電源345的操作。控制器380亦通訊地連接至溫度感測器316、壓力感測器314及冷卻通道324。控制器380包含中央處理單元(CPU) 382、記憶體384及支援電路386。CPU 382可為可在工業設定中使用的任何形式的通用電腦處理器。記憶體384可為隨機存取記憶體、唯讀記憶體、軟碟或硬碟機或其他形式的數位儲存器。支援電路386習知地耦接至CPU 382並且可包含快取、時脈電路、輸入/輸出系統、電源等。
退火腔室300提供方便的腔室以實行方法200,方法200改善沉積在基板100(用於形成通孔140)上的阻障層114之品質。加熱器340將退火腔室300之暴露的內表面維持在高於處理氣體124之冷凝點的溫度下,並且在低於約攝氏300度的溫度下。加熱器319、358及362亦將管318、入口導管357及出口導管361加熱至高於處理氣體之冷凝點的溫度,使得處理氣體不會在管318、入口導管357及出口導管361內冷凝。
如上所討論,在高壓及高溫下處理氣體之應用提供優於習知的退火製程的優點。有利地,退火製程產生緻密的阻障層,具有良好的氣密性及低吸水性。在退火製程期間利用的處理氣體滲透深入至包括阻障層的膜中以增加膜密度、向膜內的空位添加氧,以及藉由取代及/或完成鍵結位置來修復缺陷,因此提高沉積在基板上的膜之密度及品質。結果,可形成有利地證明優異的抵抗電流洩漏及介電崩潰(dielectric breakdown)的通孔,因此使得高深寬比通孔之密集陣列能夠用於下一代半導體裝置中。
儘管前述是針對本揭示案之特定實施例,但應理解,這些實施例僅為說明本發明之原理及應用。因此,應理解,在不脫離由所附申請專利範圍界定的本發明之精神及範疇的情況下,可對說明性實施例進行諸多修改以得到其他實施例。
100‧‧‧半導體基板
102‧‧‧背側
102’‧‧‧背側
104‧‧‧特徵側
106‧‧‧孔
108‧‧‧裝置
110‧‧‧側壁
112‧‧‧底部
114‧‧‧阻障層
116‧‧‧上部分
118‧‧‧下部分
120‧‧‧假想線
122‧‧‧空間
124‧‧‧處理氣體
126‧‧‧導電材料
128‧‧‧厚度
130‧‧‧底部
132‧‧‧側壁
134‧‧‧底部
140‧‧‧通孔
150‧‧‧種晶層
200‧‧‧方法
202‧‧‧操作
204‧‧‧操作
206‧‧‧操作
208‧‧‧子操作
210‧‧‧子操作
212‧‧‧操作
214‧‧‧操作
300‧‧‧退火腔室
310‧‧‧主體
312‧‧‧外表面
313‧‧‧內表面
314‧‧‧壓力感測器
315‧‧‧處理區域
316‧‧‧溫度感測器
317‧‧‧端口
318‧‧‧管
319‧‧‧加熱器
320‧‧‧門
322‧‧‧密封件
324‧‧‧冷卻通道
330‧‧‧匣
332‧‧‧頂表面
334‧‧‧底表面
336‧‧‧壁
338‧‧‧基板收存槽
340‧‧‧加熱器
345‧‧‧電源
350‧‧‧氣體分配盤
355‧‧‧隔離閥
357‧‧‧入口導管
358‧‧‧加熱器
360‧‧‧冷凝器
361‧‧‧出口導管
362‧‧‧加熱器
365‧‧‧隔離閥
370‧‧‧泵
380‧‧‧控制器
382‧‧‧中央處理單元
384‧‧‧記憶體
386‧‧‧支援電路
D‧‧‧深度
W‧‧‧寬度
為了詳細地瞭解本揭示案之上述特徵的方式,可藉由參照實施例來得到以上簡要總結的本揭示案之更特定敘述,該等實施例中之一些實施例繪示於附圖中。然而,應注意,附圖僅繪示示例性實施例,且因此不應被視為限制本揭示案之範疇,本揭示案可容許其他等效實施例。
第1A圖~第1E圖為在直通基板穿孔製造過程之各個階段期間半導體基板之簡化剖面圖。
第2圖為對應於第1A圖~第1E圖之剖面圖用於形成直通基板穿孔的方法之方塊圖。
第3圖為退火腔室之簡化正面剖面圖,在該退火腔室中可實行直通基板穿孔製造過程之退火製程。
為了促進瞭解,已儘可能使用相同的元件符號來指稱圖式中共用的相同元件。預期一個實施例之元件及特徵可有利地併入其他實施例而無須進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種用於沉積一阻障層的方法,該方法包括以下步驟: 在一基板中形成的一孔中沉積一阻障層;將沉積的阻障層暴露於在大於約2巴的一壓力下的一處理氣體;及當存在該處理氣體時,將該基板之一溫度維持在約攝氏150度與約攝氏700度之間。
  2. 如請求項1所述之方法,其中將該沉積的阻障層暴露於該處理氣體的步驟進一步包括以下步驟: 在大於約5巴的一壓力下,將該沉積的阻障層暴露於該處理氣體。
  3. 如請求項1所述之方法,其中將該沉積的阻障層暴露於該處理氣體的步驟進一步包括以下步驟: 在約5巴至約60巴之間的一壓力下,將該沉積的阻障層暴露於該處理氣體。
  4. 如請求項1所述之方法,其中維持該基板之該溫度的步驟進一步包括以下步驟: 將該基板之該溫度維持在約攝氏150度與約攝氏600度之間。
  5. 如請求項1所述之方法,其中沉積該阻障層的步驟進一步包括以下步驟: 沉積金屬氧化物、金屬氮化物及金屬氧氮化物中之至少一者。
  6. 如請求項1所述之方法,其中沉積該阻障層的步驟進一步包括以下步驟: 沉積SiO2 、HfO2 、TiO2 、Ta2 O5 、Al2 O3 、BaTiO3 、BaSrTiO3 、PbZrTiO3 及BaPbZrTiO3 中之至少一者。
  7. 如請求項1所述之方法,其中沉積該阻障層的步驟進一步包括以下步驟: 沉積SiN、SiC、SiOx Ny 、SiC:H、S-C-H膜、Si-N-H、Si-O-H、Si-C-N-H、Si-O-C-H及Si-O-N-H中之至少一者。
  8. 如請求項1所述之方法,其中將該沉積的阻障層暴露於該處理氣體的步驟進一步包括以下步驟: 將該沉積的阻障層暴露於蒸氣。
  9. 如請求項1所述之方法,其中將該沉積的阻障層暴露於該處理氣體的步驟進一步包括以下步驟: 將該沉積的阻障層暴露於臭氧、氧氣、水蒸氣、重水、過氧化物及含氫氧化物的化合物中之至少一者。
  10. 如請求項1所述之方法,進一步包括以下步驟: 由具有一第二同位素的氧原子取代在該沉積的阻障層中具有一第一同位素的氧原子,該第二同位素高於該第一同位素。
  11. 一種形成一直通基板穿孔之方法,該方法包括以下步驟: 在一基板之一特徵側形成的一孔中沉積一阻障層,該特徵側與該基板之一背側相對; 藉由以下步驟將沉積的阻障層退火: 將該沉積的阻障層暴露於在大於約2巴的一壓力下的一處理氣體;及 當存在該處理氣體時,將該基板之一溫度維持在約攝氏150度與約攝氏700度之間; 在該阻障層之頂部上由一導電材料填充該孔;以及 從該背側將該基板薄化以暴露出該導電材料。
  12. 如請求項11所述之方法,其中將該沉積的阻障層暴露於該處理氣體的步驟進一步包括以下步驟: 在大於約5巴的一壓力下,將該沉積的阻障層暴露於該處理氣體。
  13. 如請求項11所述之方法,其中將該沉積的阻障層暴露於該處理氣體的步驟進一步包括以下步驟: 在約5巴至約60巴之間的一壓力下,將該沉積的阻障層暴露於該處理氣體。
  14. 如請求項11所述之方法,其中維持該基板之該溫度的步驟進一步包括以下步驟: 將該基板之該溫度維持在約攝氏150度與約攝氏600度之間。
  15. 如請求項11所述之方法,其中沉積該阻障層的步驟進一步包括以下步驟: 沉積金屬氧化物、金屬氮化物及金屬氧氮化物中之至少一者。
  16. 如請求項11所述之方法,其中沉積該阻障層的步驟進一步包括以下步驟: 沉積SiO2 、HfO2 、TiO2 、Ta2 O5 、Al2 O3 、BaTiO3 、BaSrTiO3 、PbZrTiO3 及BaPbZrTiO3 中之至少一者。
  17. 如請求項11所述之方法,其中沉積該阻障層的步驟進一步包括以下步驟: 沉積SiN、SiC、SiOx Ny 、SiC:H、S-C-H膜、Si-N-H、Si-O-H、Si-C-N-H、Si-O-C-H及Si-O-N-H中之至少一者。
  18. 如請求項11所述之方法,其中將該沉積的阻障層暴露於該處理氣體的步驟進一步包括以下步驟: 將該沉積的阻障層暴露於蒸氣。
  19. 如請求項11所述之方法,其中將該沉積的阻障層暴露於該處理氣體的步驟進一步包括以下步驟: 將該沉積的阻障層暴露於臭氧、氧氣、水蒸氣、重水、過氧化物及含氫氧化物的化合物中之至少一者。
  20. 如請求項11所述之方法,進一步包括以下步驟: 由具有一第二同位素的氧原子取代在該沉積的阻障層中具有一第一同位素的氧原子,該第二同位素高於該第一同位素。
TW107128798A 2017-08-18 2018-08-17 形成用於直通穿孔應用的阻障層之方法 TWI803507B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/681,320 US10096516B1 (en) 2017-08-18 2017-08-18 Method of forming a barrier layer for through via applications
US15/681,320 2017-08-18

Publications (2)

Publication Number Publication Date
TW201923131A true TW201923131A (zh) 2019-06-16
TWI803507B TWI803507B (zh) 2023-06-01

Family

ID=63685388

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128798A TWI803507B (zh) 2017-08-18 2018-08-17 形成用於直通穿孔應用的阻障層之方法

Country Status (3)

Country Link
US (1) US10096516B1 (zh)
TW (1) TWI803507B (zh)
WO (1) WO2019036158A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送***
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6846739B1 (en) * 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US6781801B2 (en) * 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7863189B2 (en) * 2007-01-05 2011-01-04 International Business Machines Corporation Methods for fabricating silicon carriers with conductive through-vias with low stress and low defect density
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20110000960A (ko) * 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
FR2987937B1 (fr) * 2012-03-12 2014-03-28 Altatech Semiconductor Procede de realisation de plaquettes semi-conductrices
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
US9680095B2 (en) * 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
DE112014006932T5 (de) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
WO2016130956A1 (en) * 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process

Also Published As

Publication number Publication date
WO2019036158A1 (en) 2019-02-21
US10096516B1 (en) 2018-10-09
TWI803507B (zh) 2023-06-01

Similar Documents

Publication Publication Date Title
TWI803507B (zh) 形成用於直通穿孔應用的阻障層之方法
TWI830277B (zh) 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法
US9748105B2 (en) Tungsten deposition with tungsten hexafluoride (WF6) etchback
WO2018222614A1 (en) Quality improvement of films deposited on a substrate
WO2021076212A1 (en) Gap fill deposition process
JP7343619B2 (ja) 充填による選択的金属用のプロセス統合アプローチ
CN106463456B (zh) 用于形成互连结构的钝化保护的方法
TW201528366A (zh) 使用氟自由基乾式蝕刻鈷金屬的方法
TW202101547A (zh) 用於形成金屬間隙填充物之方法
KR102184690B1 (ko) 오목부의 매립 방법 및 처리 장치
TW201840903A (zh) 選擇性沉積無腐蝕金屬觸點之方法
TW201923147A (zh) 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成
US20170117145A1 (en) Boron nitride film forming method and semiconductor device manufacturing method
TW201715566A (zh) 在間隙填補應用中用來消除二氧化矽膜之原子層沉積物中的裂縫之系統及方法
TW201528430A (zh) 作爲先進互連之介電覆蓋障壁的含金屬膜
KR20210130247A (ko) 저온의 열 산화물 품질에서 두꺼운 산화물 막들을 성장시키는 방법
JP2016058544A (ja) エッチング方法、記憶媒体及びエッチング装置
TWI737601B (zh) 回蝕輪廓調整的方法
US8138095B2 (en) Method of substrate treatment, process for producing semiconductor device, substrate treating apparatus, and recording medium
JP2011124472A (ja) 半導体装置の製造方法
CN108695237B (zh) 一种半导体器件及其制作方法
TW202333223A (zh) 用於氧化鎢移除之氟化鎢浸泡及處理
US20090087995A1 (en) Method of substrate treatment, process for producing semiconductor device, substrate treating apparatus, and recording medium
WO2022006225A1 (en) Selective tungsten deposition at low temperatures
TW202204055A (zh) 用於選擇性間隙填充之低溫電漿預清潔