TW201535575A - 基板載體及應用其之處理系統與傳輸基板之方法 - Google Patents

基板載體及應用其之處理系統與傳輸基板之方法 Download PDF

Info

Publication number
TW201535575A
TW201535575A TW103132563A TW103132563A TW201535575A TW 201535575 A TW201535575 A TW 201535575A TW 103132563 A TW103132563 A TW 103132563A TW 103132563 A TW103132563 A TW 103132563A TW 201535575 A TW201535575 A TW 201535575A
Authority
TW
Taiwan
Prior art keywords
substrate
substrate carrier
electrode
carrier
support base
Prior art date
Application number
TW103132563A
Other languages
English (en)
Other versions
TWI645495B (zh
Inventor
Zuo-Qian Wang
John M White
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201535575A publication Critical patent/TW201535575A/zh
Application granted granted Critical
Publication of TWI645495B publication Critical patent/TWI645495B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/062Easels, stands or shelves, e.g. castor-shelves, supporting means on vehicles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/562Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks for coating elongated substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B3/00Drying solid materials or objects by processes involving the application of heat
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B1/00Shaft or like vertical or substantially vertical furnaces
    • F27B1/08Shaft or like vertical or substantially vertical furnaces heated otherwise than by solid fuel mixed with charge
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67718Changing orientation of the substrate, e.g. from a horizontal position to a vertical position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details
    • H05B3/03Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0214Articles of special size, shape or weigh
    • B65G2201/022Flat
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Nonlinear Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Microbiology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electroluminescent Light Sources (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一種適用於使用在一處理系統中的基板載體包括一電極組及一支撐底座。電極組配置以產生一靜電吸力,用以固定一基板於基板載體。支撐底座具有一加熱/冷卻槽形成於其中。電極組及支撐底座係形成一單一主體,配置以傳輸於處理系統中。一快卸連接器係耦接於主體且配置以在主體係與一熱調節媒介之一源解除耦接時,限制熱調節媒介於加熱/冷卻槽中。

Description

基板載體及應用其之處理系統與傳輸基板之方法
本發明之實施例大體上是有關於一種基板載體,且更特別是有關於一種適用於在垂直以及其他處理系統中使用之具有結合式靜電吸盤之基板載體。
電漿顯示面板、有機發光二極體(organic light emitting diodes,OLED)顯示器及液晶顯示器(Liquid crystal displays,LCD)通常使用於平面顯示器。液晶顯示器一般包含接合在一起的兩個玻璃基板,液晶材料層係夾置於此兩個玻璃基板之間。玻璃基板可為半導體基板或可為透明基板,透明基板例如是玻璃、石英、藍寶石、或透明塑膠膜。LCD可亦包含用於背光之發光二極體。
在製造平面顯示器或太陽能板的期間,用於沉積材料層於玻璃或透明基板上之電漿處理可重覆地執行,以形成包括平面顯示器或太陽能板之結構。在處理期間,一些處理系統係支承基板於垂直方向中。在基板係於垂直方向中進行處理的期間, 基板的遮罩部時常難以控制。遮罩係常常單次夾持於基板,且對位(alignment)係因而在整個沉積製程中維持。遮罩的膨脹或重新定位係無法提供。
再者,於垂直方向中處理之基板係時常利用機械式夾持力支承於基板載體上。在傳輸期間與有時在處理期間,傳統之用於支承基板之機械式夾持載體可能時常因高機械式夾持力而致使基板受損。此外,傳統之機械式夾持載體一般係支承基板之邊緣而在基板之邊緣有高度集中之物理接觸,以確保足夠之夾持力來穩固地夾起基板。集中於基板之邊緣的機械接觸不可避免地形成接觸汙染或物理傷害,而不必要地汙染了基板。特別是,對於用於小型行動電話、電漿顯示面板、LED或太陽能電池應用之基板來說,通常係使用薄基板而增加了在不損傷的情況下傳輸基板之困難性。
用於在處理系統中傳輸基板之方法和設備係有需求的,處理系統係適用於在基板實際上與遮罩相接時保持基板於垂直方向中。
一種適用於使用在一處理系統中之基板載體係包括一電極組及一支撐底座。電極組係配置以產生一靜電吸力,用以固定一基板於基板載體。支撐底座具有一加熱/冷卻槽形成於其中。電極組及支撐底座形成一單一主體,配置以傳輸於處理系統中。一連接器係耦接於主體且配置以傳輸一熱調節媒介進入加熱/冷 卻槽。
於另一實施例中,一處理系統係提供而包括一基板載體、一載入站及一處理腔體。基板載體係包括一電極組及一支撐底座,電極組係配置以產生一靜電吸力,支撐底座具有一加熱/冷卻槽形成於其中。電極組及支撐底座形成一單一主體,配置以傳輸於處理系統中。基板載體亦包括一快卸連接器,快卸連接器耦接於主體。快卸連接器係配置以在主體係與一熱調節媒介之一源解除耦接時,限制熱調節媒介於加熱/冷卻槽中。載入站係適用於連接一電源及熱調節媒介之源於基板載體。處理腔體係適用於接收具有基板靜電耦接於其上之基板載體。
於再另一實施例中,一種用於在一處理系統中傳輸一基板之方法係提供。此方法包括傳輸基板至一基板載體上,基板載體係設置於一載入站中;靜電吸附基板至基板載體;以及在基板係於一實質上垂直方向中靜電吸附於基板載體時,從載入站中傳輸基板至一處理腔體。
於再另一實施例中,一種適用於使用在一處理系統中的基板載體包括一支撐底座、一電極組、及一連接器。電極組具有交錯之數個指形電極形成於其中且設置於支撐底座上。連接器係耦接於支撐底座,且配置以解除一電源與電極組之電性連接。支撐底座及電極組形成一單一主體,適用於傳輸於處理系統中。
用於在一基板設置於一載體上時處理基板的方法係亦提供,載體具有獨立可定址之數個電極組。於一實施例中,用 於處理一基板之方法係提供而包括利用數個獨立可控制之電極組操作於一第一吸附模式中來吸附基板於一載體;傳輸已吸附之基板至一處理腔體中;以及當電極組之至少一者係保持操作於第一吸附模式中時,自第一吸附模式選擇性改變電極組之至少一者成一第二吸附模式。
於另一例子中,用於處理一基板之方法係提供而包括從藉由一機載控制器(on-board controller)控制之一機載電源來選擇性提供一第一吸力至一可傳輸載體之數個電極組;傳輸已吸附之基板至一處理腔體中;以及當電極組之至少一者係仍然提供有第一吸力時,從機載電源選擇性提供一第二吸力至電極組之至少一者。為了對本發明之上述及其他方面有更佳的瞭解,下文特舉較佳實施例,並配合所附圖式,作詳細說明如下:
10‧‧‧噴嘴
100‧‧‧蒸鍍源
101‧‧‧處理系統
102‧‧‧支撐件
104‧‧‧蒸鍍坩堝
106‧‧‧分佈管
109b、109c、109d‧‧‧箭頭
110、110A、110B‧‧‧處理腔體
112‧‧‧通用系統控制平台
121a‧‧‧第一基板
121b‧‧‧第二基板
124a‧‧‧第一傳輸軌道
124b‧‧‧第二傳輸軌道
132‧‧‧遮罩
132a‧‧‧第一遮罩
132b‧‧‧第二遮罩
150‧‧‧結合式靜電吸盤
150a‧‧‧第一結合式靜電吸盤
150b‧‧‧第二結合式靜電吸盤
151‧‧‧基板載體
151a‧‧‧第一基板載體
151b‧‧‧第二基板載體
162A、162B‧‧‧基板堆疊模組
164A、164B‧‧‧大氣機械手臂
166A、166B‧‧‧載入站
168A、168B‧‧‧載入載出腔體
174A、174B‧‧‧處理線
180‧‧‧翻轉檯
182‧‧‧法蘭
200‧‧‧驅動系統
206‧‧‧基板
240‧‧‧吸盤電源
242‧‧‧流體源
244‧‧‧氣體源
270‧‧‧主體
272‧‧‧導引軌道
274‧‧‧底面
276‧‧‧基板支撐表面
278‧‧‧滾輪
280‧‧‧馬達
282‧‧‧密封件
284‧‧‧轉軸
288‧‧‧齒輪
290‧‧‧驅動皮帶
292‧‧‧齒輪
294‧‧‧側邊
301‧‧‧氣體源
303‧‧‧電漿產生器
306‧‧‧腔體主體
307‧‧‧內部體積
308、312、416‧‧‧寬度
309‧‧‧底部
310、314‧‧‧長度
311‧‧‧可密封狹縫閥通道
350a、350b、350c‧‧‧連接器
351a、351b、351c‧‧‧匹配連接器
352a、352b、352c‧‧‧致動器
356‧‧‧控制電子元件
402‧‧‧封膠膜
404‧‧‧支撐底座
406、602、602A、602B、602C‧‧‧電極組
408‧‧‧第一電極
410‧‧‧第二電極
418‧‧‧介面
420、422‧‧‧指形電極
424‧‧‧電極島
426‧‧‧連接件
433‧‧‧空間
440‧‧‧能量儲存裝置
460、462‧‧‧導孔
490‧‧‧電子接腳
502‧‧‧負電荷
504‧‧‧正電荷
508‧‧‧冷卻/加熱槽
510‧‧‧入口埠
512‧‧‧出口埠
522‧‧‧部分
524‧‧‧通道
545‧‧‧膨脹補償層
555‧‧‧o型環
590‧‧‧整體式止回或隔離閥
592‧‧‧整體式止回閥或隔離閥
594‧‧‧接觸墊
600‧‧‧基板載體
604‧‧‧彈性耦接件
606‧‧‧開口
700、1100‧‧‧方法
702~720、902~908、1102、1104‧‧‧方塊
800‧‧‧載體
802、8021~802N‧‧‧電極行
804‧‧‧噴嘴
806‧‧‧第一邊緣
808‧‧‧第二邊緣
900‧‧‧沉積方法
1002、1002C-N,C+J~1002C+M,C-K‧‧‧電極組
為了可詳細地了解本發明上述之特點,簡要摘錄於上之本發明更特有的說明可參照實施例,部分的實施例係繪示於所附圖式中。然而,值得注意的是,由於本發明可承認其他等效實施例,因此所附之圖式僅繪示本發明之典型實施例且因而不認定為其之範圍限制。
第1A至1D圖繪示與保持於基板載體上之基板一起使用之處理系統的示意圖,基板載體具有結合式靜電吸盤;第1E圖繪示根據另一實施例之具有基板載體之處理系統之 代表示意圖,基板載體具有結合式靜電吸盤;第2圖繪示用以於處理系統中移動基板載體之驅動系統之局部剖面圖;第3A圖繪示基板載體之前視圖;第3B圖繪示具有基板載體設置於其中之處理腔體之一實施例的頂視剖面圖;第4A圖繪示基板支撐板之一實施例與根據一些實施例之基板載體之結合式靜電吸盤之***圖;第4B圖繪示於垂直方向中之基板載體之一實施例的側視圖;第5A圖繪示具有位於水平方向中之結合式靜電吸盤之基板載體之基板支撐板的剖面圖;第5B圖繪示具有位於垂直方向中之結合式靜電吸盤之基板載體的剖面圖;第5C圖繪示具有位於垂直方向中之結合式靜電吸盤之基板載體之另一實施例的剖面圖;第6圖繪示說明電極組之陣列之基板載體的前視圖;第7圖繪示使用具有結合式靜電吸盤之基板載體之用於傳輸基板之方法的流程圖,具有結合式靜電吸盤之基板載體係提供於根據本發明之一實施例中;第8A-8C圖繪示對應用於處理基板之方法的數個階段之載體與噴嘴之連續示意圖; 第9圖繪示用於處理基板之方法的流程圖;第10圖繪示具有電極組之陣列之載體的示意圖;以及第11圖繪示用於處理基板之方法的另一流程圖。
為了便於了解,相同之參考編號係儘可能的使用,以表示於圖式中共同之相同之元件。可預期的是,一實施例之數個元件及數個特性可有利地併入其他實施例中而無需進一步之說明。
本發明一般係有關於一種基板載體及使用其之方法,此基板載體適用於在處理期間保持基板於垂直方向中。基板載體包括結合式靜電吸盤。基板載體可亦為可控溫的,以控制設置於其上之基板的溫度於所需溫度範圍中。
此處討論之實施例可使用垂直沉積系統來實現,垂直沉積系統例如是垂直化學氣相沉積(CVD)或物理氣相沉積(PVD)腔體,PVD腔體例如是調整之AKT New AristoTM Twin PVD系統,取自位於加州聖塔克拉拉之應用材料公司(Applied Materials,Inc.,Santa Clara,California)。可理解的是,本發明之實施例可亦於其他處理系統中實施,包括非串聯式(non-inline)(也就是群聚)系統且包括由其他製造商販賣之系統。亦應理解的是,雖然此處所述之基板載體係於垂直處理系統中使用特別有益處,然而,此基板載體係同樣適用於保持基板於非垂直方向中之處理系統,非垂直方向例如是水平方向。
第1A至1D圖繪示具有蒸鍍源100於相對於遮罩132之數個位置的處理腔體110之示意圖,遮罩132例如是第一遮罩132a和第二遮罩132b,使用以控制於基板206上之沉積,基板206係於處理腔體110中移動。在不同位置間之蒸鍍源100的移動係以箭頭109b、109c、以及109d表示。第1A至1D圖繪示具有蒸鍍坩堝104以及分佈管106之蒸鍍源100的示意圖。噴嘴10係從分佈管106延伸,以導引材料到基板206上。分佈管106係由支撐件102支撐。再者,根據一些實施例,蒸鍍坩堝104可亦由支撐件102支撐。在操作時,例如是第一基板121a及第二基板121b之基板206係提供於處理腔體110中。第一基板121a及第二基板121b係支撐且吸引至對應之基板載體151,例如是第一基板載體151a及第二基板載體151b,更詳細之說明係參照下方之第2-3A圖。第一遮罩132a及第二遮罩132b係分別提供於第一基板121a與蒸鍍源100之間以及第二基板121b與蒸鍍源100之間。第一遮罩132a及第二遮罩132b係由對應之遮罩吸盤組吸附,對應之遮罩吸盤組舉例為結合式靜電吸盤150,結合式靜電吸盤150例如是結合於基板載體151中之第一結合式靜電吸盤150a和第二結合式靜電吸盤150b。如第1A至1D圖中所示,有機材料係從分佈管106蒸發,以沉積一層於第一基板121a及第二基板121b上。在沉積層之期間,第一遮罩132a及第二遮罩132b遮蔽部分之基板。
於第1A圖中,蒸鍍源100係繪示第一位置中,且 於第一基板載體151a和第二基板載體151b係啟動。如第1B圖中所示,第一結合式靜電吸盤150a具有第一基板121a吸附於適當位置中。繪示位於第一基板121a之上方的第一遮罩132a係藉由第一結合式靜電吸盤150a吸附於第一基板121a的上方之適當位置。在第一遮罩132a係位於適當位置的情況下,在處理腔體110中之第一基板121a係藉由如箭頭109b所示之蒸鍍源的傳輸移動來沉積有一材料層,例如是有機材料。當第一基板121a係藉由第一遮罩132a而沉積有有機材料層時,第二基板121b可進行交換,第二基板121b例如是位於第1A至1D圖中之右邊的基板。第1B圖繪示用於第二基板121b之第二傳輸軌道124b之示意圖。當第二基板121b並不位於第1B圖中時,第二基板載體151b及第二結合式靜電吸盤150b係未啟動來進行吸引。在第一基板121a係已經沉積有有機材料層時,蒸鍍源100之分佈管106的噴嘴10係如第1C圖中之箭頭109c所示的轉動,以讓噴嘴10指向第二基板121b。
在沉積有機材料於第一基板121a上之期間,第二基板121b係接著吸附於第二基板載體151b。第二遮罩132b係接著以和第二基板相關之方式進行定位且對齊,之後係於第二基板121b之上方吸附第二遮罩132b至第二結合式靜電吸盤150b。因此,在第1C圖中所示之轉動後,在分佈管106及噴嘴10係如箭頭109d所示移動橫跨第二基板121b時,第二基板121b可藉由第二遮罩132b而塗佈有有機材料層。當第二基板121b係塗佈有 有機材料時,第一遮罩132a可自第一結合式靜電吸盤150a解除吸附。在第一遮罩132a係解除吸附時,第一基板121a可接著自處理腔體110移除,以自第一結合式靜電吸盤150a解除吸附。第1D圖繪示第一傳輸軌道124a位於第一基板121a之位置中的示意圖。
根據此處所述之實施例,第一基板121a及第二基板121b係於實質上垂直位置中塗佈有有機材料。也就是說,繪示於第1A至1D圖中之示意圖係為包括蒸鍍源100之設備的上視圖。分佈管可為蒸氣分佈噴頭,特別是線性蒸氣分佈噴頭。藉此,分佈管係提供本質上垂直延伸之線性源。根據可與此處所述其他實施例結合的此處所述之數個實施例,當本質上垂直係與基板方向相關時,本質上垂直特別是理解為自垂直方向偏移10°或偏移少於10°。此偏移可提供,因為具有自垂直方向之一些偏移的基板載體可讓基板之位置更為穩定。然而,在沉積有機材料之期間,基板方向係視為本質上垂直,而被認為不同於水平之基板方向。基板之表面係因而藉由線性源以及傳輸移動來塗佈,線性源係於對應一基板尺寸的一方向延伸,傳輸移動係沿著對應於其他基板尺寸之其他方向。再者,雖然說明之內容係有關於用於範例性垂直處理腔體之垂直位置,此配置及/或腔體並不意味為限制。此處所述實施例同時係可調整成水平腔體或可處理更多或更少基板之腔體。
此述所述之一些例子特別是有關於沉積有機材料, 例如是用於OLED顯示器製造且於大面積基板上。其他例子可利用以沉積非有機材料,例如是SiO2、SiO、SiON以及其他。根據一些實施例,大面積基板及支撐一或更多個基板之載體可具有至少0.174m2之尺寸,支撐一或更多個基板之載體也就是大面積載體。載體之尺寸可為約1.4m2至約8m2,例如是約2m2至約9m2或甚至高達12m2。基板可以任何適合來沉積材料之材料製成。舉例來說,基板可以一材料製成,此材料係選自由玻璃(舉例為鈉鈣玻璃(soda-lime glass)、硼矽玻璃(borosilicate glass)等)、金屬、聚合物、陶瓷、複合材料、碳纖維材料或任何其他材料或可藉由沉積製程進行塗佈之材料的組合所組成之群組。
根據此處所述之一例子,第一結合式靜電吸盤150a及第二結合式靜電吸盤150b可組設於處理腔體內或與基板載體151組設在一起。可與基板載體151組設在一起之實施例包括有關於第2及3A-3B圖之實施例。
第1E圖繪示根據一實施例之垂直、線性之處理系統101之代表示意圖,此實施例係設置以利用具有結合式靜電吸盤150(於第1A-1D圖中繪示成150a、150b)之基板載體151(於第1A-1D圖中繪示成151a、151b)。處理系統101可設置來沉積、蝕刻、植入、退火或其他真空製程。當沉積2,000埃(Angstrom)厚之材料層時,處理系統101可調整尺寸以處理具有大於90,000mm2之表面面積的基板,以及於一些實施例中可每小時處理多於90個基板。處理系統101包括兩個分離之處理線174A、174B, 處理線174A、174B藉由通用系統控制平台112耦接在一起,以形成兩個處理線配置/佈局。共用電源供應器(例如是交流(AC)電力供應器)、共用及/或分享幫浦及排氣元件及共用氣體面板可用於兩個處理線174A、174B。各處理線174A、174B可每小時處理多於45個之基板,以讓系統每小時總共處理多於90個基板。亦可預期的是,系統可配置而使用單一個處理線或多於兩個處理線,且可額外配置系統以處理不同尺寸之基板。
兩個處理線174A、174B對垂直基板處理而言有數個優點。因為處理系統101之腔體係垂直配置,處理系統101所佔用之空間係大約相同於單一、傳統之水平處理線所佔用之空間。因此,兩個處理線174A、174B係擺置在大約相同之佔用空間中,而有利於製造者節省廠區中之樓層空間。為了有助於了解名稱「垂直」的意思,考慮一平面顯示器,例如是電腦螢幕之平面顯示器具有長度、寬度及厚度。當平面顯示器係垂直,長度或寬度係在厚度平行於地平面時從地平面垂直延伸。反過來說,當平面顯示器係水平,長度與寬度係在厚度垂直於地平面時平行於地平面。對於大面積基板來說,長度與寬度係大於基板之厚度的好幾倍。
各處理線174A、174B包括基板堆疊模組162A、162B,未處理之基板(也就是基板係還沒在處理系統101中處理)係從基板堆疊模組162A、162B取得且已處理之基板係儲存於基板堆疊模組162A、162B。大氣機械手臂164A、164B係從基板堆疊模組 162A、162B取得基板且擺置基板至兩個載入站166A、166B中。可理解的是,雖然基板堆疊模組162A、162B係繪示出具有堆疊於水平方向中之基板,然而設置於基板堆疊模組162A、162B中之基板可類似夾持在兩個載入站166A、166B中之基板保持於垂直方向中。未處理之基板係接著移動至兩個載入載出腔體(load lock chamber)168A、168B且接著移動至處理腔體110(如第1E圖中之110A、110B以及第1A-1D圖中之110)。目前進行處理之基板係接著通過兩個載入載出腔體168A、168B之其中一者而回到兩個載入站166A、166B之其中一者,基板係藉由大氣機械手臂164A、164B之其中一者重新取得且回到兩個基板堆疊模組162A、162B之其中一者。
雖然基板係僅沿著一線處理,此順序將同時參照處理線174A、174B說明。各大氣機械手臂164A、164B可同時或各別進入兩個載入站166A、166B,以取得基板。大氣機械手臂164A、164B載入基板至設置於支撐件表面上之基板載體151上。在第1E圖之實施例中,支撐件表面係為翻轉檯180之形式。翻轉檯180係配置以轉動基板載體151大約90度,例如是實質上水平及實質上垂直方向之間。翻轉檯180可包括法蘭182,以在位於垂直位置中時保持基板載體151,且讓元件和基板載體151之間相互連接,如下文進一步說明。基板載體151係設置於載入站166A、166B中且配置以從基板堆疊模組162A、162B傳輸基板至載入載出腔體168A、1681B,且接著傳輸至處理腔體110A、 110B。氣體源244、流體源242及吸盤電源240係至少在基板載體151位於一或數個載入站166A、166B、載入載出腔體168A、168B、或處理腔體110A、110B中時配置以連接於基板載體151。更特別的是,基板載體151可暫時地耦接於氣體源244、流體源242及吸盤電源240之一者或數者,使得用於吸附基板至基板載體151之靜電吸力以及基板載體151之溫度可調節。或者,吸盤電源240可為能量儲存裝置(於第3A圖中係繪示成440)之形式,設置於基板載體151中,使得吸力可在基板固定於基板載體之整個期間受到控制,能量儲存裝置例如是電池、電容或其他裝置。有關於靜電吸力與基板載體151之溫度係如何控制的細節將參照第5A-5B圖進一步說明於下文。
在操作期間,基板載體151係以實質上水平或垂直方向設置於載入站166A、166B中,以從基板堆疊模組162A、162B接收基板。在第1E圖中之實施例中,當載入站166B係繪示成在水平方向中支承基板載體151以從基板堆疊模組162B接收於處理腔體110A中將進行處理之基板時,載入站166A係繪示成於實質上垂直方向中以接收在處理腔體110A中基板載體151上已經處理之基板,已處理之基板係回到基板堆疊模組162A。當基板載體151為至少實質上垂直方向中且選擇性為水平方向中時,基板載體151係耦接於氣體源244、流體源242及吸盤電源240,使得基板載體151之溫度可設定成預設值且基板可吸附於基板載體151。於載入站166B係為水平方向之實施例中,在氣體源244、 流體源242及吸盤電源240係自基板載體151解除耦接時,基板載體151可接著轉動約90度以在實質上垂直方向中傳輸基板載體151至載入載出腔體168B中。舉例來說,在基板載體151係轉動至實質上垂直方向之前、期間、或之後,氣體源244、流體源242及吸盤電源240可選擇性從基板載體151脫離。
值得注意的是,當基板載體151係在基板進行處理之前、期間、或之後位於處理腔體110A、110B之一者或數者中,或者位於載入載出腔體168A、168B中時,氣體源244、流體源242及吸盤電源240可亦連接於基板載體151,以利於在有需要時提供氣體、流體及電力至基板載體151。
實質上垂直之基板載體151各具有靜電吸附於其之基板,且傳輸至兩個載入載出腔體168A、168B,以準備傳輸至兩個處理腔體110A、110B來進行處理。處理腔體110A、110B可為化學氣相沉積腔體、物理氣相沉積腔體、蝕刻腔體、電漿處理腔體、植入腔體、退火腔體或其他工件(也就是基板)處理腔體。在處理之後,設置於基板載體151上之基板係接著傳輸回到載入載出腔體168A、168B、至載入站166A、166B。一旦已處理之基板係裝載至載入站166A、166B上,基板載體151係轉動至實質上水平方向,以有利於從其移除已處理之基板且傳輸已處理之基板回到基板堆疊模組162A、162B。
第2圖繪示用以從載入站166A、166B移動基板至載入載出腔體168A、168B及/或處理腔體110A、110B之基板載 體151之驅動系統200的局部剖面圖。於第2圖之實施例中,驅動系統200係繪示於翻轉檯180之法蘭182中。雖然為了簡潔而未繪示出來,載入載出腔體168A、168B及處理腔體110A、110B亦具有設置於其中之驅動系統200,用以於處理系統101中移動基板載體151。
基板載體151包括主體270,主體270具有基板支撐表面276、底面274及側邊294。當底面274係位於基板載體151相對於基板支撐表面276之相對側上時,基板支撐表面276係配置以具有基板206靜電吸附於其。側邊294係連接基板支撐表面276及底面274,且一般係定義基板載體151之厚度。基板支撐表面276以及主體270可具有大略為多角形之形狀,例如是矩形。然而,可預期的是,主體270可選擇性具有另一形狀,例如是圓形(circular)。
導引軌道272係自主體270之側邊294延伸。導引軌道272可具有一方向,實質上垂直於基板支撐表面276之一平面。導引軌道272係配置以連接於驅動系統200之傳輸機構。於一實施例中,傳輸機構係為滾輪278,具有容置導引軌道272之凹形槽輪(sheave)。
驅動系統200包括馬達280,用以致動滾輪278因而控制基板載體151在處理系統101中之運動。於一實施例中,馬達280係耦接於驅動皮帶290之槽輪或齒輪292。皮帶290係連接於槽輪或齒輪288。齒輪288係耦接於轉軸284,轉軸284 耦接於滾輪278,滾輪278係卡合於基板載體151之導引軌道272。轉軸284貫穿翻轉檯180之法蘭182(以及載入載出腔體168A與168B、以及處理腔體110A與110B之底牆,用於在那些區域中之驅動系統200)。密封件282卡合轉軸284,以避免在耦接於齒輪288及滾輪278之轉軸284的數個區域間之洩漏,例如是真空洩漏。
第3A圖繪示第1A-1E圖之基板載體151於垂直方向中的前視圖。基板載體151之結合式靜電吸盤150包括電極組406之陣列。電極組406係獨立可控制。結合式靜電吸盤150之各電極組406包括至少兩組散佈的第一電極408及第二電極410。第一電極408及第二電極410可配置成任何所需之配置,使得第一電極408及第二電極410可供能以產生靜電力,靜電力係足以固定基板於基板載體151之基板支撐表面276。不同組之第一電極408及第二電極410可等距分隔,或以任何其他所需之配置排列。舉例來說,此些組的第一電極408及第二電極410可配置成行、列、陣列、或配置以提供所需之吸附特性的其他型態。有關於第一電極408及第二電極410之配置的細節將參照第4A圖進一步說明於下方。各第一電極408及第二電極410可以所需之不同電壓或極性充電,因而產生靜電力。數組之第一電極408及第二電極410可配置,以橫向分佈靜電力來跨越陶瓷吸盤之主體270的基板支撐表面276。
第一電極408可包括數個指形電極(electrode fingers)420,此些指形電極420係與第二電極410之數個指形電極422交錯。交錯之指形電極420、422係提供分佈在橫跨結合式靜電吸盤150之大範圍的區域靜電吸引,當利用較少之吸附電壓時,區域靜電吸引聚集係提供高吸力。指形電極420、422可形成以具有不同長度及幾何特性。於一實施例中,指形電極420、422可具有約0.1mm及約20mm之間的寬度,例如是約0.25mm至約10mm的寬度,此寬度可根據欲吸引之材料種類來決定。如果有需要時,指形電極420、422可配置而具有彼此交錯之不同尺寸。指形電極420、422可選擇性或重複性形成,直到指形電極420、422的所需數目係形成。
結合式靜電吸盤150之各電極組406可為獨立可控制的,以讓提供於結合式靜電吸盤150之所需範圍中的吸力可精細調整。類似地,電極組406之群組例如是可為一起可控制的。可預期的是,任何數量之電極組406可以任何型態或組合之方式來一起可控制的。在結合式靜電吸盤150中之電極組406之獨立或群組控制可藉由控制電子元件356控制且可適用於吸附各種形式之基板至基板載體151。控制電子元件356可為機載式的(on-board),也就是包含於基板載體151上。
吸盤電源240可亦電性耦接於電極組406之第一電極408及第二電極410且配置以在需要時提供吸附電力至電極組406或解除提供至電極組406之吸附電力。吸盤電源240可亦與控制電子元件356電性通訊。就這一點來說,控制電子元件356 可適用於獨立於各電極組406而控制來自吸盤電源240傳送之電性訊號。
結合式靜電吸盤150可包括約5個及約500個之間的電極組406,舉例來說,約200個及約300個之間的電極組406。於一實施例中,結合式靜電吸盤150具有約225個電極組406。於另一實施例中,結合式靜電吸盤150具有約75個群組之三個電極組406。雖然繪示出排列成像格子之型態,電極組406之陣列可在主體270上配置成任何形狀或型態,以提供所需之吸盤性能。
包括於結合式靜電吸盤150中的電極組406係繪示成具有方形或矩形之形狀,然而,可預期的是,任何形狀之電極組406可用以形成結合式靜電吸盤150。於一實施例中,各電極組406之寬度312可為約100mm及約200mm之間,例如是約150mm及約175mm之間。各電極組406之長度314可為約100mm及約200mm之間,例如是約140mm及約150mm之間。長度314與寬度312可亦具有其他尺寸。
由結合式靜電吸盤150所佔據之區域可與主體270之尺寸相關且可覆蓋整個主體270或僅覆蓋一部分之主體270。如所繪示,結合式靜電吸盤150覆蓋一部分之主體270。於一實施例中,結合式靜電吸盤150之寬度308可為約1000mm與約3000mm之間,例如是約2000mm與約2500mm之間。結合式靜電吸盤150之長度310可為約1000mm與約3000mm之間, 例如是約2000mm與約2500mm之間。然而,如前所述,結合式靜電吸盤150之尺寸通常將對應於主體270之尺寸以及欲使用於基板載體151之基板的尺寸。
如前所述,具有結合式靜電吸盤150設置於其上之主體270可具有耦接於其之控制電子元件356、吸盤電源240、氣體源244及流體源242。主體270可由金屬所製成,金屬例如是鋁、鈦、不銹鋼、及合金與其組合。主體270可為方形或矩形,然而,可理解的是,主體270可具有其他形狀。
例如是電池、電容或類似物之能量儲存裝置440可耦接於主體270或設置於主體270中,且可配置以儲存並提供電力至結合式靜電吸盤150。於一實施例中,能量儲存裝置440及控制電子元件356係耦接於主體270且相鄰於結合式靜電吸盤150。於另一實施例中,能量儲存裝置440可位於遠於主體270的位置,但與結合式靜電吸盤150與控制電子元件356電性通訊。舉例來說,當需要吸附基板於基板載體151且從基板載體151解除吸附基板時,能量儲存裝置440可位於處理腔體中且可電性耦接於結合式靜電吸盤150與控制電子元件356。能量儲存裝置440可藉由暫時連接於吸盤電源240來重新充電,或藉由位於處理系統中101內之數個位置中的感應電源來感應充電(inductive charging)。
於操作時,一或數個基板可擺置而接觸基板載體151,且控制電子元件356可讓能量儲存裝置440提供第一吸附 電壓至一或數個電極組406,電極組406係設置於結合式靜電吸盤150中。結合式靜電吸盤150在所需時間總量中(也就是處理期間)吸附基板,且控制電子元件356可接著讓能量儲存裝置440提供第二吸附電壓以解除基板吸附於基板載體151。於一實施例中,當需要吸附基板或解除吸附基板時,設置於處理腔體中之感測器可與控制電子元件356進行通訊且提供訊號至控制電子元件356。雖然吸盤電源240及控制電子元件356係繪示成耦接於主體270而位於結合式靜電吸盤150之下方,可預期的是,能量儲存裝置440及控制電子元件356可在任何所需位置耦接於主體270或設置於主體270中,任何所需位置例如是位於結合式靜電吸盤150之上方或在結合式靜電吸盤150旁。
第3B圖繪示處理腔體110A之一實施例的示意圖。處理腔體110B係以類似之方式構成。處理腔體110A包括腔體主體306,腔體主體306具有底部309,用於移動基板載體151之驅動系統200係設置於底部309中。雖然第3B圖中各基板載體151僅繪示有一個驅動系統200,數個驅動系統200(未繪示)係位於基板載體151之下方。腔體主體306包括可密封狹縫閥通道311,當基板206傳輸於載入載出腔體168A與處理腔體110A之間時,基板載體151係通過可密封狹縫閥通道311。
腔體主體306包圍內部體積307,內部體積307具有數個設置於其中之氣體源301及電漿產生器303。氣體源301係耦接於氣體面板(未繪示),用於提供處理氣體至內部體積307。 處理氣體之例子包括適合用於沉積膜於基板上之氣體,包括SiN、SiO、及SiON之至少一者、及類似物。電漿產生器303可為微波源或適合用於供能至內部體積307中之處理氣體的其他裝置,使得電漿可維持。於一實施例中,氣體源301係設置於電漿產生器303及在基板載體151上之基板206之間。
為了處理在處理系統101中之基板,基板206係首先藉由大氣機械手臂164A、164B自基板堆疊模組162A、162B取出,且擺置於兩個載入站166A、166B中。於一實施例中,基板206可擺置於兩個載入站166A、166B中的基板載體151上。一旦基板206係裝載於兩個載入站166A、166B中時,基板載體151係接著連接於氣體源244、流體源242及吸盤電源240。接著,吸附電力可從吸盤電源240供應至基板載體151,以穩固吸附基板206於基板載體151上。再者,冷卻或加熱流體(例如是溫度調節媒介)可選擇性從流體源242供應至基板載體151,以控制設置於基板載體151上之基板206的溫度。再者,位於基板206與基板載體151間之介面的氣體可選擇性抽出,以在介面提供良好之密封表面。於一實施例中,為了確保在處理期間基板206可穩固且持續吸附於基板載體151上而不從基板載體151掉落,能量儲存裝置440可選擇性與基板載體151結合,以在基板傳輸及處理期間持續提供吸力於基板載體151上。
氣體源244、流體源242及吸盤電源240與基板載體151之間的連接可以任何合適之方式達成,例如是使用插頭及 插座連接器、刀鋒連接器(blade connectors)、螺絲端子(screw terminal)、快卸連接器(quick disconnects)、香蕉連接器(banana connectors)及類似物。於一實施例中,基板載體151包括連接器350a、350b、350c,連接器350a、350b、350c可釋放地連接於匹配連接器351a、351b、351c,以分別耦接氣體源244、流體源242及吸盤電源240之各者於基板載體151。匹配連接器351a、351b、351c耦接於致動器352a、352b、352c,致動器352a、352b、352c移動匹配連接器351a、351b、351c於第一狀態與第二狀態之間,第一狀態係連接於連接器350a、350b、350c,第二狀態係自連接器350a、350b、350c解除連接且離開連接器350a、350b、350,以有利於基板載體151移動。耦接於氣體源244及流體源242之連接器350a、350c之一者或兩者可選擇性包括內止回或隔離閥(internal check or isolation valves),以避免從匹配連接器351a、351c解除耦接時流動通過連接器350a、350c。處理系統之其他區域可利用相同或類似裝置耦接氣體源244、流體源242及吸盤電源240於基板載體151且解除氣體源244、流體源242及吸盤電源240耦接於基板載體151。
在基板206係穩固地吸附於基板載體151上且於所需溫度時,氣體源244、流體源242及吸盤電源240可接著從基板載體151解除連接,以讓仍然靜電吸附於基板載體151之基板206傳輸而於處理系統101之處理腔體中進行更進一步的處理。狹縫閥門係開啟且設置於基板載體151上之基板206係傳輸至載 入載出腔體168A、168B中。載入載出腔體168A、168B係接著排氣到近乎相鄰處理腔體110A、110B的真空程度。狹縫閥門係接著開啟且設置於基板載體151之基板206係傳輸至處理腔體110A、110B中。在處理之後,順序係相反,以從處理系統101移除基板206。
第4A圖繪示基板載體151之一實施例的***圖。基板載體151係包括結合式靜電吸盤150,結合式靜電吸盤150設置於剛性(rigid)之支撐底座404上。結合式靜電吸盤150包括電極組406及封膠膜402,電極組406如上述有關於第3A圖之說明,封膠膜402設置於電極組406上。結合式靜電吸盤150及剛性之支撐底座404係一起形成基板載體151之主體270。剛性之支撐底座404係定義基板載體151之底面274,而封膠膜402係定義基板載體151之基板支撐表面276。雖然未繪示出來,主體270可包括於其貫穿延伸之數個舉升栓孔洞。
在第4A圖之實施例中,剛性之支撐底座404具有類似矩形之形狀,此類似矩形之形狀具有實質上符合電極組406及封膠膜402之形狀及尺寸的周邊(由數個側邊294所定義),以讓基板206固定於類似之形狀和尺寸。值得注意的是,剛性之支撐底座404、電極組406及封膠膜402可根據所需選擇形狀或幾何特性來符合工件之幾何特性,工件例如是基板206。舉例來說,雖然基板載體151係繪示成矩形之空間範圍(aerial extent),可理解的是,基板載體151之空間範圍可選擇性具有其他幾何形式, 以符合不同基板,例如是圓形幾何形式以符合圓形基板。
於一實施例中,剛性之支撐底座404可由絕緣材料製成,絕緣材料例如是介電材料或陶瓷材料。陶瓷材料或介電材料的合適例子包括聚合物(也就是聚醯亞胺(polyimide))、例如是石英或玻璃之氧化矽、氧化鋁(Al2O3)、氮化鋁(AlN)、含釔材料、氧化釔(Y2O3)、釔鋁石榴石(yttrium-aluminum-garnet,YAG)、氧化鈦(TiO)、氮化鈦(TiN)、碳化矽(SiC)及類似物。剛性之支撐底座404可為選擇性為金屬或金屬化主體,具有設置於剛性之支撐底座404之表面上且面對電極組406的介電層。
電極組406設置於剛性之支撐底座404上,且包括至少兩個散佈之第一電極408及第二電極410。各第一電極408及第二電極410可在吸附電壓係提供於其時以不同電壓或極性充電,而產生靜電力。電極組406之第一電極408及第二電極410係配置以沿著一距離散佈靜電力,此距離係至少基板載體151之寬度的兩倍。各第一電極408及第二電極410可具有數種幾何形式,交錯或置於數個其他幾何形式的電極之間。如第4A圖中所示,數個指形電極420係與指形電極422交錯。散佈之第一電極408及第二電極410之指形電極420、422係提供分佈在跨越基板載體151之大範圍之區域靜電吸引,當使用較少之吸附電力時,區域靜電吸引聚集係提供高吸力。指形電極420、422可形成以具有不同形狀、長度及幾何特性。於一實施例中,指形電極420、422之一者或兩者可形成互連之電極島424。位於電極島424之 間的連接件426可在第一電極408及第二電極410之平面,如第4A圖中所示,或者位於第一電極408及第二電極410之平面外,例如是為跳線(jumper)及/或導孔(vias)之形式。於一實施例中,指形電極420、422具有約0.25mm及約10mm之間的寬度416。
於一實施例中,電極組406可由具有類似於相鄰封膠膜402及剛性之支撐底座404之熱膨脹係數的金屬化材料製成,金屬化材料例如是鋁矽合金。於一實施例中,電極組406之熱膨脹係數係為約4μm/(m*K)及約6μm/(m*K)之間,且一般係在封膠膜402之熱膨脹係數的百分之20內。
第一電極408之各指形電極420之間的空間433係定義以容置第二電極410之指形電極422。空間433可為氣隙,以介電間隔件材料(dielectric spacer material)填充,或者以剛性之支撐底座404或封膠膜402之至少一者填充。
導孔460、462可形成而貫穿剛性之支撐底座404,以耦接第一電極408及第二電極410於吸盤電源240。於一些實施例中,選擇性之能量儲存裝置440可例如是機載電源。能量儲存裝置440可設置於剛性之支撐底座404中且藉由導孔460、462連接於第一電極408及第二電極410,以提供電力來吸附基板206。能量儲存裝置440可為電池、電容、超級電容(supercapacitor)、超級電池(ultrabattery)或其他適合之能量儲存裝置。舉例來說,能量儲存裝置440可為鋰離子電池,且可具有端子連接件(於第3B圖中繪示成連接器350b)於剛性之支撐底座404外,用以在無 需從剛性之支撐底座404或處理系統101移除的情況下,重新對能量儲存裝置440充電。
封膠膜402係設置於剛性之支撐底座404上而把電極組406夾置於中間,以形成基板載體151之主體270為單一結構。封膠膜402係位於電極組406上,以在基板206吸附處上提供絕緣表面。封膠膜402可由具有熱性質之材料製成,此熱性質實質上符合下方之電極組406的熱性質,並例如是熱膨脹係數。於一些實施例中,利用來製造封膠膜402之材料係也使用來製造剛性之支撐底座404。
在封膠膜402之後,電極組406及剛性之支撐底座404係疊設在一起,例如是退火製程之接合製程係執行以熔接封膠膜402、電極組406及剛性之支撐底座404在一起來形成包括基板載體151之主體270的層疊結構。在封膠膜402、電極組406及剛性之支撐底座404可能需要操作在例如是大於攝氏300度之高溫環境的實施例中,用於製造此三種元件之材料可選自熱阻材料(heat resistance material),熱阻材料可在退火製程期間承受高熱處理,且例如是陶瓷材料或玻璃材料。於一實施例中,封膠膜402及剛性之支撐底座404可由提供良好強度及耐久性以及良好熱傳導性質之陶瓷材料、玻璃材料、或陶瓷及金屬材料之組合製成。選擇以製造封膠膜402及剛性之支撐底座404之材料可具有實質上符合中間之電極組406的熱膨脹係數,以減少熱膨脹的不匹配,熱膨脹的不匹配可能在高熱負載下產生應力或故障。於一實施例 中,封膠膜402之熱膨脹係數係在約2μm/(m*K)及約8μm/(m*K)之間。適用於製造封膠膜402與剛性的支撐底座404之陶瓷材料可包括碳化矽、氮化鋁、氧化鋁、含釔材料、氧化釔(Y2O3)、釔鋁石榴石(yttrium-aluminum-garnet,YAG)、氧化鈦(TiO)、或氮化鈦(TiN),但不限於此些材料。於另一實施例中,封膠膜402及剛性之支撐底座404可由複合材料所製成,複合材料包括不同組成之陶瓷及金屬,例如是具有散佈之陶瓷粒子的金屬。
在操作期間,電荷可供應至第一電極408且不同電荷可供應至第二電極410,以產生靜電力。此不同電荷可為相反、較低或高於提供到第一電極408的電荷。在吸附期間,由第一電極408及第二電極410產生之靜電力穩固地支承基板206於封膠膜402之基板支撐表面276。當從吸盤電源240所供應之電力係關閉,存在於第一電極408及第二電極410間的介面418之電荷可保持超過一段長時間,因而讓基板206在電力已經移除後保持吸附於基板載體151。為了釋放支承於基板載體151上之基板,相反極性之短脈衝的電力可更快速提供至第一電極408及第二電極410,以移除在介面418中所存在的電荷。
第一電極408及第二電極410可亦選擇性操作於第一模式及第二模式,第一模式係吸附第一遮罩132a及第二遮罩132b(如第1A-1D圖中所示)以及基板206至基板載體151,第二模式係至少不吸附第一遮罩132a及第二遮罩132b至基板載體151。於一例子中,第一模式可以致使電極組406操作成雙極靜 電吸盤的方式供能至電極組406之第一電極408及第二電極410。操作成雙極靜電吸盤時,耦接於基板載體151之電極組406之第一電極408係以第一正電壓供能,而耦接於基板載體151之電極組406之第二電極410係以第一負電壓供能。所產生之雙極靜電力將吸附接地的金屬第一遮罩132a及第二遮罩132b以及基板206至基板載體151。操作成單極(unipolar)(也就是單一極(mono-polar))靜電吸盤時,耦接於基板載體151之電極組406之第一電極408係以正電壓供能,而耦接於基板載體151之電極組406之第二電極410係以接近零電壓供能。所產生之單極靜電力將僅吸附基板206至基板載體151,而產生可吸附接地之金屬之第一遮罩132a及第二遮罩132b於基板載體151之力係少量或沒有產生力。既然機載於基板載體151之控制電子元件356可獨立地定址(也就是選擇)哪一個電極組406將操作於第一模式或第二模式中,控制電子元件356因而亦選擇金屬之第一遮罩132a及第二遮罩132b之哪一個部分係吸附於基板載體151。
第4B圖繪示基板載體151於垂直方向中之側視圖。如上第4A圖中之說明,基板載體151包括結合式靜電吸盤150,結合式靜電吸盤150設置於剛性之支撐底座404上。數個電子接腳(electronic leads)490可電性耦接電極組406於設置在剛性的支撐底座404中之控制電子元件356。或者,耦接結合式靜電吸盤150於控制電子源件365之接腳可佈線於基板載體151或支撐底座404之外,例如是於溝槽(未繪示)中。密封脊(sealing ridge)、 彈性密封件或o型環555係設置而突出基板支撐表面276之上方,以透過限制熱傳輸媒介於基板206之下方的方式接觸基板206,如下方於第5A-5C圖中之更進一步的說明。
第5A圖繪示具有電極組406之基板載體151的剖面圖。值得注意的是,基板載體151可設置於任何其他合適之位置或裝置上、基板載體151可嵌入、植入、或置入任何其他合適之位置或裝置中、或基板載體151可在處理系統中需要基板進行定位或傳輸之其他位置,裝置例如是機器葉片(robot blades)、傳送帶、垂直或水平基板支撐件。再者,基板載體151可使用於其他處理工具及設備中,其他處理工具及設備包括基板載體151係處於環境大氣、真空條件或升壓條件之處理設備。
如第5A圖之剖面圖中所示,負電荷可供應至第一電極408且正電荷可供應至第二電極410,以感應電荷成相對之極性,也就是正電荷及負電荷,基板206藉此產生吸引基板206至基板載體151之基板支撐表面276的靜電力。舉例來說,在第一電極408之指形電極420上的負電荷可感應基板206產生區域性正電荷504,以形成靜電力來穩固地定位基板206在基板載體151之基板支撐表面276上。類似地,在第二電極410之指形電極422上的正電荷可感應基板206產生區域性負電荷502。因相互交錯之第一電極408之指形電極420及第二電極410之指形電極422,相較於傳統的雙極靜電吸盤,分佈在介面418之區域性靜電場係非常長,藉此在電力已經從電極組406移除之後產生成 功地延伸保持基板206於基板載體151之基板支撐表面276之時間的靜電力。沒有電力提供至電極組406之長吸附時間係減少形成於基板206上之電荷缺陷的可能性,特別是在少於200μm之薄基板中,且同時有助於減少基板破損及損壞。再者,在使用相對傳統之吸盤較少的電力時,長介面418係讓足以吸附基板206之靜電力產生。
如較早有關於第3B圖之說明,基板載體151可包括快速/立即分離機構,快速/立即分離機構包括快速電性分離件(quick electrical disconnects)。連接器350b可為接觸墊、插頭及插座連接器、香蕉連接器(banana connector)、鏟形連接器(spade connector)及螺絲端子(screw terminal)之至少一者。於第5A圖中所示之實施例中,連接器350b係為接觸墊594之形式,以讓吸盤電源240快速且自動從電極組406解除連接。接觸墊594可形成於剛性之支撐底座404之底面274上或側邊294上。接觸墊594係經由導孔460、462耦接於電極組406。致動器352b(繪示於第3B圖中)可設置於載入站166A、166B中,且選擇性設置於處理腔體110A、110B中,以讓電極組406藉由吸盤電源240供能,及/或讓吸盤電源240週期性對選擇性的能量儲存裝置440重新充電(未繪示於第5A圖中)。自動連接或解除連接係欲表示接觸墊594讓電極組406在處理系統101沒有人工介入的情況下操作時連接吸盤電源240/與吸盤電源240解除連接。流體源242及氣體源244之連接/解除連接可以類似之方式配置。
如上所述,基板載體151係配置以對基板206的溫度進行熱控制。於一實施例中,基板載體151之支撐底座404包括冷卻/加熱槽508。冷卻/加熱槽508係配置以從流體源242接收溫度調節媒介,例如是流體、氣體或其組合。冷卻/加熱槽508經由繪示於第5A圖中之連接器350c連接於流體源242,連接器350c係做為入口埠510及出口埠512。冷卻/加熱槽508可配置以控制基板支撐表面276之橫向溫度曲線,例如是藉由具有相較於其他區域於基板載體151之一區域中之較大循環的溫度調節媒介循環之體積。雖然溫度調節媒介可在冷卻/加熱槽508耦接於流體源242時循環通過冷卻/加熱槽508,各入口埠510及出口埠512可包括整體式止回或隔離閥(integral check or isolation valves)590,在基板載體151從流體源242解除連接且移動通過處理系統101時,整體式止回或隔離閥係讓溫度調節媒介維持於基板載體151之冷卻/加熱槽508中。相較於基板支撐件沒有額外知溫度控制特性之情況,被維持之溫度調節媒介係提供熱源(或沉(sink),決定於溫度差別)來保持基板206於所需之溫度或接近所需之溫度達較長時間。基板支撐表面276及保持於其上之基板206之溫度可利用數個溫度感測器(未繪示)來監控。
為了進一步增加基板載體151之基板支撐表面276與基板206之間的熱交換,熱傳輸媒介可提供於兩者之間。熱傳輸媒介係透過通道524提供於基板支撐表面276,通道524係通過基板載體151之主體270。熱傳輸媒介可為藉由通道524從氣 體源244供應至基板支撐表面276之一部分522的後側氣體,通道524係以通過主體270之方式形成,基板支撐表面276之部分522係設置於基板206之下方。熱傳輸媒介係提供於基板206與基板支撐表面276間之良好的熱傳導,熱傳輸媒介例如是氦、氬、氮和類似物。通道524終結於連接器350a。連接器350a可包括整體式止回閥或隔離閥592,在氣體源244係已經移除時,整體式止回閥或隔離閥592讓熱傳輸媒介氣體之剩餘量限制在基板206與基板載體151之基板支撐表面276之間。受限於基板206與基板載體151之間的熱傳輸媒介可藉由密封脊、彈性密封件或o型環555之協助,密封脊、彈性密封件或o型環555係突出於基板支撐表面276之上方,以透過限制熱傳輸媒介於基板206之下方的方式接觸基板206。熱傳輸媒介可在所需之壓力導引至基板206與基板支撐表面276之部分522之間,此壓力例如是1Torr至3Torr之間,以有助於吸附基板206於基板載體151上。此外,當基板係從基板載體151釋放時,熱傳輸媒介或其他氣體可供應至基板206與基板支撐表面276之間的介面,以有助於從基板載體151釋放基板206。
在基板206與基板支撐表面276之間的區域可選擇性透過通道524(或其他通道)來排氣,以在吸附之前有助於固定基板206於基板載體151。舉例來說,在電力可提供於電極組406以靜電固定基板206於基板載體151之後,氣體源244可亦配置以藉由通道524抽真空來產生暫時固定基板206於基板載體151 的真空。一旦基板206係靜電固定於基板載體151時,在基板206與基板支撐表面276之間的區域可以上述之熱傳輸媒介充電。
第5B圖繪示轉動至垂直方向之基板載體151的剖面圖。當在垂直方向中時,基板載體151之導引軌道272一般係定位在基板206之下方,以讓基板載體151連接於驅動系統200,如第2圖中所示。可預期的是,基板載體151之導引軌道272可定位於基板206之上方,用以與從基板之上方卡合於基板載體的驅動系統連接。
第5C圖繪示具有額外之膨脹補償層545之基板載體151的再另一實施例的剖面圖,膨脹補償層545係設置於封膠膜402及剛性之支撐底座404之間。膨脹補償層545係選擇以具有一熱膨脹係數,此熱膨脹係數類似於封膠膜之熱膨脹係數,且易於可貼附在支撐底座404。膨脹補償層545可選擇性具有介於結合式靜電吸盤150與支撐底座404之熱膨脹係數間的熱膨脹係數。因此,膨脹補償層545係避免封膠膜402因熱膨脹之差異而自剛性之支撐底座404分層。於一實施例中,膨脹補償層545係鐵鎳鈷合金(nickel-cobalt ferrous alloy),例如是KOVARTM材料。膨脹補償層545可亦分成數個部分,使得電極組406之一者或群組係使用分離之膨脹補償層545貼附於支撐底座404。
於第5C圖中之實施例中,形成於剛性之支撐底座404的冷卻/加熱槽508及/或通過基板載體151之主體270的通道524可選擇性省略,通道524係用以提供背側氣體。於冷卻/加熱 槽508係不存在之實施例中,剛性之支撐底座404的質量可增加,以在處理期間提供有助於抑制基板中之溫度變化的熱槽。
第6圖繪示具有分離之嵌入式的電極組602之陣列(其部分係標示為602A、602B及602C)的基板載體600之另一實施例的示意圖,嵌入式的電極組602之陣列係設置於剛性之支撐底座404上。取代繪示於第4A圖中之單一個大的電極組406,數個電極組602係配置成陣列而橫跨基板載體600之表面。電極組602可同時供能,個別供能或可一或數個電極組602之群組進行供能。在處理期間,與基板載體600一起之基板206可能遭遇熱膨脹。使用數個電極組602可容許電極組602因熱膨脹而分別地移動,以避免基板載體600在熱處理期間受到過度的應力,在熱處理期間受到過度的應力係可能導致基板載體600變形或損害。數個彈性耦接件604可耦接鄰近之電極組602,以在一些允許的移動時保持基板載體600之各電極組602於所需之相對位置。於一實施例中,電極組602可藉由跨越開口606之彈性耦接件604分隔,開口606係定義於電極組602之間,當沒有過度應力產生於基板載體600上之情況中面臨了溫度變化時,上述配置係允許電極組602移開。
於另一實施例中,電極組602可選擇性供能(energized)且除能(de-energized),使得工件(例如是基板)及/或遮罩可在不同時間點由基板載體600支承於不同位置中。因此,相較於在溫度改變期間連續地支承整個基板的情況來說,藉由依照 順序安排電極組602主動地維持工件於基板載體600,因熱膨脹導致之應力可減少,依照順序安排電極組602主動地維持工件於基板載體600例如是跨越工件之橫向方向中。
第7圖繪示使用具有結合式靜電吸盤之基板載體而用於傳輸基板通過垂直之處理系統之方法的流程圖。使用來傳輸基板之基板載體可為基板載體151,如上有關於第1-5C圖所述,或適用於包括本揭露之數個特徵之其他合適的基板載體。
方法700起始於方塊702,傳輸基板到基板載體上,基板例如是上述之基板206,基板載體例如是基板載體151,設置於處理系統101或其他處理系統中之載入站166A、166B中。當基板載體151係為實質上水平方向,基板206可載入至基板載體151上。基板載體151係為實質上水平方向舉例為設置於翻轉檯180上。
在方塊704,基板載體151係連接於流體源242。在基板206係以所需之任何順序載入至任一載入站166A、166B、載入載出腔體168A、168B或處理腔體110A、110B中之基板載體151上之前、期間或之後,基板載體151可連接於流體源242。
如上所述,流體源242可提供溫度調節媒介至形成於支撐底座404中之冷卻/加熱槽508。溫度調節媒介可控制於約攝氏5度及約攝氏120度之間的溫度,以在處理之前、期間或之後調節基板的溫度。
於方塊706,吸盤電源240係連接於電極組406。吸 盤電源240提供直流(DC)或AC電力至電極組406,以產生用於穩固吸附基板206於基板載體151上之靜電吸力。於一實施例中,吸盤電源240可感應耦接電力以遠距地從基板載體151吸附基板206。當基板載體151係位於垂直方向中時,靜電吸力係足以支承基板206至基板載體151。或者,基板可使用藉由能量儲存裝置440提供至電極組406之電力來吸附,能量儲存裝置440機載於基板載體151上。
類似地,在基板206係以所需之任何順序載入任一載入站166A、166B、載入載出腔體168A、168B或處理腔體110A、110B中之基板載體151上之前、期間或之後,基板載體151可連接於吸盤電源240。
在方塊708,氣體源244係連接於基板載體151以至少提供熱傳輸媒介或真空吸附基板206於基板載體151之其中一者。於一實施例中,在基板206靜電吸附於基板支撐表面276之前,氣體源244係用以抽出存在於基板206之背側與基板支撐表面276之間的氣體,以協助固定基板206於基板載體151。於基板206係真空吸附於基板載體151之實施例中,在基板係已經靜電吸附於基板載體151之後,熱傳輸媒介可選擇性提供於基板206之背側與基板支撐表面276之間。
類似地,在基板206係以所需之任何順序載入任一載入站166A、166B、載入載出腔體168A、168B或處理腔體110A、110B中之基板載體151上之前、期間或之後,基板載體151可連 接於氣體源244。
值得注意的是,說明於方法700之方塊704、706、及708之處理可以不同順序執行或同時執行。
於方塊710,檢測製程可執行,以決定連接於基板載體151之此些源是否可解除連接。舉例來說,一旦基板支撐表面276及/或基板206係已經到達預設之溫度,基板係穩定地吸附於基板支撐件表面276上,且熱傳輸媒介係已經提供於基板206與基板載體151之基板支撐件276之間的介面時,吸盤電源240、流體源242、氣體源244可於方塊718解除連接。如果基板或基板載體之溫度還沒有到達所需之溫度,提供到冷卻/加熱槽508之溫度調節媒介可接著持續循環或調節溫度,直到基板或基板載體之所需的溫度係達到。類似的,檢測製程可執行,以決定基板是否穩固地吸附於基板支撐表面276上。如果基板206還沒有穩度吸附於基板載體151上時,較長時間區段或較高壓電壓之吸盤電力可接著提供至電極組406。如上所述,位於基板206與基板支撐表面276之間的介面可亦在提供靜電力前進行排氣。
於方塊718,在吸盤電源240、流體源242、氣體源244係已經與基板載體151解除耦接之後,基板載體151係準備傳輸至載入載出腔體168A、168B,且進一步傳輸至用以處理基板206之處理腔體110A、110B。
於基板載體包括能量儲存裝置440且能量儲存裝置440可例如是機載電源之一些實施例中,說明於方塊702之程序 及其他有關於吸盤電源240之說明可省略。
於方塊720,吸附於基板載體151上之基板206係傳輸通過載入載出腔體168A、168B至用以處理之處理腔體110A、110B。或者,如上所述,說明於方塊704、706、708中之處理可亦於載入載出腔體168A、168B中及/或處理腔體110A、110B中執行。
如果基板載體151係位於水平位置中,基板載體151係在進入載入載出腔體168A、168B之前轉動至實質上垂直方向。設置於基板載體151上之基板206在處理腔體110A、110B中進行處理之後,基板載體151移動通過處理系統101而回到載入站166A、166B。一旦基板載體151係回到載入站166A、166B中時,流體源242、吸盤電源240及氣體源244可接著重新連接於基板載體151,以控制基板之溫度且提供解除吸附之訊號至電極組406,使得基板206可從基板載體151釋放且藉由大氣機械手臂164A、164B回到基板堆疊模組162A、162B,控制基板之溫度例如是冷卻基板。
於一些實施例中,在基板206係進行處理時,吸盤電源240、流體源242或氣體源244之一者或數者可暫時重新連接於在處理腔體110A、110B中之基板載體151。於此些實施例中,在基板係處理之後,吸盤電源240、流體源242或氣體源244係從基板載體151解除連接,使得基板載體151可從處理腔體110A、110B移除。
上述之基板載體151可在基板之處理期間以不同方式使用。於電極組之各者或群組係各自且定址可控制之實施例中,基板載體151可用以在處理期間吸附且釋放遮罩132之不同部分及/或基板。當處理及/或釋放應力且應力係由遮罩132之熱膨脹導致時,在處理期間選擇性釋放及吸附遮罩132之不同部分及/或基板206係改善動態校準遮罩132之能力。下述係利用基板載體151來處理基板之方法的一些例子,基板載體151在沉積材料於基板206上時選擇性吸附或釋放遮罩132之部分。此些例子係說明,且可預期的是,基板載體151係易於適用在以其他方法進行基板之處理。
第8A-8C圖繪示對應於沉積方法900之一實施例的載體800與噴嘴804的連續示意圖,沉積方法900係用於處理繪示於第9圖中之基板。首先參照第8A圖,載體800之上視圖係繪示而位於處理腔體中,處理腔體例如是處理腔體110,處理腔體110具有噴灑(dispense)的噴嘴804於相對於載體800之第一位置中。噴嘴804與載體800係配置以相對於彼此移動,使得噴嘴800係橫跨載體800,以沉積材料層於基板上,未繪示於第8A-8C圖中。在第8A圖中所繪示之例子中,噴嘴804係位於鄰近載體800之第一邊緣806的位置,且於第一方向中移動橫跨載體800而朝向載體800之第二邊緣808,第二邊緣808係相對於第一邊緣806。
載體800係配置成上述有關之基板載體151,載體 800具有數個電極組,以數行之電極行8021至802N表示,亦共同為電極行802。在各電極行8021-N中之各電極組包括一對電極,無論是給定之一電極組之電極對中、在一電極行802中之數個電極組之間、或相對於一或數個其他電極行802之一個電極行802,此對電極可如上述的個別供能。因此,在電極組中之各電極可相對於其他電極組可定址或各別供能。此讓電極組操作於第一模式中而吸附遮罩及基板,且操作於第二模式中而至少不吸附遮罩。第二模式可操作以吸附遮罩。舉例來說,在操作之第一模式中,電極組可藉由控制器供能以操作成雙極靜電吸盤。如上所述,當操作於雙極模式時,載體800可操作以吸附基板及遮罩於載體800,遮罩例如是利用於OLED沉積製程中之接地精密金屬遮罩。於另一例子中,在操作之第二模式中,電極組可藉由控制器供能以操作成單極靜電吸盤。當操作於單極模式中時,載體800可操作以僅吸附基板於載體800,也就是說,金屬遮罩係不吸附於載體800。
現在額外參照第9圖,沉積方法900係在方塊902開始進行沉積有機、無機或混合有機/無機材料之至少一者於基板上。沉積製程開始於基板之第一邊緣806,例如是以最接近第一邊緣806之大約位於電極行8021之前方的噴嘴804。藉由蒸鍍製程,噴嘴80噴灑適合用於沉積有機材料之處理氣體。或者,材料層可利用其他技術沉積於基板上,其他技術舉例為電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition)及類似技 術。
當噴嘴804係鄰近於電極行8021時,位於電極行8021中之電極組可操作於雙極模式中,以吸附基板及遮罩於載體800。未位於電極行8021中之其他電極組可操作於單極模式中,以僅吸附基板於載體800而不吸附遮罩於載體800,未位於電極行8021中之其他電極組也就是在電極行8022-N中。
在方塊904,從載體800之第一邊緣橫越離開的噴嘴804係從電極行8021移動離開至大約電極行8022之前方的位置,如第8B圖中所示。當噴嘴804係鄰近電極行8022時,在電極行8022中的電極組係從單極模式切換成雙極模式,以吸附基板及遮罩至載體800。沒有位於電極行8022中的其他電極組可操作於單極模式,以僅吸附基板至載體800而不吸附遮罩於載體800,沒有位於電極行8022中的其他電極組也就是位於電極行8021與8023-N中的電極組。
在方塊906,在仍然於第一方向中橫越而從載體800之第一邊緣離開之噴組804係移動離開電極行8022至大約電極行8023之前方的位置,如第8C圖中所示。當噴嘴804係鄰近電極行8023時,在電極行8023中的電極組係從單極模式切換成雙極模式,以吸附基板及遮罩至載體800。沒有位於電極行8023中的其他電極組可操作於單極模式,以僅吸附基板至載體800而不吸附遮罩至載體800,沒有位於電極行8023中的其他電極組也就是位於電極行8021-2與8024-N中的電極組。
在方塊908,操作位於噴嘴804之前方的電極行802中的電極組之型態為雙極模式,而操作未位於噴嘴804之前方的電極行802中的其他電極組於單極模式,持續直到噴嘴804到達載體800之第二邊緣808,載體800之第二邊緣808係鄰近於電極行802N。當噴嘴804係在方塊908完成而完全地橫跨整個基板時,均勻材料層係已經沉積整個基板之寬度。
因此,在沉積方法900之期間,僅有對齊於噴嘴804之電極行802之電極組係操作於吸附遮罩之模式,而從噴嘴804橫向偏移之電極行802之電極組係操作於吸附基板而不是遮罩之模式。控制器可提供適當的訊號至各電極組,以相對於載體之數個電極組同步電極組之狀態(也就是操作之模式)至噴嘴的位置。於一實施例中,控制器可預先基於沉積製程之開始時間與噴嘴之預設率,選擇電極組之操作之模式(也就是遮罩吸附、非遮罩吸附)。於另一實施例中,控制器可基於噴嘴804相對於載體之第二位置選擇電極組之操作的模式。可預期的是,可利用其他同步技術。
第10圖繪示具有電極組之陣列之載體的示意圖,電極組之陣列係提供來說明用於處理基板之數種方法,數種方法之其中一個例子係繪示於第11圖之流程圖中。載體係以如上述有關於基板載體151的方式配置,此載體具有電極組之陣列(共同以1002標註)。在載體之水平和垂直中心的電極組係標註為電極組1002C,C來做為說明處理基板之方法1100之參考點,處理基板之方法1100係繪示於第11圖中。在陣列中之電極組1002係延伸於 載體之最左上角中的電極組1002C-N,C+J、載體之最右上角中的電極組1002C+M,C+J、載體之最左下角中的電極組1002C-N,C-K、載體之最右下角中的電極組1002C+M,C-K之間。M、N、K及J係正整數且從卡式網格(Cartesian grid)中之中心表示電極組之數目。電極組1002之各者或群組係獨立操作於吸附或不吸附遮罩之模式。
現在額外參照第11圖,沉積方法1100係在方塊1102開始進行沉積有機、無機或混合有機/無機材料之至少一者於基板上。可沉積之材料的例子包括含矽材料,例如是SiN、SiO、以及SiON,及類似物。材料層可沉積於處理腔體中,處理腔體例如是繪示於第1A-1E圖中之處理腔體110,或其他合適之處理腔體。在方塊1102,藉由操作電極組1002於雙極模式,遮罩及基板係均勻地吸附於載體。
於方塊1104,當材料係仍然沉積於基板上且當基板保持吸附於載體時,遮罩應力解除製程係執行。遮罩應力解除製程係選擇性地以接續方式解除吸附(dechucks)及重新吸附遮罩,以允許因遮罩之加熱或冷卻而導致之遮罩之任何膨脹(或收縮)。
在操作之模式中,電極組係操作於接續、向外放射狀之遮罩解除吸附/重新吸附之順序。遮罩可藉由從雙極模式改變成單極模式之電極組之操作來解除吸附,而遮罩可藉由從單極模式改變成雙極模式之電極組之操作來重新吸附。舉例來說,接近電極組1002C,C之外部的數個電極組,也就是電極組1002C+1,C+1、 1002C-1,C+1、1002C+1,C-1以及1002C-1,C-1係操作以至少解除吸附遮罩,而外部之電極組1002係操作以吸附遮罩。接著,接近電極組1002C+1,C+1、1002C-1,C+1、1002C+1,C-1以及1002C-1,C-1之外部的數個電極組,也就是電極組1002C+2,C+2、1002C-2,C+2、1002C+2,C-2以及1002C-2,C-2係操作以至少解除遮罩,而外部之電極組1002係操作以吸附遮罩。連續地以外部之電極組解除吸附遮罩的順序係持續到電極組1002C-N,C+J、1002C-N,C-K、1002C+M,C+J以及1002C+M,C-K操作以至少解除吸附遮罩,而讓仍位於載體之中心上的遮罩向外膨脹,因而解除產生於遮罩中之熱應力。
於另一操作之模式中,當外部之電極組1002係操作以吸附遮罩時,接近中心之電極組1002C,C之上方及下方的電極組,也就是電極組1002X,C+1以及1002X,C-1係操作以至少解除對遮罩之吸附,其中X係正整數或C。接著,當外部之電極組1002係操作以吸附遮罩時,接近電極組1002X,C+1以及1002X,C-1之外部的電極組,也就是電極組1002X,C+2以及1002X,C-2係操作以至少解除對遮罩之吸附。連續地以外部之電極組解除吸附遮罩的順序係持續到電極組1002X,C+J以及1002X,C-K操作以至少解除吸附遮罩,而讓仍位於載體之中心上的遮罩在垂直方向中向外膨脹。藉由操作中心之電極組1002C,C的上方之電極組來解除吸附與吸附遮罩可與中心之電極組1002C,C的下方之電極組以同時、接續、或另一順序執行。
在垂直方向中解除應力之前、之後或期間,電極組 可操作以釋放在水平方向中的應力。舉例來說,當外部之電極組1002係操作以吸附遮罩時,接近中心之電極組1002C,C的右方及左方的電極組,也就是電極組1002C+1,Y以及1002C-1,Y係操作以至少解除對遮罩之吸附,其中Y係正整數或C。接著,當外部之電極組1002係操作以吸附遮罩時,接近電極組1002C+1,Y以及1002C-1,Y之外部的電極組,也就是電極組1002C+2,Y以及1002C-2,Y係操作以至少解除對遮罩之吸附。連續地以外部之電極組解除吸附遮罩的順序係持續到電極組1002C+M,Y以及1002C-N,Y操作以至少解除吸附遮罩,而讓仍位於載體之中心上的遮罩在水平方向中向外膨脹。藉由操作中心之電極組1002C,C的右方之電極組來解除吸附與吸附遮罩可與中心之電極組1002C,C的左方之電極組以同時、接續、或另一順序執行。
於在另一操作的模式中,電極組1002可操作以單獨透過第一邊緣到相對邊緣之順序至少解除吸附且重新吸附遮罩,或與透過第二邊緣到相對邊緣之順序至少解除吸附且重新吸附遮罩結合,其中第一及第二邊緣係彼此平行。舉例來說,當外部之電極組1002係操作以吸附遮罩時,最外部之行的電極組1002C-N,Y可操作以解除對遮罩之吸附,其中Y係正整數或C。接著,當外部之電極組1002係操作以吸附遮罩時,接近電極組1002C-N,Y之內部的電極組之行,也就是電極組1002C-N-1,Y係操作以至少解除對遮罩之吸附。連續地以外部之電極組解除吸附遮罩的順序係持續到電極組1002C+M,Y操作以至少解除吸附遮罩,而 在基板仍吸附於載體時讓遮罩在水平方向中向外膨脹。
在水平方向中解除應力之前、之後或期間,電極組可操作以釋放在垂直方向中的應力。舉例來說,當外部之電極組1002係操作以吸附遮罩時,最外部之列的電極組1002X,C-K可操作以解除對遮罩之吸附,其中X係正整數或C。接著,當外部之電極組1002係操作以吸附遮罩時,接近電極組1002X,C-K之上方的電極組之列,也就是電極組1002X,C-K-1,係操作以至少解除對遮罩之吸附。連續地以外部之電極組解除吸附遮罩的順序係持續到電極組1002X,C+J操作以至少解除吸附遮罩,而在基板仍吸附於載體時讓遮罩在垂直方向中向外膨脹。
亦可預期的是,電極組之解除吸附和吸附之進度可以非平行於載體之一側邊的方式進行,例如是非垂直於載體之一邊緣的一方向。
藉由利用具有溫度控制及靜電吸附特性之基板載體,處理系統及相關之元件可簡化,藉此提供較快之產量及較長之服務週期(service intervals),在基板載體係傳輸通過處理系統時,溫度控制及靜電吸附特性可從基板載體解除連接。處理以垂直方向靜電吸附之數個基板不僅減少製造成本且改善產能及製程可靠度,減少製造成本係可增加製造者的收益。再者,雖然此處於文中所述之基板載體係適用於垂直處理系統,基板載體可亦適用於使用在水平系統中。
綜上所述,雖然本發明已以較佳實施例揭露如上, 然其並非用以限定本發明。本發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾。因此,本發明之保護範圍當視後附之申請專利範圍所界定者為準。
150‧‧‧結合式靜電吸盤
151‧‧‧基板載體
240‧‧‧吸盤電源
242‧‧‧流體源
244‧‧‧氣體源
270‧‧‧主體
308、312‧‧‧寬度
310、314‧‧‧長度
356‧‧‧控制電子元件
406‧‧‧電極組
408‧‧‧第一電極
410‧‧‧第二電極
420、422‧‧‧指形電極
440‧‧‧能量儲存裝置

Claims (20)

  1. 一種基板載體,適用於使用在一處理系統中,該基板載體包括:一支撐底座;一電極組,具有交錯之複數個指形電極形成於其中且設置於該支撐底座上;以及一連接器,耦接於該支撐底座,且配置以解除一電源與該電極組之電性連接,該支撐底座及該電極組包括一單一主體,適用於傳輸於該處理系統中。
  2. 如申請專利範圍第1項所述之基板載體,其中該連接器包括:一接觸墊、一插頭及插座連接器、一香蕉連接器(banana connector)、一鏟形連接器(spade connector)及一螺絲端子(screw terminal)之至少一者。
  3. 如申請專利範圍第1項所述之基板載體,更包括:一能量儲存裝置,機載(on-board)於該基板載體且耦接於該電極組。
  4. 如申請專利範圍第3項所述之基板載體,其中該能量儲存裝置係電性耦接於該電極組及該連接器。
  5. 如申請專利範圍第1項所述之基板載體,更包括:一軌道,從該支撐底座之一側邊延伸。
  6. 如申請專利範圍第5項所述之基板載體,其中該軌道係 配置以連接於一驅動系統,該驅動系統係適用於傳輸該主體通過該處理系統。
  7. 如申請專利範圍第1項所述之基板載體,其中該電極組包括:一獨立可控制的複數個電極組之陣列。
  8. 如申請專利範圍第1項所述之基板載體,其中該電極組包括:散佈之複數個電極。
  9. 如申請專利範圍第8項所述之基板載體,其中散佈之該些電極包括:至少一第一電極,與一第二電極交錯(interleaving)。
  10. 如申請專利範圍第7項所述之基板載體,更包括:一控制器,機載於該基板載體,該控制器係適用於控制各該電極組之一狀態。
  11. 如申請專利範圍第8項所述之基板載體,其中該能量儲存裝置包括:一電池、一電容、一超級電容(supercapacitor)及一超級電池(ultrabattery)之至少一者。
  12. 如申請專利範圍第1項所述之基板載體,更包括:一槽,設置於該支撐底座中。
  13. 如申請專利範圍第12項所述之基板載體,其中該槽係耦接於一連接器,該連接器具有一止回或隔離閥(check or isolation valve)。
  14. 如申請專利範圍第1項所述之基板載體,更包括:一連接器,用於提供氣體至該基板載體之一表面。
  15. 一種處理系統,包括:(a)一基板載體,包括:一支撐底座;複數個電極組,設置於該支撐底座上;以及一連接器,耦接於該支撐底座,且配置以解除一電源與該些電極組之電性連接,該支撐底座及該些電極組包括一單一主體,適用於傳輸於該處理系統中;(b)一處理腔體,適用於接收該基板載體,該基板載體具有一基板靜電耦接於其;以及(c)一匹配連接器,可操作以自動地耦接於該連接器。
  16. 如申請專利範圍第15項所述之處理系統,其中該匹配連接器係位於一載入站、一載入載出腔體及該處理腔體之其中一者中。
  17. 如申請專利範圍第15項所述之處理系統,其中該基板載體更包括:一控制器,機載於該基板載體,該控制器係適用於控制各該電極組之一狀態。
  18. 如申請專利範圍第15項所述之處理系統,更包括:一能量儲存裝置,機載於該基板載體且耦接於該些電極組。
  19. 一種用於在一處理系統中傳輸一基板之方法,該方法包括:傳輸該基板至一基板載體上;藉由供電予電性耦接於一電源之一電極組來靜電吸附該基板至該基板載體;當該基板係吸附於該基板載體上時,解除該電源與該基板載體之電性連接;以及在該基板係於一實質上垂直方向中靜電吸附於該基板載體時,傳輸該基板。
  20. 如申請專利範圍第19項所述之方法,其中解除該電源之電性連接包括:自動地解除該電源與一處理腔體中或一載入站中之該基板載體之電性連接。
TW103132563A 2013-09-20 2014-09-22 基板載體及應用其之處理系統與傳輸基板之方法 TWI645495B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361880796P 2013-09-20 2013-09-20
US61/880,796 2013-09-20
US201461991342P 2014-05-09 2014-05-09
US61/991,342 2014-05-09

Publications (2)

Publication Number Publication Date
TW201535575A true TW201535575A (zh) 2015-09-16
TWI645495B TWI645495B (zh) 2018-12-21

Family

ID=52689404

Family Applications (3)

Application Number Title Priority Date Filing Date
TW103132447A TWI621200B (zh) 2013-09-20 2014-09-19 用以處理基板之方法
TW103132563A TWI645495B (zh) 2013-09-20 2014-09-22 基板載體及應用其之處理系統與傳輸基板之方法
TW103132562A TWI664308B (zh) 2013-09-20 2014-09-22 基板載體及應用其之處理系統與傳輸基板之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103132447A TWI621200B (zh) 2013-09-20 2014-09-19 用以處理基板之方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW103132562A TWI664308B (zh) 2013-09-20 2014-09-22 基板載體及應用其之處理系統與傳輸基板之方法

Country Status (6)

Country Link
US (2) US10297483B2 (zh)
JP (1) JP2016539489A (zh)
KR (2) KR20160062065A (zh)
CN (2) CN105579612B (zh)
TW (3) TWI621200B (zh)
WO (3) WO2015042309A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388549B2 (en) 2015-11-23 2019-08-20 Applied Materials, Inc. On-board metrology (OBM) design and implication in process tool
TWI696224B (zh) * 2018-10-08 2020-06-11 馗鼎奈米科技股份有限公司 真空製程設備與真空製程方法

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754809B2 (en) * 2013-11-11 2017-09-05 Western Alliance Bank Tri-modal carrier for a semiconductive wafer
US10236202B2 (en) * 2013-11-11 2019-03-19 Diablo Capital, Inc. System and method for adhering a semiconductive wafer to a mobile electrostatic carrier through a vacuum
US9444004B1 (en) * 2014-05-02 2016-09-13 Deployable Space Systems, Inc. System and method for producing modular photovoltaic panel assemblies for space solar arrays
JP2017516294A (ja) * 2014-05-09 2017-06-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリアシステム及びそれを使用するための方法
CN104404466A (zh) * 2014-12-26 2015-03-11 合肥京东方光电科技有限公司 磁控溅射镀膜方法及***
DE102015009004A1 (de) 2015-06-05 2016-12-08 Solaero Technologies Corp. Automatisierte Anordnung und Befestigung von Solarzellen auf Paneelen für Weltraumanwendungen
US10276742B2 (en) 2015-07-09 2019-04-30 Solaero Technologies Corp. Assembly and mounting of solar cells on space vehicles or satellites
US20180258519A1 (en) * 2015-10-25 2018-09-13 Applied Materials, Inc. Apparatus for vacuum deposition on a substrate and method for masking the substrate during vacuum deposition
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
WO2017211422A1 (en) * 2016-06-09 2017-12-14 Applied Materials, Inc. Method of processing a substrate and substrate carrier system
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10460969B2 (en) 2016-08-22 2019-10-29 Applied Materials, Inc. Bipolar electrostatic chuck and method for using the same
KR102644272B1 (ko) * 2016-10-31 2024-03-06 삼성전자주식회사 정전척 어셈블리
CN108292619B (zh) * 2016-11-07 2023-02-24 应用材料公司 用于保持基板的载体、载体在处理***中的使用、应用载体的处理***、及用于控制基板的温度的方法
JP2019502017A (ja) * 2016-12-12 2019-01-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空堆積処理において基板を保持するための装置、基板上に層を堆積するためのシステム、及び基板を保持するための方法
JP6851202B2 (ja) * 2017-01-12 2021-03-31 株式会社アルバック 基板ホルダ、縦型基板搬送装置及び基板処理装置
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
CN110168131B (zh) * 2017-01-23 2022-06-07 应用材料公司 基板保持器
DE102017106373A1 (de) * 2017-03-24 2018-09-27 Nexwafe Gmbh Prozesskammerführung, Prozesskammer und Verfahren zum Führen eines Substratträgers in eine Prozessposition
US10361143B2 (en) * 2017-06-01 2019-07-23 Raytheon Company Apparatus and method for reconfigurable thermal management using flow control of liquid metal
JP2020524898A (ja) * 2017-06-22 2020-08-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ダイ結合用途のための静電キャリア
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
DE102018007624A1 (de) * 2017-09-26 2019-04-11 E.I. Du Pont De Nemours And Company Heizelemente und Heizvorrichtungen
US10732615B2 (en) * 2017-10-30 2020-08-04 Varian Semiconductor Equipment Associates, Inc. System and method for minimizing backside workpiece damage
JP2020500413A (ja) * 2017-11-10 2020-01-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated キャリアを位置合わせする方法、キャリアを位置合わせするための装置、及び真空システム
KR102318117B1 (ko) * 2017-11-23 2021-10-26 박인태 기판 처리 장치 및 방법
KR102015707B1 (ko) * 2017-11-23 2019-08-28 박인태 정전척을 이용한 기판 처리 시스템
KR20190100980A (ko) * 2017-12-27 2019-08-30 캐논 톡키 가부시키가이샤 정전척, 성막장치, 기판흡착방법, 성막방법, 및 전자 디바이스의 제조방법
US11024529B2 (en) 2018-04-04 2021-06-01 Applied Materials, Inc. System and method for residual voltage control of electrostatic chucking assemblies
US11318620B2 (en) * 2018-05-09 2022-05-03 Intelligrated Headquarters, Llc Method and system for manipulating items
US11458635B2 (en) 2018-05-09 2022-10-04 Intelligrated Headquarters, Llc Method and system for manipulating articles
US20190355607A1 (en) * 2018-05-21 2019-11-21 Applied Materials, Inc. Thermally isolated electronics utilities cavity for a substrate carrier
KR102427823B1 (ko) * 2018-06-11 2022-07-29 캐논 톡키 가부시키가이샤 정전척 시스템, 성막장치, 흡착방법, 성막방법 및 전자 디바이스의 제조방법
KR102584518B1 (ko) * 2018-07-04 2023-10-05 삼성디스플레이 주식회사 정전척 유닛 및 그것을 이용한 박막 증착 장치
KR102421610B1 (ko) * 2018-07-31 2022-07-14 캐논 톡키 가부시키가이샤 정전척 시스템, 성막 장치, 흡착 방법, 성막 방법 및 전자 디바이스의 제조방법
KR102459872B1 (ko) * 2018-07-31 2022-10-26 캐논 톡키 가부시키가이샤 정전척 시스템, 성막 장치, 흡착 방법, 성막 방법 및 전자 디바이스의 제조방법
KR102419064B1 (ko) * 2018-07-31 2022-07-07 캐논 톡키 가부시키가이샤 정전척 시스템, 성막장치, 흡착방법, 성막방법 및 전자 디바이스의 제조방법
KR102430370B1 (ko) * 2018-07-31 2022-08-05 캐논 톡키 가부시키가이샤 정전척 시스템, 성막장치, 흡착방법, 성막방법 및 전자 디바이스의 제조방법
CN110861113A (zh) * 2018-08-28 2020-03-06 吸力奇迹(北京)科技有限公司 静电吸附装置及其制备方法
KR102411995B1 (ko) * 2018-09-21 2022-06-21 캐논 톡키 가부시키가이샤 정전척 시스템, 성막장치, 흡착 및 분리방법, 성막방법 및 전자 디바이스의 제조방법
KR102129435B1 (ko) * 2018-09-21 2020-07-02 캐논 톡키 가부시키가이샤 정전척 시스템, 성막장치, 흡착방법, 성막방법 및 전자 디바이스의 제조방법
KR102085446B1 (ko) * 2018-09-21 2020-03-05 캐논 톡키 가부시키가이샤 정전척 시스템, 성막 장치, 피흡착체 분리방법, 성막 방법 및 전자 디바이스의 제조방법
KR20200038345A (ko) * 2018-10-02 2020-04-13 삼성디스플레이 주식회사 증착 장치
KR102669513B1 (ko) * 2018-11-05 2024-05-28 삼성디스플레이 주식회사 캐리어, 이를 포함하는 표시 장치의 제조장치 및 표시 장치의 제조방법
KR102661368B1 (ko) * 2018-12-07 2024-04-25 캐논 톡키 가부시키가이샤 정전척, 정전척 시스템, 성막 장치, 흡착 방법, 성막 방법 및 전자 디바이스의 제조 방법
US11299075B2 (en) * 2019-03-06 2022-04-12 Lear Corporation Electrical assembly
WO2020187412A1 (en) * 2019-03-20 2020-09-24 Applied Materials, Inc. Processing system, carrier for transporting a substrate in a processing system and method for transporting a carrier
EP3953745A4 (en) 2019-04-11 2023-04-26 Applied Materials, Inc. MULTILAYER FILM FOR OPTICAL DEVICES
TR201905624A2 (tr) * 2019-04-16 2019-07-22 Hidropar Hareket Kontrol Teknolojileri Merkezi Sanayi Ve Ticaret Anonim Sirketi İki cisim arasında kontrol edilebilir elektrostatik çekim kuvveti oluşturulması ve bu çekim kuvveti yardımı ile yapışma sağlanması yöntemi.
US11196360B2 (en) * 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier
KR102520050B1 (ko) * 2019-09-07 2023-04-07 캐논 톡키 가부시키가이샤 흡착 장치, 성막장치, 흡착방법, 성막방법 및 전자 디바이스의 제조방법
CN115103926A (zh) * 2020-05-13 2022-09-23 应用材料公司 用于辊运输***的载体、辊运输***和具有辊运输***的真空处理设备
KR20220010950A (ko) 2020-07-20 2022-01-27 현대자동차주식회사 전극제조용 바인더 조성물, 및 이를 포함하는 이차전지용 전극
TWI737520B (zh) * 2020-08-14 2021-08-21 友達光電股份有限公司 顯示面板
KR20220034993A (ko) * 2020-09-11 2022-03-21 삼성디스플레이 주식회사 증착 장치 및 증착 장치의 마스크 착좌 방법
WO2023215284A1 (en) * 2022-05-06 2023-11-09 Lam Research Corporation Multi-modal electrostatic chucking
GB202219448D0 (en) * 2022-12-21 2023-02-01 Spts Technologies Ltd Method and apparatus for plasma etching dielectric substrates

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3095790B2 (ja) 1991-01-22 2000-10-10 富士電機株式会社 静電チャック
US5184398A (en) * 1991-08-30 1993-02-09 Texas Instruments Incorporated In-situ real-time sheet resistance measurement method
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JPH07321176A (ja) * 1994-05-20 1995-12-08 Hitachi Ltd 基板搬送方法
JP3805134B2 (ja) 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
JP2001035907A (ja) 1999-07-26 2001-02-09 Ulvac Japan Ltd 吸着装置
US7066703B2 (en) * 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
US20010016302A1 (en) 1999-12-28 2001-08-23 Nikon Corporation Wafer chucks allowing controlled reduction of substrate heating and rapid substrate exchange
KR20020046214A (ko) 2000-12-11 2002-06-20 어드밴스드 세라믹스 인터내셔날 코포레이션 정전척 및 그 제조방법
JP2002357838A (ja) 2001-05-31 2002-12-13 Hitachi Industries Co Ltd 基板貼り合わせ方法及びその装置
JP2003179128A (ja) 2001-12-11 2003-06-27 Ngk Spark Plug Co Ltd 静電チャック
JP2003243493A (ja) 2002-02-15 2003-08-29 Taiheiyo Cement Corp 双極型静電チャック
WO2003100848A1 (fr) * 2002-05-23 2003-12-04 Anelva Corporation Dispositif et procede de traitement de substrats
JP4030350B2 (ja) * 2002-05-28 2008-01-09 株式会社アルバック 分割型静電吸着装置
JP3748559B2 (ja) * 2003-06-30 2006-02-22 キヤノン株式会社 ステージ装置、露光装置、荷電ビーム描画装置、デバイス製造方法、基板電位測定方法及び静電チャック
CN100433286C (zh) 2003-07-08 2008-11-12 株式会社未来视野 基片载置台用静电吸盘及其电极以及处理***
JP4684222B2 (ja) 2004-03-19 2011-05-18 株式会社クリエイティブ テクノロジー 双極型静電チャック
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP4612516B2 (ja) 2005-09-29 2011-01-12 大日本印刷株式会社 スパッタ装置およびスパッタ装置用キャリア
JP4666219B2 (ja) * 2005-12-02 2011-04-06 セイコーエプソン株式会社 コンテナ
US7881036B2 (en) 2005-12-06 2011-02-01 Creative Technology Corporation Electrode sheet for electrostatic chuck, and electrostatic chuck
JP4802018B2 (ja) 2006-03-09 2011-10-26 筑波精工株式会社 静電保持装置及びそれを用いた真空環境装置並びにアライメント装置又は貼り合わせ装置
US7551419B2 (en) * 2006-06-05 2009-06-23 Sri International Electroadhesion
US20080062609A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
US9147588B2 (en) * 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
US7989022B2 (en) 2007-07-20 2011-08-02 Micron Technology, Inc. Methods of processing substrates, electrostatic carriers for retaining substrates for processing, and assemblies comprising electrostatic carriers having substrates electrostatically bonded thereto
JP5112808B2 (ja) 2007-10-15 2013-01-09 筑波精工株式会社 静電型補強装置
CN101971319B (zh) * 2008-03-13 2013-03-06 株式会社尼康 基板保持架、基板保持单元、基板搬送装置和基板贴合装置
US8730644B2 (en) 2008-07-08 2014-05-20 Creative Technology Corporation Bipolar electrostatic chuck
JP5303250B2 (ja) 2008-11-28 2013-10-02 筑波精工株式会社 積層対象物の繰出装置と積層対象物の繰出方法
KR101001454B1 (ko) 2009-01-23 2010-12-14 삼성모바일디스플레이주식회사 정전척 및 이를 구비한 유기전계발광 소자의 제조장치
CN102067303B (zh) * 2009-02-18 2012-11-28 株式会社爱发科 晶片搬送用托盘以及在该托盘上固定晶片的方法
JP5508737B2 (ja) 2009-02-24 2014-06-04 東京エレクトロン株式会社 静電チャック及びプラズマ処理装置
WO2011059749A2 (en) * 2009-10-28 2011-05-19 Applied Materials, Inc. Vertically integrated processing chamber
JP5314765B2 (ja) 2009-11-09 2013-10-16 東京エレクトロン株式会社 基板処理装置及び基板処理装置の制御方法
US20110269314A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Process chambers having shared resources and methods of use thereof
US20120227886A1 (en) 2011-03-10 2012-09-13 Taipei Semiconductor Manufacturing Company, Ltd. Substrate Assembly Carrier Using Electrostatic Force
JP5772092B2 (ja) * 2011-03-11 2015-09-02 富士電機株式会社 半導体製造方法および半導体製造装置
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
KR101923174B1 (ko) * 2011-05-11 2018-11-29 삼성디스플레이 주식회사 정전 척, 상기 정전 척을 포함하는 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
WO2012165250A1 (ja) * 2011-05-30 2012-12-06 株式会社クリエイティブ テクノロジー 静電吸着体及びこれを用いた静電吸着装置
JP5905735B2 (ja) 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
KR102047001B1 (ko) 2012-10-16 2019-12-03 삼성디스플레이 주식회사 정전 척
US9740111B2 (en) 2014-05-16 2017-08-22 Applied Materials, Inc. Electrostatic carrier for handling substrates for processing
US10978334B2 (en) 2014-09-02 2021-04-13 Applied Materials, Inc. Sealing structure for workpiece to substrate bonding in a processing chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388549B2 (en) 2015-11-23 2019-08-20 Applied Materials, Inc. On-board metrology (OBM) design and implication in process tool
TWI676872B (zh) * 2015-11-23 2019-11-11 美商應用材料股份有限公司 在處理工具中的線上測量(obm)設計與關聯
TWI696224B (zh) * 2018-10-08 2020-06-11 馗鼎奈米科技股份有限公司 真空製程設備與真空製程方法

Also Published As

Publication number Publication date
WO2015042302A1 (en) 2015-03-26
CN106164331B (zh) 2018-11-23
TW201528416A (zh) 2015-07-16
JP2016539489A (ja) 2016-12-15
TWI645495B (zh) 2018-12-21
US10297483B2 (en) 2019-05-21
TWI664308B (zh) 2019-07-01
KR20160062065A (ko) 2016-06-01
CN106164331A (zh) 2016-11-23
WO2015042309A1 (en) 2015-03-26
WO2015042304A1 (en) 2015-03-26
TWI621200B (zh) 2018-04-11
CN105579612B (zh) 2019-06-14
CN105579612A (zh) 2016-05-11
US10304713B2 (en) 2019-05-28
US20160211162A1 (en) 2016-07-21
TW201527571A (zh) 2015-07-16
KR20160058917A (ko) 2016-05-25
US20160196997A1 (en) 2016-07-07

Similar Documents

Publication Publication Date Title
TWI621200B (zh) 用以處理基板之方法
TWI584409B (zh) 用於薄基板之可攜式靜電夾盤載體
US9463543B2 (en) Electromagnetic chuck for OLED mask chucking
US20180075958A1 (en) Permanent magnetic chuck for oled mask chucking
TW202109716A (zh) 同時進行基板傳輸的機械手
KR102058985B1 (ko) 로드 스테이션
TW201334213A (zh) 處理太陽能電池晶圓的靜電吸盤
US11183411B2 (en) Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
TW202146700A (zh) 基板處理系統
WO2020187412A1 (en) Processing system, carrier for transporting a substrate in a processing system and method for transporting a carrier
US20170047867A1 (en) Electrostatic chuck with electrostatic fluid seal for containing backside gas
TWI677764B (zh) 處理一基板之方法及基板載體系統
WO2022194342A1 (en) Lift pin, lift pin assembly, pin array plate, lift pin array, processing system, method of processing a substrate, and method of maintaining a lift pin array
JP2009267260A (ja) 薄膜製造装置及び薄膜太陽電池製造装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees