TW201103972A - Process for the manufacture of etched items - Google Patents

Process for the manufacture of etched items Download PDF

Info

Publication number
TW201103972A
TW201103972A TW99106907A TW99106907A TW201103972A TW 201103972 A TW201103972 A TW 201103972A TW 99106907 A TW99106907 A TW 99106907A TW 99106907 A TW99106907 A TW 99106907A TW 201103972 A TW201103972 A TW 201103972A
Authority
TW
Taiwan
Prior art keywords
chc1
hydrochlorofluoroolefin
gas
group
cci
Prior art date
Application number
TW99106907A
Other languages
Chinese (zh)
Inventor
Marcello Riva
Original Assignee
Solvay Fluor Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Solvay Fluor Gmbh filed Critical Solvay Fluor Gmbh
Publication of TW201103972A publication Critical patent/TW201103972A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Abstract

Hydrochlorofluoroalkenes can be used as etching gases for the production of etched items, for example, of semiconductors, flat panels, or solar cells. Preferred compounds are CF3-CH=CHCl (E), CF3-CH=CHCl (Z), CF3-CCl=CH2, CF3-CH=CCl-CH3 (E), CF3-CH=CCl-CH3 (Z) and CF3-CH2-CCl=CH2 which can be obtained from hydrochlorofluoroalkanes by thermal, base-induced or catalytic dehydrofluorination. The hydrochlorofluoroalkenes are preferably applied together with nitrogen, argon and/or xenon. The compounds have the especial advantage that they allow fast etching of nitrides, carbides and borides of refractory metals. Nitrides of Ta, Zr and Ti are used for example as barrier layers in microelectronic devices.

Description

201103972 六、發明說明 【發明所屬之技術領域】 本發明涉及一種用於製備蝕刻元件例如半導體、太陽 能電池、和平板的方法。 【先前技術】 在製造電子裝置’例如半導體邏輯裝置和記憶體例如 動態隨機存取記憶體(DRAM)或中央處理器(CPU), 邏輯裝置或電容的過程中,經常必須進行一或多個蝕刻步 驟。有待餓刻的材料係砂,二氧化砂,氮化砂,或低k電 介質’例如FSG (氟矽酸鹽玻璃)或摻雜碳的二氧化矽, 以及欽、銷和鉬的氮化物,簡寫爲TiN、ZrN和TaN (有 時表示爲TaNx )。蝕刻該等元件的一種較佳的方法係在 一蝕刻劑的存在下使用電漿來進行。 WO 97/24750揭露了使用具有化學式CnF2n(尤其是 C2F4和C3F6 )的不飽和氟碳化合物氣體來蝕刻二氧化 石夕。 US 2〇〇2/0〇45 3 53揭露了在半導體的製造中使用部分 地或全氟化的烯烴作爲蝕刻劑。 US 45 8 1 1 0 1揭露了使用部分地或全鹵化的氟取代的 醚類作爲乾蝕刻劑。如果希望的話,可以使用飽和的以及 不飽和的(氫)鹵烴類作爲共蝕刻劑(co-etchant)。 US 4,920,07 1 揭露 了含有阻擋層(barrier layers)的 半導體裝置,該等阻擋層旨在防止矽從摻雜的矽結轉移至 -5 - 201103972 難熔金屬觸點。 US 5,668,053揭露了 一種多層半導體裝置。該 中之一是由TiN、TaN或ZrN製成的一阻擋層。一 驟涉及根據乾蝕刻技術使用氧氣和一種氟碳化合物 分地去除該阻擋層。BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for preparing an etching element such as a semiconductor, a solar cell, and a flat plate. [Prior Art] In the process of manufacturing an electronic device such as a semiconductor logic device and a memory such as a dynamic random access memory (DRAM) or a central processing unit (CPU), a logic device or a capacitor, one or more etchings are often necessary. step. Materials to be hungry are sand, silica sand, sand nitride, or low-k dielectrics such as FSG (fluorosilicate glass) or carbon-doped cerium oxide, and nitrides of chin, pin and molybdenum, abbreviated It is TiN, ZrN and TaN (sometimes expressed as TaNx). A preferred method of etching the components is performed using a plasma in the presence of an etchant. WO 97/24750 discloses the use of an unsaturated fluorocarbon gas having the chemical formula CnF2n (especially C2F4 and C3F6) to etch the dioxide. US 2 〇〇 2/0 〇 45 3 53 discloses the use of partially or perfluorinated olefins as etchants in the manufacture of semiconductors. US 45 8 1 1 0 1 discloses the use of partially or fully halogenated fluorine-substituted ethers as dry etchants. If desired, saturated and unsaturated (hydrogen) halocarbons can be used as co-etchants. US 4,920,07 1 discloses semiconductor devices containing barrier layers which are intended to prevent the transfer of germanium from doped germanium junctions to -5 - 201103972 refractory metal contacts. A multilayer semiconductor device is disclosed in U.S. Patent 5,668,053. One of them is a barrier layer made of TiN, TaN or ZrN. A step involves the removal of the barrier layer using oxygen and a fluorocarbon in accordance with a dry etching technique.

TaN層的製造和目的詳細描述於WO06113955 該檔中,形成該層的材料表示爲“TaNx” ,其中X 至1 .67 »這表明術語“ TaN”和類似地“ TiN” 、' 並非指確切化學計量的化合物而是指以或多或少接 學計量的原子之比含有金屬陽離子和氮陰離子的層 US-A 6,50 8,948揭露了藉由從選定的區域除去 料而將特徵蝕刻進一基片的一種蝕刻方法。提供了 圖案的(patterned)掩模並將該兀件置於一電策室 該室中引入鹵化的雜環烴類,例如全氟嘧啶,並且 刻。可以加入另外的蝕刻劑,例如C H F 3、C 3 F 6或 或載氣,例如氮氣或氬氣。該方法可以使用各向異 用來進行基於半導體的邏輯裝置 '記憶體和光電裝 微機械系統的微製造。 WO 2 008/00 1 844描述了 一種用於純化六氟丙 法,六氟丙烯爲高純度形式時據說作爲用於去除半 造裝置中或液晶製造裝置中的沉積物的清洗氣體 的。除其他之外,據說CH2 = CC1F係作爲一雜質存 在所述WO公開文件的表1中似乎不存在。 WO 2009/089511 揭露了 HFCO-1233zd 作爲清 等層其 處理步 氣體部 中。在 係0.5 * ZrN" 近於化 〇 基片材 一印有 中。向 開始蝕 c4F6 . 性蝕刻 置以及 烯的方 導體製 係有用 在。它 洗劑從 201103972 元件上去除光致抗蝕劑的用途。它作爲一溶劑(例如作爲 臨界狀態的溶劑)來實現它的清洗功能。 【發明內容】 本發明的問題係提供有用的蝕刻劑,尤其是用於蝕刻 難熔金屬的氮化物、硼化物和碳化物的層以及用於蝕刻難 熔金屬的氮化物、硼化物和碳化物,它們(經常處於層的 形式)在電漿室中作爲沉積物或污染物而存在。 本發明提供了一種方法,該方法用於生產蝕刻元件, 包括至少一個在電漿室中用一蝕刻劑對該元件進行蝕刻的 步驟;或該方法用於清洗含有污染物或沉積物的一電漿 室,包括將該蝕刻劑引入該電漿室、啓動一直接的或遠端 的電漿、終止該電漿並且從該室中去除氣態成分的一步 驟,其中該元件的蝕刻係在至少一種蝕刻劑的存在下進行 的,該蝕刻劑係選自含有至少1個氯原子和至少1個氟原 子的脂肪族C 2 - C 1 0氫氯氟烯烴。 該C2-C 1 0氫氯氟烯烴蝕刻劑以一實質性的量存在; 在本發明中不包括含有C2-C10氫氯氟烯烴作爲雜質的方 法。術語“實質性的量”係指C2-C10氫氯氟烯烴蝕刻劑 構成所應用的所有蝕刻劑總和的按重量計至少1 0% »可以 用作蝕刻劑的氟化的化合物,例如飽和的全氟烷類或飽和 的氫氟烷類、不飽和的全氟烯烴類或全氟二烯烴類或其他 不飽和的氫氟烯烴類、氫氟二烯烴類,或一種聚合氣體, 例如二氟甲烷,係較佳的到按重量計1 00%的餘量》 201103972 較佳的是,C2-C 1 0蝕刻劑構成所應用的所有蝕刻劑 總和的等於或高於按重量計50%。更佳的是,它構成所應 用的所有蝕刻劑的等於或高於按重量計90%。尤其佳的 是,它構成所應用的所有蝕刻劑的按重量計約1 00%。在 本實施方式中,它可能含有不希望的雜質,例如高達按重 量計1 %的量。如以下所說明,該等氫氯氟烯烴可以藉由 氬氣或其他氣體稀釋而應用。該等氣體在該等計算中沒有 考慮。例如,如果考慮含有按重量計1 0 %的C 2 - C 1 0氫氯 氟烯烴以及按重量計90%的氬氣的一混合物,則氫氯氟烯 烴的含量計算爲按重量計1 00%。 根據一實施方式,本發明提供了 一種用於生產蝕刻元 件的方法,該方法包括至少一個蝕刻該元件的步驟,其中 該元件的蝕刻係在至少一種含有至少1個氯原子和至少1 個氟原子的脂肪族C 2-CIO氫氯氟烯烴的存在下進行的。 術語“元件”包括單數和複數,尤其是一個元件或多個元 件’例如2、3、4、5或更多的元件。如果僅蝕刻一個元 件或多個元件,則取決於所使用的電漿室的容量。如果同 時蝕刻多個元件,則必須使用相應的電漿室。 在本發明中,術語“包括”包含"由......構成”的含 義。 本發明中的術語“氫氯氟烯烴”包括單數和複數, 即’ “氫氯氟烯烴”係指一種單一化合物或2種或多種氫 氯氟烯烴的一混合物。 這種化合物已知是用於生產飽和氫(氯)氟烴的有用的 -8 - 201103972 起始化合物,參見例如wo 89/12614和W089/12615。氫 氯氟丙烯類例如揭露於WO 2008/1 2 1 785中。 術語“氫氯氟烯烴”係指由氯、氟、氫和碳構成的化 合物。術語“氫氟烯烴”包括具有一個、兩個或多個C - C 雙鍵的化合物。氫氯氟烯烴可以是直鏈的或支鏈的。 氫氯氟烯烴具有至少2個碳原子。較佳的氫氯氟烯烴 具有等於或少於10個碳原子。非常佳的是,它們具有等 於8個或少於8個碳原子。尤其佳的是,它們具有等於或 少於6個碳原子。非常佳的是,它們具有2至6個碳原 子。最佳的是,它們具有3至6個碳原子。 較佳的氫氯氟烯烴具有1至4個氯原子。非常佳的 是,它們具有1至3個氯原子’更佳的是’ 1或2個氯原 子。1個氯原子係尤其佳的。 較佳的氫氯氟烯烴具有至少2個氟原子。 尤其佳的氫氯氟烯烴係具有通式(I)的那些 CmClFnH2m-n] (I) 其中m係2至6,並且η係1至(2m-2) ’或具有化 學式(II)The fabrication and purpose of the TaN layer is described in detail in WO06113955. The material forming the layer is denoted as "TaNx", where X to 1.67 » which indicates that the terms "TaN" and similarly "TiN", 'do not refer to exact chemistry The metered compound refers to a layer containing more or less the atomic ratio of the metal cation and the nitrogen anion. US Pat. No. 6,50,948 discloses the etching of features into a substrate by removing material from selected regions. An etching method. A patterned mask is provided and placed in a chamber of the chamber to introduce halogenated heterocyclic hydrocarbons, such as perfluoropyrimidine, and engraved. Additional etchants may be added, such as CHF3, C3F6 or a carrier gas such as nitrogen or argon. This method can be used to perform microfabrication of semiconductor-based logic devices 'memory and optoelectronic micro-mechanical systems. WO 2 008/00 1 844 describes a cleaning gas for purifying hexafluoropropane, which is said to be used as a cleaning material for removing deposits in a semi-finished device or a liquid crystal manufacturing device when the hexafluoropropylene is in a high purity form. Among other things, it is said that CH2 = CC1F is present as an impurity and does not appear to exist in Table 1 of the WO publication. WO 2009/089511 discloses HFCO-1233zd as a clearing layer in its processing step gas section. In the case of a 0.5*ZrN" near-ruthenium-based sheet, it is printed. It is useful to initiate etching of c4F6. Sex etching and olefinic systems. Its lotion removes the use of photoresist from the 201103972 component. It acts as a solvent (for example as a solvent in a critical state) to achieve its cleaning function. SUMMARY OF THE INVENTION The problem of the present invention is to provide useful etchants, especially for etching nitride, borides and carbides of refractory metals, and nitrides, borides and carbides for etching refractory metals. They (often in the form of layers) exist as deposits or contaminants in the plasma chamber. The present invention provides a method for producing an etched element comprising at least one step of etching the element with an etchant in a plasma chamber; or the method for cleaning an electric charge containing contaminants or deposits a plasma chamber comprising a step of introducing the etchant into the plasma chamber, initiating a direct or distal plasma, terminating the plasma, and removing gaseous components from the chamber, wherein the element is etched in at least one The etchant is selected from the group consisting of an aliphatic C 2 -C 1 0 hydrochlorofluoroolefin containing at least one chlorine atom and at least one fluorine atom in the presence of an etchant. The C2-C10 hydrochlorofluoroolefin etchant is present in a substantial amount; a method comprising C2-C10 hydrochlorofluoroolefin as an impurity is not included in the present invention. The term "substantial amount" means that the C2-C10 hydrochlorofluoroolefin etchant constitutes at least 10% by weight of the total of all etchants used. » Fluorinated compounds that can be used as etchants, such as saturated a fluorocarbon or a saturated hydrofluorocarbon, an unsaturated perfluoroolefin or a perfluorodiene or other unsaturated hydrofluoroolefin, a hydrofluorodiene, or a polymerization gas such as difluoromethane, Preferably, the balance is 100% by weight. 201103972 Preferably, the C2-C10 etchant constitutes the sum of all etchants applied equal to or greater than 50% by weight. More preferably, it constitutes equal to or more than 90% by weight of all etchants used. It is especially preferred that it constitutes about 100% by weight of all etchants used. In the present embodiment, it may contain undesired impurities, for example, up to 1% by weight. As described below, the hydrochlorofluoroolefins can be applied by dilution with argon or other gases. These gases are not considered in these calculations. For example, if a mixture containing 10% by weight of C 2 -C 10 0 hydrochlorofluoroolefin and 90% by weight of argon is considered, the content of hydrochlorofluoroolefin is calculated to be 100% by weight. . According to an embodiment, the present invention provides a method for producing an etched element, the method comprising at least one step of etching the element, wherein the element is etched in at least one of containing at least one chlorine atom and at least one fluorine atom Performed in the presence of an aliphatic C2-CIO hydrochlorofluoroolefin. The term "element" includes both singular and plural, and in particular an element or elements such as 2, 3, 4, 5 or more. If only one element or multiple components are etched, it depends on the capacity of the plasma chamber used. If multiple components are etched at the same time, the corresponding plasma chamber must be used. In the present invention, the term "comprising" includes the meaning of "consisting of." The term "hydrochlorofluoroalkene" as used in the present invention includes both singular and plural, that is, 'hydrochlorofluoroalkene" means a single compound or a mixture of two or more hydrochlorofluoroolefins. This compound is known to be a useful starting compound for the production of saturated hydrogen (chloro)fluorocarbons - see, for example, wo 89/12614 and W089/12615. Hydrochlorofluoropropenes are disclosed, for example, in WO 2008/1 2 1 785. The term "hydrochlorofluoroolefin" means a compound consisting of chlorine, fluorine, hydrogen and carbon. The term "hydrofluoroolefin" includes a compound of one, two or more C-C double bonds. The hydrochlorofluoroolefin may be linear or branched. The hydrochlorofluoroolefin has at least 2 carbon atoms. Preferred hydrochlorofluoroolefins have equal or Less than 10 carbon atoms. Very preferably, they have equal to 8 or less than 8 carbon atoms. Particularly preferably, they have equal to or less than 6 carbon atoms. Very preferably, they have 2 to 6 carbon atoms. Most preferably, they have 3 to 6 carbon atoms Preferred hydrochlorofluoroolefins have from 1 to 4 chlorine atoms. Very preferably, they have from 1 to 3 chlorine atoms, more preferably '1 or 2 chlorine atoms. One chlorine atom is particularly preferred. Preferred hydrochlorofluoroolefins have at least 2 fluorine atoms. Particularly preferred hydrochlorofluoroolefins have those of the formula (I): CmClFnH2m-n] (I) wherein m is 2 to 6, and η is 1 to (2m-2) ' or have the chemical formula (II)

CmCl2FnH2m.n.2 (Π) 其中m係2至6’η係1至(2m-3 ) ’或化學式(III) CmCl3FnH2m.n.3 (ΠΙ) 其中m係2至6,η係1至(2m-4), 前提係化學式(1)、(11)以及(ΙΠ)的化合物中的 氯原子、氟原子和氫原子的總和係2m。 -9 - 201103972 cf2=chci, cf2=chci, cf3ch2cci=ch2, cf3ch=ccich3> CF3-CC1=CH-CC1F-CF3 (E)和(Z),CF3-CC1F-CH=CHC1 (E)和(z), CF3-CC1=CH-CC1FCH3(E)和(Z),CF3-CC1=CH-CHC1F(E)和(z), CF3-CC1=CH-CCIF-CF3 (E) m (Z), CF3-CC1=CH2, CF3-CH=CC1-CF3 (E) 和(z), cf3.ccif-ch=cci-ch2-cf3, cf3-ccif-ch2-cci=ch-cf3, cf3-ch=cci-ch3 (e)和(z),cf3-ch2-cci=ch2, CFrCH=CHCl (E)和(z), CF3-CCI=CH2 CF3-CC1=CH-CC1F-CH2-CF3, CF3-CH=CC1-CH2-CF3 (E)和 (Z),CF3-CH2-CC1=CH-CF3 (E)和(Z),CHCl=CCl-CHrCF3 (E)和(Z), ch2ci-cci=ch-cf3 (E) m (z), ccif=cci-ch2-cf3 (E) m (Z), CH2C1-CC1=CH-CF3 (E) (Z), CHC1=CC1-CHC1-CF3 (E) m (Z), CH2C1-CC1=CC1-CF3 (E) in (Z), CF3-CC1=CH- CF3 (E) m (Z), CH2=CC1-CHC1-CF3, CHC1F-CC1=CC1-CF3 (E) fo (Z), CC1F=CC1-CHCI-CF3 ⑹和(Z),CH2C1-CCI=CCI-CF3 (E)和(z), CF3-CC1=CH-CF3 (E)和(Z),CC1F=CC1-CHC1-CF3 (E)和(z), CHC1F-CC1=CC1-CF3 (E)和(Z),CH2=CC1.CHC1-CF3, CH3-CC1=CC1-CF3 (E) 和(Z),CH2=Ca-CClF-CF3, CF3-CCI=CC1-CH2-CF3 (E)和(Z),CF3-CHC1- cci=ch-cf3 (e)和(z),cf3-ccif-cci=ch-cf3 (e)和(z),cf3-chci- CC1=CC1-CF3 (E)和(Z).。 在所列出的化合物中,對應的氫氯氟乙烯、氫氯氟丙 稀、氣氯氣丁嫌和氣氯每戊稀係尤其佳的稀煙。 氫氟烯烴係已知的化合物或可以從已知的氫氯氟烷烴 藉由脫氯化氫作用或藉由脫氟化氫作用來製造。 氫氯氟烷烴起始材料可以藉由對應的氫氯烷烴的不完 全氟化來製備。例如,該等起始化合物可以是氟化反應中 不完全氟化的副產物。該等起始化合物還可以藉由在氫氯 氟烷烴中的氯-氟交換反應製備,該氫氯氟烷烴比所希望 的氟化產物多至少一個氯原子並且少至少一個氟原子。該 等起始化合物還可以藉由向對應的氫氟烯烴或氫氯氟烯烴 中加入HC1來製備。總體而言,該等起始化合物的合成係 本領域所熟知的。較佳的起始化合物以及反應產物如下: -10- 201103972 cf3chci2 -> CF3CHC1F + CF3CH2CC1FCH3 + CF3-CC1F-CH2-CC1F-CH3 + CF3-CC1F-CH2-CHC1F + CF3-CC1F-CH2-CC1F-CF3 + CF3-CC1F-CH2-CC1F-CH2-CF3 ^ CF2=CHC1 + HF CF2=CHC1 + HF CF3CH2CC1=CH2 + CF3CH=CC1CH3 CFrCCl=CH-CClFCH3 (E)和(Z) CFrCCl=CH-CHClF (E)和(Z) + CF3-CC1F-CH=CHC1 (E)和(z) CF3-CC1=CH-CC1F-CF3 (E)和(z) CF3-CC1=CH-CC1F-CH2-CF3 + CF3-CC1F-CH=CC1-CH2-CF3 cf3-ccif-ch2-cci=ch-cf3 cf3-ch2-ccif-ch3 + cf3-ch=cci-ch3 (e)和(z) + cf3-ch2-cci=ch2 CF3-CH2-CHCIF + CFrCH=CHCl (E)和(Z) -11 - 201103972 CF3-CHC1-CH2F CF3-CFC1-CH3 CF3-CH2-CC1F-CF3 CF3-CH2-CaF-CH2-CF3 -> CF3-CC1=CH2 今 CF3-CC1=CH2 + cf3-ch=cci-cf3 (E)和(z) + CF3-CH=CC1-CH2-CF3(E)和(z) + CH2C1-CC1F-CH2-CF3 CF3-CH2-CC1=CH-CF3 (E)和(z) CHC1=CC1-CH2-CF3 (E)和(z) + CHC1F-CC1F-CH2-CF3 CH2C1-CCI=CH-CF3 (E) m (Z) + CC1F=CC1-CH2-CF3 (E)和(z) + CH2C1-CC1F-CHC1-CF3 CH2C1-CC1=CH-CF3 (E)和(z) CHC1=CC1-CHC1-CF3 (E)和(z) + CF3-CC1F-CH2-CF3 CHC1F-CC1F-CHC1-CF3 ch2ci-cci=cci-cf3 (E) ία (Z) + CFrCCI=CH- CF3 (E)和(Z) ccif=cci-chci-cf3 (E) m (Z) + CH3-CCIF-CHC1-CF3 CHC1F-CC1=CC1-CF3 (E)和(z) ^ CH2=CC1-CHC1-CF3 + CH3-CC1F-CC1F-CF3 CF3-CHC1-CC1F-CH2-CF3 CH3-CC1=CC1-CF3 (E)和(z) ^ ch2=cci-ccif-cf3 + cf3-cci=cci-ch2-cf3(e)和(z) + CF3-CC1F-CC1F-CH2-CF3 CF3-CHC1-CC1F-CHC1-CF3 CF3-CHC1-CC1=CH-CF3 (E)和(z) 今 CF3-CC1F-CC1=CH-CF3 (E)和(z) 今 CF3-CHC1-CC1=CC1-CF3 (E)和(z) 該方法在高表面氟化鋁催化劑的存在下描述於共同未 決的國際專利申請PCT/EP EP2009/065 5 65 (它要求EP專 利申請08 1 698 5 9.9的優選權)中,該等高表面氟化鋁催 化劑描述於 EP 1666411A1 和 EP1440939A1 中。 它們還可以藉由其他方法製備,例如,藉由催化脫氯 化氫作用,將HC1或HF加成到對應的炔烴,或者它們係 -12- 201103972 氯-氟反應的副產物。例如,如果五氯丁烷被氟化,則 CF3-CH2-CC1F-CH3 係副產物。例如,在 US 5,739,406 和 US 7,074,434中提及了該化合物和其他氯氟丁烷。 可以將CF3-CH2-CC1F-CH3脫氟化氫以形成 CF3-CH = CC1-CH3 ( E )和 CF3-CH = CC1-CH3 ( Z )和 CF3-CH2-CC1 = CH2。 製備氫氯氟燃烴的另一種方法係使氫氯氟院烴與苛性 鹼溶液(例如氫氧化鉀或氫氧化鈉,溶於水中)反應而將 它們脫氟化氫或脫氯化氫以形成氫氯氟烯烴。這種方法描 述於例如WO 2005/0122212中。 可以用一種已知的方法(例如藉由蒸餾)來分離結構 異構體和幾何異構體,例如異構體CF3-CH = CC1-CH3 (E) 和 cf3-ch = cci-ch3(z)和 cf3-ch2-cci = ch2 - 該氫氯氟烯烴或它們的混合物可以爲了該等目的而應 用在總體上使用鹵化烴的蝕刻方法中。 它們可以用於蝕刻過程,較佳的是用於製造半導體記 憶體和邏輯裝置,例如像DRAM和CPU。 它們適用於蝕刻介電材料,例如二氧化矽、氮化矽、. 低或超低k電介質(像FSG)、摻雜碳的電介質、以及類 似材料。它們還可以用於蝕刻二氧化矽,用在氧化物玻璃 (例如硼磷矽玻璃)或氧化物材料的蝕刻中,可隨意地摻 雜例如氟(氟矽玻璃“ FSG ” )或碳(例如 AppliedCmCl2FnH2m.n.2 (Π) where m is 2 to 6' η 1 to (2m-3 ) ' or chemical formula (III) CmCl3FnH2m.n.3 (ΠΙ) where m is 2 to 6, and η is 1 to ( 2m-4), the premise is that the total of chlorine atoms, fluorine atoms and hydrogen atoms in the compounds of the chemical formulas (1), (11) and (ΙΠ) is 2 m. -9 - 201103972 cf2=chci, cf2=chci, cf3ch2cci=ch2, cf3ch=ccich3> CF3-CC1=CH-CC1F-CF3 (E) and (Z), CF3-CC1F-CH=CHC1 (E) and (z ), CF3-CC1=CH-CC1FCH3(E) and (Z), CF3-CC1=CH-CHC1F(E) and (z), CF3-CC1=CH-CCIF-CF3 (E) m (Z), CF3 -CC1=CH2, CF3-CH=CC1-CF3 (E) and (z), cf3.ccif-ch=cci-ch2-cf3, cf3-ccif-ch2-cci=ch-cf3, cf3-ch=cci- Ch3 (e) and (z), cf3-ch2-cci=ch2, CFrCH=CHCl (E) and (z), CF3-CCI=CH2 CF3-CC1=CH-CC1F-CH2-CF3, CF3-CH=CC1 -CH2-CF3 (E) and (Z), CF3-CH2-CC1=CH-CF3 (E) and (Z), CHCl=CCl-CHrCF3 (E) and (Z), ch2ci-cci=ch-cf3 ( E) m (z), ccif=cci-ch2-cf3 (E) m (Z), CH2C1-CC1=CH-CF3 (E) (Z), CHC1=CC1-CHC1-CF3 (E) m (Z) , CH2C1-CC1=CC1-CF3 (E) in (Z), CF3-CC1=CH- CF3 (E) m (Z), CH2=CC1-CHC1-CF3, CHC1F-CC1=CC1-CF3 (E) fo (Z), CC1F=CC1-CHCI-CF3 (6) and (Z), CH2C1-CCI=CCI-CF3 (E) and (z), CF3-CC1=CH-CF3 (E) and (Z), CC1F=CC1 -CHC1-CF3 (E) and (z), CHC1F-CC1=CC1-CF3 (E) and (Z), CH2=CC1.CHC1-CF3, CH3-CC1=CC1-CF3 (E) and (Z), CH2=Ca-CClF-CF3, CF3-CCI=CC1-CH2-CF3 (E) and (Z), C F3-CHC1- cci=ch-cf3 (e) and (z), cf3-ccif-cci=ch-cf3 (e) and (z), cf3-chci- CC1=CC1-CF3 (E) and (Z) . . . Among the listed compounds, the corresponding hydrochlorofluoroethylene, hydrochlorofluoropropene, gas chlorine gas and gas chlorine per pentane are particularly preferred. Hydrofluoroolefins are known compounds or can be produced from known hydrochlorofluoroalkanes by dehydrochlorination or by dehydrofluorination. The hydrochlorofluorocarbon starting material can be prepared by incomplete fluorination of the corresponding hydrochloroalkane. For example, the starting compounds may be by-products of incomplete fluorination in the fluorination reaction. The starting compounds can also be prepared by a chloro-fluoro exchange reaction in a hydrochlorofluoroalkane having at least one chlorine atom and at least one fluorine atom less than the desired fluorinated product. These starting compounds can also be prepared by adding HCl to the corresponding hydrofluoroolefin or hydrochlorofluoroolefin. In general, the synthesis of such starting compounds is well known in the art. Preferred starting compounds and reaction products are as follows: -10- 201103972 cf3chci2 -> CF3CHC1F + CF3CH2CC1FCH3 + CF3-CC1F-CH2-CC1F-CH3 + CF3-CC1F-CH2-CHC1F + CF3-CC1F-CH2-CC1F-CF3 + CF3-CC1F-CH2-CC1F-CH2-CF3 ^ CF2=CHC1 + HF CF2=CHC1 + HF CF3CH2CC1=CH2 + CF3CH=CC1CH3 CFrCCl=CH-CClFCH3 (E) and (Z) CFrCCl=CH-CHClF (E) And (Z) + CF3-CC1F-CH=CHC1 (E) and (z) CF3-CC1=CH-CC1F-CF3 (E) and (z) CF3-CC1=CH-CC1F-CH2-CF3 + CF3-CC1F -CH=CC1-CH2-CF3 cf3-ccif-ch2-cci=ch-cf3 cf3-ch2-ccif-ch3 + cf3-ch=cci-ch3 (e) and (z) + cf3-ch2-cci=ch2 CF3 -CH2-CHCIF + CFrCH=CHCl (E) and (Z) -11 - 201103972 CF3-CHC1-CH2F CF3-CFC1-CH3 CF3-CH2-CC1F-CF3 CF3-CH2-CaF-CH2-CF3 -> CF3- CC1=CH2 Current CF3-CC1=CH2 + cf3-ch=cci-cf3 (E) and (z) + CF3-CH=CC1-CH2-CF3(E) and (z) + CH2C1-CC1F-CH2-CF3 CF3 -CH2-CC1=CH-CF3 (E) and (z) CHC1=CC1-CH2-CF3 (E) and (z) + CHC1F-CC1F-CH2-CF3 CH2C1-CCI=CH-CF3 (E) m (Z ) + CC1F=CC1-CH2-CF3 (E) and (z) + CH2C1-CC1F-CHC1-CF3 CH2C1-CC1=CH-CF3 (E) and (z) CHC1=CC1-CHC1-CF3 (E) and ( z) + CF3-CC1F-CH2-CF3 CHC1F-CC1F-CHC1-CF3 ch2ci-cci=cci-cf3 (E) ία (Z) + CFrCCI=CH- CF3 (E) and (Z) ccif=cci-chci-cf3 (E) m (Z) + CH3 -CCIF-CHC1-CF3 CHC1F-CC1=CC1-CF3 (E) and (z) ^ CH2=CC1-CHC1-CF3 + CH3-CC1F-CC1F-CF3 CF3-CHC1-CC1F-CH2-CF3 CH3-CC1=CC1 -CF3 (E) and (z) ^ ch2=cci-ccif-cf3 + cf3-cci=cci-ch2-cf3(e) and (z) + CF3-CC1F-CC1F-CH2-CF3 CF3-CHC1-CC1F- CHC1-CF3 CF3-CHC1-CC1=CH-CF3 (E) and (z) present CF3-CC1F-CC1=CH-CF3 (E) and (z) present CF3-CHC1-CC1=CC1-CF3 (E) and (z) The method is described in the copending international patent application PCT/EP EP2009/065 5 65 (which requires the preferred benefit of EP Patent Application 08 1 698 5 9.9) in the presence of a high surface aluminum fluoride catalyst, High surface aluminum fluoride catalysts are described in EP 1666411 A1 and EP 1440939 A1. They may also be prepared by other methods, for example, by catalytic dehydrochlorination, by addition of HCl or HF to the corresponding alkyne, or by the by-product of the -12-201103972 chloro-fluoro reaction. For example, if pentachlorobutane is fluorinated, CF3-CH2-CC1F-CH3 is a by-product. This compound and other chlorofluorobutanes are mentioned, for example, in US 5,739,406 and US 7,074,434. CF3-CH2-CC1F-CH3 can be dehydrofluorinated to form CF3-CH = CC1-CH3 (E) and CF3-CH = CC1-CH3 (Z) and CF3-CH2-CC1 = CH2. Another method for preparing hydrochlorofluorocarbons is to react a hydrochlorofluorocarbon with a caustic solution (such as potassium hydroxide or sodium hydroxide in water) to dehydrofluorinate or dehydrochlorinate them to form hydrochlorofluoroolefins. . Such a method is described, for example, in WO 2005/0122212. Structural isomers and geometric isomers can be separated by a known method (for example by distillation), such as the isomers CF3-CH = CC1-CH3 (E) and cf3-ch = cci-ch3 (z) And cf3-ch2-cci = ch2 - the hydrochlorofluoroolefin or a mixture thereof can be used for the purpose of etching in a method of generally using a halogenated hydrocarbon. They can be used in etching processes, preferably in the fabrication of semiconductor memory and logic devices such as DRAMs and CPUs. They are suitable for etching dielectric materials such as hafnium oxide, hafnium nitride, low or ultra low k dielectrics (like FSG), carbon doped dielectrics, and the like. They can also be used to etch cerium oxide, used in the etching of oxide glasses (e.g., borophosphon glass) or oxide materials, optionally doped with, for example, fluorine (fluorofluorene glass "FSG") or carbon (e.g., Applied

Materials 的 Black Diamond®),稱作“低-k 電介質”, 和“超低-k電介質”,它們主要用作電絕緣層。 -13- 201103972 該等氫氯氟烯烴非常適用於一些方法中,該等方法包 括一或多個蝕刻難熔金屬的氮化物、硼化物或碳化物層的 步驟;此類層經常用來避免摻雜劑的擴散或作爲蝕刻終止 劑。尤其佳的是蝕刻難熔金屬氮化物的層,還更佳的是含 有鈦、鉻和钽的氮化物(簡寫爲TiN、ZrN和TaNx )的 層。此類層經常用作阻擋層。蝕刻含有鈦、鉻和鉬的氮化 物的層係較佳的應用領域。 蝕刻過程中的條件符合通常使用的那些條件。例如, 可以應用直接電漿或間接電漿。該蝕刻方法可以在高密度 電漿(如電感耦合反應器)中或低密度電漿(例如較佳的 是’電容耦合反應器)中進行。通常,電漿室中的壓力等 於或低於150 Pa。較佳的是,該壓力係從1至120 Pp 通常’氫氯氟烯烴係與氬氣、氙氣、氮氣、和/或氮 氣一起應用’可隨意地在氫氣存在時。若希望的話,當用 於蝕刻時’它們可以與作爲蝕刻劑有用的氟化的化合物 (例如飽和的全氟烷烴或飽和的氫氟烷烴、不飽和的全氟 烯烴或全氟二烯烴、或其他不飽和的氫氟烯烴或氫氟二烯 烴)一起使用。例如’可以加入一種聚合氣體,例如二氟 甲烷。 較佳的是’將氫氯氟烯烴引入電漿反應器中,用氬氣 稀釋。 可以應用&氣(Xe)和赢氣(Ar)的混合物來調諧 電介質和阻擋層之間的蝕刻劑化學的相關選擇性,從而增 強選擇性。 -14- 201103972 還可以將氫氯氟烯烴用於其中必須蝕刻硬掩模的方法 中〇 以上詳述的C2-C10氫氯氟烯烴也適用於本發明的另 一方面,即室清洗方法。在蝕刻方面所描述的較佳的實施 方式,尤其佳的是氯氟烯烴,也較佳的是用於本發明的這 —方面。在電漿蝕刻的過程中,殘餘物或沉積物在電漿反 應器的壁上和構成部件上形成。有可能藉由向電漿室中引 入氫氯氟烯烴並且應用直接或遠端電漿來去除該等殘留物 或沉積物。該氫氯氟烯烴充當蝕刻劑或換而言之淨化劑。 例如,可以除去金屬或金屬化合物(例如氧化物、氮化 物、碳化物或硼化物)的殘餘物和沉積物。術語“金屬” 較佳的是指矽和難熔金屬,尤其是鈦、鉅和锆。例如,可 以去除鈦、钽和锆的硼化物、碳化物以及尤其是氮化物。 因此,在此提供了 一種用於清洗電漿室的方法,該電 漿室含有難熔金屬的氮化物、碳化物或硼化物的污染物或 沉積物,該方法包括將含有至少一種C2-C10氫氯氟烯烴 的淨化劑加入該電漿室中,啓動一直接或遠端電漿,終止 該電漿並且從該室去除氣態組分的一步驟。術語“淨化 劑”與“蝕刻劑”具有相同的含義。切斷該電漿之後,可 以將清潔的惰性氣體例如氮氣傳送通過該室來支持氣態組 分的去除。 較佳的是,該污染物或沉積物含有TaN、TiN或 ZrN,並且作爲淨化劑,或換言之蝕刻劑,將一物質的組 合物引入電漿室中,該物質的組合物包括至少一種氫氯氟 -15- 201103972 烯烴和至少一種氣體或由至少一種氫氯氟烯烴和至少一種 氣體組成,該氫氯氟烯烴係選自:CF3-CH = CHC1 (E)、 CF3-CH = CHC1 (Z) 、 cf3-cci = ch2 、 CF3-CH = CC1-CH3 (E) ' CF3-CH = CC1-CH3 (Z)和 CF3-CH2-CC1 = CH2,而該 氣體係選自:氮氣、氙氣、氦氣、氬氣、及其二者或多者 之的任意組合。因此,在這一較佳的實施方式中,應用了 包括至少兩種組分(一種氫氯氟烯烴和一氣體)的物質的 組合物或由至少兩種組分(一種氫氯氟烯烴和一氣體)組 成的物質的組合物。 本發明的氫氯氟烯烴的優點係高的蝕刻速度。 它們可以在電子領域中用於製造元件,例如微電子裝 置、超導體、以及製備平板和太陽能電池。它們可以用作 蝕刻劑用於處理元件的並且用作蝕刻氣體,用於清洗在該 等元件的製造中所使用的裝置。 本發明的另一方面涉及一種物質的組合物,即包括至 少一種氫氯氟烯烴和至少一種氣體的混合物或由至少一種 氫氯氟烯烴和至少一種氣體組成的混合物,該氣體係選 自:氮氣、氦氣、氙氣、和氬氣。該混合物較佳的是含有 氫氯氟烯烴以及氮與上述惰性氣體中兩種或多種的任意組 合。可隨意地,可以存在另外的氣體,例如一或多種氫氣 t 源,例如烴,較佳的是元素氫(在蝕刻中用作氟阱)或其 他鈍化氣體。尤其佳的是包含氙氣、氬氣、以及至少一種 氫氯氟烯烴,以及可隨意地另外的氮氣的混合物或由氙 氣、氬氣、以及至少一種氫氯氟烯烴,以及可隨意地另外 16- 201103972 的氮氣組成的混合物。 因而,一實施方式涉及至少一種氫氯氟烧烴 種氣體以及可隨意地至少一種氫源(較佳的是氫 合物,該氣體係選自:氮氣、氦氣、氙氣、氬氣 者或多者之任意組合。 較佳的混合物包括至少一種化合物以及至少 或由至少一種化合物以及至少一種氣體組成,該 選白:CF3-CC卜CH2、CF3-CH2-CC1 = CH2 ' CF3-(E)和 CF3-CH = CHC1 (Z)、CF3-CH = CC1-CH3 cf3-ch = cci-ch3 (z),該氣體係選自:氮氣、 氣、和氬氣,可隨意地,可以另外含有氫氣。尤 包括 CF3-CH2-CC1 = CH2、CF3-CH = CC1-CH3 (E) cf3-ch = cci-ch3 (z)以及至少一種氣體的混^ CF3-CH2-CC1 = CH2 ' CF3-CH = CC1-CH3 (E)和 cf3-ch = cci-ch3 (z)以及至少一種氣體組成的 該氣體係選自:氮氣、氦氣、氙氣、和氬氣。 氫氯氟烯烴的含量或氫氯氟烯烴的總和(如 們中二者或多者)較佳的是等於或多於按體積計 佳的是,等於或低於按體積計50%。較佳的是, 氣、氙氣、和/或氬氣係到按體積計1 00%的餘量 氣存在,它較佳的是包括按體積計2-10%。本文 百分數係指氣態。 根據本發明的混合物較佳的是處於凝聚狀態 處於液體的形式。它們的製造可以藉由例如,向 與至少一 氣)的混 、及其二 一種氣體 化合物係 CH = CHC1 (E) 和 氦氣、氣 其佳的是 和 会物或由 混合物, 果含有它 1 0 %。較 氮氣、氦 。如果氫 中給出的 ,尤其是 一儲罐中 -17- 201103972 引入相應體積的氣態成分並且在其中將它們凝聚或藉由凝 聚相應體積的成分並且將它們以液化的形式供應到該儲罐 中來進行。 【實施方式】 以下實施方式旨在更詳細地說明本發明而無意限制 它。 實例1 :尤其適合TaN、ZrN和TiN蝕刻的氣體混合 物 藉由使相應的不飽和C4化合物、氬氣以及可隨意地 氮氣和氫氣在一耐壓儲罐中凝聚來製備蝕刻氣體混合物。 使用如EP 1 6664 1 1 A1和EP 1 44093 9 A1中所描述的 高表面氟化鋁催化劑藉由如PCT/EP EP2009/065565中所 描述的脫氟化氫作用可以從氯四氟丁烷製備氯三氟丁烯, 氯四氟丁烷係從五氯丁烷和HF藉由鹵化錫催化劑製備五 氟丁烷的副產物。將所得到的異構體藉由蒸餾來分離。 -18- 201103972 表1 :蝕刻氣體混合物(給出的量係%體積) 實例 C4F^ClHd* Ar Xe h9 1.1 15 85 一 1.2 20 80 — —* 1.3 30 70 -- —— 1.4 15 75 — 10 1.5 20 70 —— 10 1.6 20 75 5 1.7 20 75 Xe:0N2:5 * CFrCH=CCl.CH“E) 實例 C4F,C1H4* Ar Xe H, 2.1 10 90 — — 2.2 15 85 — — 2.3 20 80 — -- 2.4 20 75 — 5 2.5 20 70 10 -- 2.6 20 70 Xe:0N2:10 * CFVCH=CC1-CH^ (Z) 實例 C4F,C1H4* Ar Xe H, 3.1 10 90 — -- 3.2 15 85 — — 3.3 20 80 — — 3.4 20 75 -- 5 3.5 20 70 10 — 3.6 20 70 Xe:0N2:10 * CFrCH9-CCl=CH, 實例 C,F,CW Ar Xe H, 4.1 10 90 — — 4.2 15 85 — — 4.3 20 80 — — 4.4 20 75 — 5 4.5 20 70 10 — 4.6 20 70 Xe:0N2:10 CF3-CC1=CH2 在耐壓存儲罐中藉由加注,壓製和/或凝聚相應的液 體或氣體來製備上述氣體混合物。 實例2 :在製造半導體的過程中蝕刻由TaN製成的阻 擋層 如專利WO 2006/113955中所描述製造含有TaNx阻 -19- 201103972 擋層的一微電子部件。然後使用含有按體積計3 0%的 CF3-CH = CC1-CH3(E)和按體積計70 %的氬氣的混合物來 蝕刻該阻擋層的一部分。 貪虫刻可以在從Applied Materials可得的電感稱合電 漿源(ICP )蝕刻反應器或電容耦合電漿源(CCP )反應 器中進行。 實例3 :清洗電漿室 將一電漿室用TaN層的沉積物污染。將含有按體積 計3 0%的 CF3-CH = CC1-CH3 ( E )和按體積計70%的氬氣 的混合物引入該室中並且啓動該電漿。將電漿的狀態維持 到直至從該室的內壁去除沉積物。可以藉由應用真空吸塵 器從該室中去除氣態反應產物。 實例4:使用CF3-CH = CC1-CH3(Z)清洗電漿室 將一電漿室用TaN層沉積物污染。將含有按體積計 3 0%的CF3-CH = CC1-CH3(E)和按體積計70%的氬氣的混 合物引入該室中並且啓動電漿。將電漿的狀態維持到直至 從該室的內壁去除沉積物。可以藉由使用真空吸塵器從該 室去除氣態反應產物。 實例5:使用CF3-CH2-CC1 = CH2清洗電漿室 將一電漿室用TaN層沉積物污染°含有按體積計 30%的CF3-CH2-CC1 = CH2和按體積計70%的氬氣的混合物 -20- 201103972 引入該室中並且啓動電漿。將電漿的狀態維持到直至從該 室的內壁去除沉積物。可以藉由使用真空吸塵器從該室去 除氣態反應產物。Materials' Black Diamond®, called “low-k dielectrics” and “ultra-low-k dielectrics”, are primarily used as electrical insulation. -13- 201103972 These hydrochlorofluoroolefins are very suitable for use in processes involving one or more steps of etching a nitride, boride or carbide layer of a refractory metal; such layers are often used to avoid doping The diffusion of the dopant or as an etch stop. It is especially preferred to etch a layer of refractory metal nitride, and more preferably a layer containing nitrides of titanium, chromium and niobium (abbreviated as TiN, ZrN and TaNx). Such layers are often used as barrier layers. Layers of nitrides containing titanium, chromium and molybdenum are preferred for application. The conditions in the etching process are in accordance with those conditions that are generally used. For example, direct plasma or indirect plasma can be applied. The etching process can be carried out in a high density plasma (e.g., an inductively coupled reactor) or a low density plasma (e.g., preferably a 'capacitively coupled reactor). Typically, the pressure in the plasma chamber is equal to or lower than 150 Pa. Preferably, the pressure is from 1 to 120 Pp, usually 'hydrochlorofluoroolefins are applied together with argon, helium, nitrogen, and/or nitrogen, optionally in the presence of hydrogen. If desired, when used for etching 'they can be used with fluorinated compounds useful as etchants (eg saturated perfluoroalkanes or saturated hydrofluoroalkanes, unsaturated perfluoroolefins or perfluorodiolefins, or other) Unsaturated hydrofluoroolefins or hydrofluorodiolefins are used together. For example, a polymerization gas such as difluoromethane may be added. Preferably, the hydrochlorofluoroolefin is introduced into the plasma reactor and diluted with argon. A mixture of <Xe" and Win(A) can be applied to tune the etchant chemistry related selectivity between the dielectric and the barrier layer to enhance selectivity. -14- 201103972 It is also possible to use a hydrochlorofluoroolefin for a method in which a hard mask must be etched. C The C2-C10 hydrochlorofluoroolefin described above is also suitable for use in another aspect of the invention, i.e., a chamber cleaning method. The preferred embodiment described in terms of etching, particularly preferred, is a chlorofluoroolefin, and is also preferred for use in the present invention. During the plasma etching, residues or deposits are formed on the walls of the plasma reactor and on the constituent parts. It is possible to remove such residues or deposits by introducing hydrochlorofluoroolefins into the plasma chamber and applying direct or remote plasma. The hydrochlorofluoroolefin acts as an etchant or, in other words, a scavenger. For example, residues and deposits of metals or metal compounds such as oxides, nitrides, carbides or borides can be removed. The term "metal" preferably refers to bismuth and refractory metals, especially titanium, giant and zirconium. For example, borides, carbides and especially nitrides of titanium, hafnium and zirconium can be removed. Accordingly, there is provided a method for cleaning a plasma chamber containing a nitride, carbide or boride contaminant or deposit of a refractory metal, the method comprising containing at least one C2-C10 A cleaning agent for the hydrochlorofluoroolefin is added to the plasma chamber to initiate a direct or distal plasma, a step of terminating the plasma and removing gaseous components from the chamber. The term "cleaning agent" has the same meaning as "etching agent". After the plasma is turned off, a clean inert gas such as nitrogen can be passed through the chamber to support the removal of the gaseous components. Preferably, the contaminant or deposit contains TaN, TiN or ZrN and, as a scavenger, or in other words an etchant, introduces a composition of a substance into the plasma chamber, the composition of the substance comprising at least one hydrogen chloride Fluorine-15-201103972 An olefin and at least one gas or consisting of at least one hydrochlorofluoroolefin and at least one gas selected from the group consisting of: CF3-CH = CHC1 (E), CF3-CH = CHC1 (Z) , cf3-cci = ch2 , CF3-CH = CC1-CH3 (E) ' CF3-CH = CC1-CH3 (Z) and CF3-CH2-CC1 = CH2, and the gas system is selected from the group consisting of nitrogen, helium and neon Argon, any combination of two or more thereof. Thus, in this preferred embodiment, a composition comprising at least two components (a hydrochlorofluoroolefin and a gas) or at least two components (a hydrochlorofluoroolefin and a A composition of a substance consisting of a gas). The advantage of the hydrochlorofluoroolefin of the present invention is a high etching rate. They can be used in the electronics field to fabricate components such as microelectronic devices, superconductors, and to prepare flat panels and solar cells. They can be used as etchants for processing components and as etching gases for cleaning devices used in the manufacture of such components. Another aspect of the invention relates to a composition of matter comprising a mixture of at least one hydrochlorofluoroolefin and at least one gas or a mixture of at least one hydrochlorofluoroolefin and at least one gas selected from the group consisting of nitrogen , helium, helium, and argon. The mixture preferably contains hydrochlorofluoroolefin and any combination of two or more of nitrogen and the above inert gas. Optionally, additional gases may be present, such as one or more hydrogen t sources, such as hydrocarbons, preferably elemental hydrogen (used as a fluorine trap in etching) or other passivating gas. Particularly preferred are helium, argon, and at least one hydrochlorofluoroolefin, and optionally a mixture of additional nitrogen or helium, argon, and at least one hydrochlorofluoroolefin, and optionally 16-201103972 a mixture of nitrogen. Thus, an embodiment relates to at least one hydrochlorofluorocarbon gas and optionally at least one hydrogen source (preferably a hydrogen compound selected from the group consisting of nitrogen, helium, neon, argon or more) Any combination of the above. The preferred mixture comprises at least one compound and consists of at least or consists of at least one compound and at least one gas: CF3-CC, CH2, CF3-CH2-CC1 = CH2 'CF3-(E) and CF3-CH = CHC1 (Z), CF3-CH = CC1-CH3 cf3-ch = cci-ch3 (z), the gas system is selected from the group consisting of nitrogen, gas, and argon, and optionally, may additionally contain hydrogen. Including CF3-CH2-CC1 = CH2, CF3-CH = CC1-CH3 (E) cf3-ch = cci-ch3 (z) and a mixture of at least one gas CF3-CH2-CC1 = CH2 ' CF3-CH = CC1 -CH3 (E) and cf3-ch = cci-ch3 (z) and the gas system consisting of at least one gas selected from the group consisting of nitrogen, helium, neon, and argon. Hydrochlorofluoroolefin content or hydrochlorofluoroolefin The sum of the two (or two or more of them) is preferably equal to or more than 50% by volume, preferably by volume or gas. The gas, and/or argon gas is present in a residual gas of 100% by volume, which preferably comprises from 2 to 10% by volume. Percent herein refers to the gaseous state. The mixture according to the invention is preferably In a cohesive state in the form of a liquid. They can be produced by, for example, mixing with at least one gas, and two gas compounds thereof, CH = CHC1 (E), and helium, gas, and the like. Or by the mixture, the fruit contains it 10%. More nitrogen, helium. If given in hydrogen, especially in a tank, -17-201103972 introduces a corresponding volume of gaseous constituents and agglomerates them therein or by condensing the corresponding volume of constituents and supplying them to the tank in liquefied form Come on. [Embodiment] The following embodiments are intended to explain the present invention in more detail without intending to limit it. Example 1: Gas Mixture Particularly Suitable for TaN, ZrN, and TiN Etching An etching gas mixture was prepared by agglomerating a corresponding unsaturated C4 compound, argon gas, and optionally nitrogen and hydrogen in a pressure-resistant storage tank. The preparation of chlorine trichloride from chlorotetrafluorobutane can be carried out by dehydrofluorination as described in PCT/EP EP 2009/065565 using a high surface aluminum fluoride catalyst as described in EP 1 6664 1 1 A1 and EP 1 44093 9 A1. Fluorine butene, chlorotetrafluorobutane is a by-product of pentafluorobutane prepared from pentachlorobutane and HF by a tin halide catalyst. The obtained isomers were separated by distillation. -18- 201103972 Table 1: Etching gas mixture (giving the amount of % by volume) Example C4F^ClHd* Ar Xe h9 1.1 15 85 a 1.2 20 80 — —* 1.3 30 70 -- —— 1.4 15 75 — 10 1.5 20 70 —— 10 1.6 20 75 5 1.7 20 75 Xe:0N2:5 * CFrCH=CCl.CH “E) Example C4F, C1H4* Ar Xe H, 2.1 10 90 — — 2.2 15 85 — — 2.3 20 80 — - 2.4 20 75 — 5 2.5 20 70 10 -- 2.6 20 70 Xe:0N2:10 * CFVCH=CC1-CH^ (Z) Example C4F, C1H4* Ar Xe H, 3.1 10 90 — -- 3.2 15 85 — — 3.3 20 80 — — 3.4 20 75 -- 5 3.5 20 70 10 — 3.6 20 70 Xe:0N2:10 * CFrCH9-CCl=CH, Example C, F, CW Ar Xe H, 4.1 10 90 — — 4.2 15 85 — — 4.3 20 80 — — 4.4 20 75 — 5 4.5 20 70 10 — 4.6 20 70 Xe:0N2:10 CF3-CC1=CH2 In the pressure storage tank, the corresponding liquid or gas is pressed and/or condensed by filling. To prepare the above gas mixture. Example 2: Etching a barrier layer made of TaN in the process of manufacturing a semiconductor. A microelectronic component containing a barrier layer of TaNx resist-19-201103972 was fabricated as described in the patent WO 2006/113955. A portion of the barrier layer is etched with a mixture containing 30% by volume of CF3-CH=CC1-CH3(E) and 70% by volume of argon. Insects can be obtained from Applied Materials. It is carried out in a combined plasma (ICP) etching reactor or a capacitively coupled plasma source (CCP) reactor. Example 3: Cleaning the plasma chamber contaminates a plasma chamber with TaN layer deposits. A mixture of 30% CF3-CH=CC1-CH3(E) and 70% argon by volume is introduced into the chamber and the plasma is activated. The state of the plasma is maintained until it is removed from the inner wall of the chamber. Sediment. The gaseous reaction product can be removed from the chamber by applying a vacuum cleaner. Example 4: Cleaning the plasma chamber with CF3-CH = CC1-CH3 (Z) contaminates a plasma chamber with TaN layer deposits. A mixture containing 30% by volume of CF3-CH = CC1-CH3 (E) and 70% by volume of argon was introduced into the chamber and the plasma was started. The state of the plasma is maintained until deposits are removed from the inner wall of the chamber. The gaseous reaction product can be removed from the chamber by using a vacuum cleaner. Example 5: Cleaning a plasma chamber with CF3-CH2-CC1 = CH2 to contaminate a plasma chamber with TaN deposits. Contains 30% by volume of CF3-CH2-CC1 = CH2 and 70% by volume of argon. The mixture -20-201103972 was introduced into the chamber and the plasma was started. The state of the plasma is maintained until deposits are removed from the inner wall of the chamber. The gaseous reaction product can be removed from the chamber by using a vacuum cleaner.

實例6:使用CF3-CH2-CC1 = CH2清洗電漿室去除TiN 沉積物 將一電漿室用TiN層的沉積物污染。將含有按體積計 30%的〇?3-(:112-(:〇1 = (:112和按體積計7〇%的氬氣的混合物 引入該室中並且啓動電漿。將電漿的狀態維持到直至從該 室的內壁去除沉積物。可以使用真空吸塵器從該室去除氣 態反應產物。 實例7 :使用C4H4C1F3清洗電漿室 藉由將它在約3 00°C藉由如EP 1 66641 1 A1中所描述 而製備的高表面氟化鋁催化劑將氯四氟丁烷進行脫氟化氫 反應。將得到的具有化學式C4H4C1F3的三種異構體的混 合物(CF3-CH = CC1-CH3的E型和Z型異構體以及 CF3-CH2-CC1 = CH2 )進行蒸餾從雜質中將它們移除’但 不使它們經受精餾。將所得到的含有異構體的混合物與氬 氣混合,這樣所得到的蝕刻混合物含有按體積計70%的氬 氣。將該蝕刻混合物引入該室並且啓動該電漿。將電漿的 狀態維持到直至將沉積物從該室的內壁上去除。可以藉由 使用真空吸塵器從該室去除氣態反應產物。 -21 -Example 6: Cleaning of the plasma chamber using CF3-CH2-CC1 = CH2 to remove TiN deposits A plasma chamber was contaminated with deposits of TiN layers. A mixture containing 30% by volume of 〇?3-(:112-(:〇1 = (:112 and 7〇% by volume of argon) was introduced into the chamber and the plasma was started. The state of the plasma was Maintaining until removal of deposits from the inner wall of the chamber. A vacuum cleaner can be used to remove gaseous reaction products from the chamber. Example 7: Cleaning the plasma chamber with C4H4C1F3 by using it at about 300 ° C by, for example, EP 1 66641 The high surface aluminum fluoride catalyst prepared as described in 1 A1 dehydrofluorinates chlorotetrafluorobutane. The resulting mixture of three isomers of the formula C4H4C1F3 (CF3-CH = CC1-CH3 E type and The Z isomer and CF3-CH2-CC1 = CH2) are subjected to distillation to remove them from the impurities 'but they are not subjected to rectification. The resulting isomer-containing mixture is mixed with argon, thus obtained The etching mixture contains 70% by volume of argon. The etching mixture is introduced into the chamber and the plasma is activated. The state of the plasma is maintained until the deposit is removed from the inner wall of the chamber. The vacuum cleaner removes gaseous reaction products from the chamber. -2 1 -

Claims (1)

201103972 七、申請專利範圍 〗· ~種生產蝕刻元件之方法,其包括至少一個在電 漿室中用蝕刻劑對該元件進行蝕刻之步驟,其中在至少一 種蝕刻劑之存在下進行該元件之蝕刻,該蝕刻劑係選自含 有至少1個氯原子和至少1個氟原子的脂肪族C2-C10氫 氯氟烯烴。 2 ·如申請專利範圍第1項之用於生產蝕刻元件之方 法’其中該元件係爲微電子裝置。 3-如申請專利範圍第1項之方法,其中對難熔金屬 的一層氮化物、碳化物或硼化物進行蝕刻。 4. 如申請專利範圍第3項之方法,其中該難熔金屬 係選自:組、锆、和駄。 5. 如申請專利範圍第4項之方法,其中TaN、ZrN 或TiN層至少部分地被蝕刻。 6-如申請專利範圍第1項之方法,其中該氫氯氟烯 烴係與至少一種氣體一起應用,該氣體係選自:氮氣、氙 氣、氨氣、氬氣、及其二者或多者之任意組合。 7 ·如申請專利範圍第6項之方法,其中該氫氯氟烯 烴係與氙氣和氬氣一起應用。 8 .如申請專利範圍第1項之方法,其中該氫氯氟烯 烴係選自:CF3-CH = CHC1 (E)、CF3-CH = CHC1 (Z)、cf3- CC1 = CH2 ' CF3-CH = CC1-CH3 (E)、CF3-CH = CC1-CH3 (Z) 和 CF3-CH2-CC1 = CH2° 9. 一種用於清洗含有污染物或沉積物之電漿室之方 -22- 201103972 法,該方法包括下列步驟:將該蝕刻劑引入至該電漿室, 啓動一直接的或遠端的電漿,終止該電漿,並且從該室中 去除氣態成分。 1 〇.如申請專利範圍第9項之用於清洗含有沉積物或 污染物之電漿室之方法,其中該沉積物或污染物含有 TaN、TiN或ZrN,及其中將作爲蝕刻劑之物質之組合物 引入至該電漿室,該組合物包括至少一種氫氯氟烯烴和至 少一種氣體,或由至少一種氣氯氟稀烴和至少一種氣體組 成,該氫氯氟烴係選自:CF3-CH = CHC1 (E)、 CF3 -CH = CHC1 (Z) 、 CF3-CC1 = CH2 、 C F 3 - C H = C C1 - C H 3 (E)、CF3-CH = CC1-CH3(Z)和 CF3-CH2.CC1 = CH2,而該 氣體係選自氮氣、氣氣、氦氣、氣氣,及其二者或多者之 任意組合。 1 1. 一種物質之組合物,該組合物包括至少一種氫氯 氟烯烴和至少一種氣體,或由至少一種氫氯氟烯烴和至少 一種氣體組成,該氫氯氟烴係選自:CF3-CH = CHC1 (E)、 CF3-CH = CHC1 (Z)、cf3-cci = ch2、CF3-CH = CC1-CH3 (E)、CF3-CH = CC1-CH3 (Z)和 cf3-ch2-cci = ch2 '而該 氣體係選自氮氣、氙氣、氦氣、氬氣,及其二者或多者之 任意組合。 1 2.如申請專利範圍第1 1項之物質之組合物,其係 由至少一種氫氯氟烯烴和氬氣組成,該氫氯氟烴係選自 CF3 -CH = CHC1 (E)、CF3-CH = CHC1 (Z)、CF3-CC1 = CH2、 CF3-CH = CC1-CH3 (E)、CF3-CH = CC1-CH3 (Z)和 -23- 201103972 CF3-CH2-CC1 = CH2,或係由至少一種氫氯氟烯烴和氙氣組 成,該氫氯氟烴係選自:CF3-CH = CHC1 (E)、 CF3-CH = CHC1 (Z) 、 cf3-cci = ch2 、 cf3-ch = cci-ch3 (E)、CF3-CH = CC1-CH3(Z)和 CF3-CH2-CC1 = CH2,或係 由至少一種氫氯氟烯烴和氬氣及氙氣組成,該氫氯氟烴係 選自:CF3-CH = CHC1 (E)、CF3-CH = CHC1 (Z)、 CF3-CC1 = CH2 ' cf3-ch = cci-ch3 (e)、cf3 -CH = CC1-CH3 (Z)和 cf3-ch2-cci = ch2 ° 1 3 .如申請專利範圍第1 2項之物質之組合物,其進 一步包括至多10體積%的氮氣。 14.如申請專利範圍第1 1項之物質之組合物,其中 該氫氯氟烴係選自:cf3-ch = cci-ch3(e)、 CF3-CH = CC1-CH3 (Z)和 CF3-CH2-CC1 = CH2° 1 5 .如申請專利範圍第1 1項之物質之組合物,其爲 液態。 16. —種用於清洗電漿室之方法,該電漿室含有難熔 金屬的氮化物、碳化物或硼化物之污染物或沉積物,該方 法包括下列步驟:將含有至少一種C2-C10氫氯氟烯烴之 純化劑引入至該電漿室,該氫氯氟烯烴包含至少1個氯原 子和至少1個氟原子’啓動一直接的或遠端的電漿,終止 該電漿,並且從該室中去除氣態成分。 1 7 ·如申請專利範圍第1 6項之方法,其中該污染物 或沉積物含有TaN、TiN或ZrN,和將作爲純化劑之物質 之組合物引入至該電漿室’該組合物包括至少一種氫氯氟 •24- 201103972 烯烴和至少一種氣體,或由至少一種氫氯氟烯烴和至少一 種氣體組成,該氫氯氟烴係選自:CF3-CH = CHC1 (E)、 CF3-CH = CHC1 (Z)、CF3-CC1 = CH2、CF3-CH-CCI-CH3 (E)、CF3-CH = CC1-CH3 (Z)和 CF3-CH2-CC1 = CH2 '而該 氣體係選自氮氣、氙氣、氦氣、氬氣,及其二者或多者之 任意組合。 -25- 201103972 四 、指定代表圖: (一) 、本案指定代表圖為:無 (二) 、本代表圖之元件符號簡單說明:無 201103972 五 本案若有化學式時,請揭示最能顯示發明特徵的化學 式:無201103972 VII. Patent Application Scope A method for producing an etched component, comprising at least one step of etching the component with an etchant in a plasma chamber, wherein etching the component in the presence of at least one etchant The etchant is selected from the group consisting of aliphatic C2-C10 hydrochlorofluoroolefins containing at least one chlorine atom and at least one fluorine atom. 2. A method for producing an etched element as claimed in claim 1 wherein the element is a microelectronic device. 3. The method of claim 1, wherein the layer of nitride, carbide or boride of the refractory metal is etched. 4. The method of claim 3, wherein the refractory metal is selected from the group consisting of: zirconium, and hafnium. 5. The method of claim 4, wherein the TaN, ZrN or TiN layer is at least partially etched. 6 - The method of claim 1, wherein the hydrochlorofluoroolefin is used together with at least one gas selected from the group consisting of nitrogen, helium, ammonia, argon, and more or more random combination. 7. The method of claim 6, wherein the hydrochlorofluoroolefin is used together with helium and argon. 8. The method of claim 1, wherein the hydrochlorofluoroolefin is selected from the group consisting of: CF3-CH = CHC1 (E), CF3-CH = CHC1 (Z), cf3-CC1 = CH2 'CF3-CH = CC1-CH3 (E), CF3-CH = CC1-CH3 (Z) and CF3-CH2-CC1 = CH2° 9. A method for cleaning plasma chambers containing contaminants or deposits-22-201103972, The method includes the steps of introducing the etchant into the plasma chamber, initiating a direct or distal plasma, terminating the plasma, and removing gaseous components from the chamber. A method for cleaning a plasma chamber containing deposits or contaminants, wherein the deposit or contaminant contains TaN, TiN or ZrN, and a substance thereof as an etchant, as claimed in claim 9 a composition is introduced into the plasma chamber, the composition comprising at least one hydrochlorofluoroolefin and at least one gas, or consisting of at least one hydrochlorofluorocarbon and at least one gas selected from the group consisting of: CF3- CH = CHC1 (E), CF3 -CH = CHC1 (Z) , CF3-CC1 = CH2 , CF 3 - CH = C C1 - CH 3 (E), CF3-CH = CC1-CH3(Z) and CF3-CH2 .CC1 = CH2, and the gas system is selected from the group consisting of nitrogen, gas, helium, gas, and any combination of two or more thereof. 1 1. A composition of matter comprising at least one hydrochlorofluoroolefin and at least one gas, or consisting of at least one hydrochlorofluoroolefin and at least one gas selected from the group consisting of: CF3-CH = CHC1 (E), CF3-CH = CHC1 (Z), cf3-cci = ch2, CF3-CH = CC1-CH3 (E), CF3-CH = CC1-CH3 (Z) and cf3-ch2-cci = ch2 And the gas system is selected from the group consisting of nitrogen, helium, neon, argon, and any combination of two or more thereof. 1 2. A composition of a substance according to claim 1 which is composed of at least one hydrochlorofluoroolefin and argon selected from the group consisting of CF3 -CH = CHC1 (E), CF3- CH = CHC1 (Z), CF3-CC1 = CH2, CF3-CH = CC1-CH3 (E), CF3-CH = CC1-CH3 (Z) and -23- 201103972 CF3-CH2-CC1 = CH2, or And consisting of at least one hydrochlorofluoroolefin and helium, the hydrochlorofluorocarbon selected from the group consisting of: CF3-CH = CHC1 (E), CF3-CH = CHC1 (Z), cf3-cci = ch2, cf3-ch = cci-ch3 (E), CF3-CH = CC1-CH3 (Z) and CF3-CH2-CC1 = CH2, or consist of at least one hydrochlorofluoroolefin and argon and helium, the hydrochlorofluorocarbon selected from: CF3- CH = CHC1 (E), CF3-CH = CHC1 (Z), CF3-CC1 = CH2 ' cf3-ch = cci-ch3 (e), cf3 -CH = CC1-CH3 (Z) and cf3-ch2-cci = Ch2 ° 1 3 . The composition of the substance of claim 12, further comprising up to 10% by volume of nitrogen. 14. A composition of a substance according to claim 1 wherein the hydrochlorofluorocarbon is selected from the group consisting of: cf3-ch = cci-ch3(e), CF3-CH = CC1-CH3 (Z) and CF3- CH2-CC1 = CH2° 1 5 . A composition of a substance as claimed in claim 11 which is in a liquid state. 16. A method for cleaning a plasma chamber containing a nitride, carbide or boride contaminant or deposit of a refractory metal, the method comprising the steps of: containing at least one C2-C10 a purifying agent for hydrochlorofluoroolefins is introduced into the plasma chamber, the hydrochlorofluoroolefin comprising at least one chlorine atom and at least one fluorine atom to initiate a direct or distal plasma, terminating the plasma, and The gaseous components are removed from the chamber. The method of claim 16, wherein the contaminant or deposit contains TaN, TiN or ZrN, and a composition of a substance as a purifying agent is introduced into the plasma chamber. The composition includes at least A hydrochlorofluorocarbon 24-201103972 olefin and at least one gas, or consisting of at least one hydrochlorofluoroolefin and at least one gas selected from the group consisting of: CF3-CH = CHC1 (E), CF3-CH = CHC1 (Z), CF3-CC1 = CH2, CF3-CH-CCI-CH3 (E), CF3-CH = CC1-CH3 (Z) and CF3-CH2-CC1 = CH2 ' and the gas system is selected from nitrogen and helium , helium, argon, and any combination of two or more. -25- 201103972 IV. Designated representative map: (1) The representative representative of the case is: No (2), the symbol of the representative figure is simple: No 201103972 If there is a chemical formula in the case, please reveal the characteristics of the invention. Chemical formula: none
TW99106907A 2009-04-01 2010-03-10 Process for the manufacture of etched items TW201103972A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP09157073 2009-04-01

Publications (1)

Publication Number Publication Date
TW201103972A true TW201103972A (en) 2011-02-01

Family

ID=40906869

Family Applications (1)

Application Number Title Priority Date Filing Date
TW99106907A TW201103972A (en) 2009-04-01 2010-03-10 Process for the manufacture of etched items

Country Status (2)

Country Link
TW (1) TW201103972A (en)
WO (1) WO2010115734A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2235742B1 (en) 2007-12-21 2020-02-12 Solvay Fluor GmbH Process for the production of microelectromechanical systems
EP2540800A1 (en) 2011-06-30 2013-01-02 Solvay Sa Process for etching using sulfur compounds

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6077429A (en) 1983-10-04 1985-05-02 Asahi Glass Co Ltd Dry etching method
US4920071A (en) 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
DE68909598T2 (en) 1988-06-23 1994-04-14 Du Pont CATALYZED HYDROFLUORATION PROCESS.
EP0420932B1 (en) 1988-06-23 1994-11-30 E.I. Du Pont De Nemours And Company Catalyzed hydrofluorination of alkenes
JPH07201986A (en) 1993-12-28 1995-08-04 Nec Corp Manufacture of semiconductor device
FR2724167B1 (en) 1994-09-05 1996-11-29 Solvay PROCESS FOR THE HYDROFLUORINATION OF CHLORO (FLUORO) BUTANE
WO1997024750A1 (en) 1995-12-29 1997-07-10 Trikon Technologies, Inc. Method for etching silicon dioxide using unsaturated fluorocarbons
CA2247388C (en) 1996-01-25 2009-06-02 Sven-Erik Svehag Pharmaceutical composition comprising serum amyloid p component for prophylactic or therapeutic treatment of virus infections and a kit for detecting binding of compositions to virus components
US5831594A (en) 1996-06-25 1998-11-03 Sun Microsystems, Inc. Method and apparatus for eyetrack derived backtrack
CN100387561C (en) 1998-12-18 2008-05-14 索尔维公司 Method for separating mixture comprising at least an hydrofluoroalkane and hydrogen fluoride, methods for preparing hydrofluoroalkane and azeotropic compositions
KR20020017182A (en) 2000-08-29 2002-03-07 윤종용 Method for manufacturing semiconductor device using octafluorobutene etching gas
US6508948B2 (en) 2001-06-13 2003-01-21 Air Products And Chemicals, Inc. Cyanuric fluoride and related compounds for anisotropic etching
WO2003012843A1 (en) * 2001-07-31 2003-02-13 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and apparatus for cleaning and method and apparatus for etching
EP1440939A1 (en) 2003-01-07 2004-07-28 Humboldt-Universität zu Berlin Method for the preparation of amorphous metal fluorides
JPWO2005012221A1 (en) 2003-08-04 2006-09-14 小野薬品工業株式会社 Diphenyl ether compound, its production method and use
US9499729B2 (en) 2006-06-26 2016-11-22 Honeywell International Inc. Compositions and methods containing fluorine substituted olefins
EP1666411A1 (en) 2004-12-03 2006-06-07 Humboldt-Universität zu Berlin Method for the preparation of X-ray amorphous or weakly crystalline metal oxide fluorides and new uses thereof
AT8066U1 (en) 2005-04-28 2006-01-15 Plansee Ag METHOD FOR PRODUCING A TANX LAYER
JP5132555B2 (en) 2006-06-30 2013-01-30 昭和電工株式会社 Method for producing high purity hexafluoropropylene and cleaning gas
PL2129714T3 (en) 2007-03-29 2012-04-30 Arkema Inc Blowing agent composition of hydrofluoropropene and hydrochlorofluoroolefin

Also Published As

Publication number Publication date
WO2010115734A1 (en) 2010-10-14

Similar Documents

Publication Publication Date Title
TWI781210B (en) Chemistries for etching multi-stacked layers
JP5434970B2 (en) Dry etchant
JP6775569B2 (en) How to operate the semiconductor manufacturing process chamber
US9728422B2 (en) Dry etching method
US7176337B2 (en) Process for producing perfluorocarbons and use thereof
EP2304777A1 (en) Process for the manufacture of etched items
US9230821B2 (en) Dry etching agent and dry etching method using the same
JP2007150305A (en) Selective etching of titanium nitride by using xenon difluoride
TWI631618B (en) Dry etching method, dry etchant, and method of manufacturing semiconductor device
TW201140685A (en) Etching gas
TWI475611B (en) Selective etching and formation of xenon difluoride
JP5850043B2 (en) Etching gas and etching method
TW201103972A (en) Process for the manufacture of etched items
JP2006156539A (en) Gas for plasma reaction
WO2023157441A1 (en) Etching method
JP2011176292A (en) Dry etching agent